summaryrefslogtreecommitdiffstats
path: root/part_3/ex14/const_mult_bb.v
diff options
context:
space:
mode:
Diffstat (limited to 'part_3/ex14/const_mult_bb.v')
-rwxr-xr-xpart_3/ex14/const_mult_bb.v82
1 files changed, 82 insertions, 0 deletions
diff --git a/part_3/ex14/const_mult_bb.v b/part_3/ex14/const_mult_bb.v
new file mode 100755
index 0000000..3cc17fb
--- /dev/null
+++ b/part_3/ex14/const_mult_bb.v
@@ -0,0 +1,82 @@
+// megafunction wizard: %LPM_MULT%VBB%
+// GENERATION: STANDARD
+// VERSION: WM1.0
+// MODULE: lpm_mult
+
+// ============================================================
+// File Name: const_mult.v
+// Megafunction Name(s):
+// lpm_mult
+//
+// Simulation Library Files(s):
+// lpm
+// ============================================================
+// ************************************************************
+// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
+//
+// 16.1.0 Build 196 10/24/2016 SJ Lite Edition
+// ************************************************************
+
+//Copyright (C) 2016 Intel Corporation. All rights reserved.
+//Your use of Intel Corporation's design tools, logic functions
+//and other software and tools, and its AMPP partner logic
+//functions, and any output files from any of the foregoing
+//(including device programming or simulation files), and any
+//associated documentation or information are expressly subject
+//to the terms and conditions of the Intel Program License
+//Subscription Agreement, the Intel Quartus Prime License Agreement,
+//the Intel MegaCore Function License Agreement, or other
+//applicable license agreement, including, without limitation,
+//that your use is for the sole purpose of programming logic
+//devices manufactured by Intel and sold by Intel or its
+//authorized distributors. Please refer to the applicable
+//agreement for further details.
+
+module const_mult (
+ dataa,
+ result);
+
+ input [9:0] dataa;
+ output [23:0] result;
+
+endmodule
+
+// ============================================================
+// CNX file retrieval info
+// ============================================================
+// Retrieval info: PRIVATE: AutoSizeResult NUMERIC "1"
+// Retrieval info: PRIVATE: B_isConstant NUMERIC "1"
+// Retrieval info: PRIVATE: ConstantB NUMERIC "10000"
+// Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone V"
+// Retrieval info: PRIVATE: LPM_PIPELINE NUMERIC "0"
+// Retrieval info: PRIVATE: Latency NUMERIC "0"
+// Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0"
+// Retrieval info: PRIVATE: SignedMult NUMERIC "0"
+// Retrieval info: PRIVATE: USE_MULT NUMERIC "1"
+// Retrieval info: PRIVATE: ValidConstant NUMERIC "1"
+// Retrieval info: PRIVATE: WidthA NUMERIC "10"
+// Retrieval info: PRIVATE: WidthB NUMERIC "14"
+// Retrieval info: PRIVATE: WidthP NUMERIC "24"
+// Retrieval info: PRIVATE: aclr NUMERIC "0"
+// Retrieval info: PRIVATE: clken NUMERIC "0"
+// Retrieval info: PRIVATE: new_diagram STRING "1"
+// Retrieval info: PRIVATE: optimize NUMERIC "0"
+// Retrieval info: LIBRARY: lpm lpm.lpm_components.all
+// Retrieval info: CONSTANT: LPM_HINT STRING "INPUT_B_IS_CONSTANT=YES,MAXIMIZE_SPEED=5"
+// Retrieval info: CONSTANT: LPM_REPRESENTATION STRING "UNSIGNED"
+// Retrieval info: CONSTANT: LPM_TYPE STRING "LPM_MULT"
+// Retrieval info: CONSTANT: LPM_WIDTHA NUMERIC "10"
+// Retrieval info: CONSTANT: LPM_WIDTHB NUMERIC "14"
+// Retrieval info: CONSTANT: LPM_WIDTHP NUMERIC "24"
+// Retrieval info: USED_PORT: dataa 0 0 10 0 INPUT NODEFVAL "dataa[9..0]"
+// Retrieval info: USED_PORT: result 0 0 24 0 OUTPUT NODEFVAL "result[23..0]"
+// Retrieval info: CONNECT: @dataa 0 0 10 0 dataa 0 0 10 0
+// Retrieval info: CONNECT: @datab 0 0 14 0 10000 0 0 14 0
+// Retrieval info: CONNECT: result 0 0 24 0 @result 0 0 24 0
+// Retrieval info: GEN_FILE: TYPE_NORMAL const_mult.v TRUE
+// Retrieval info: GEN_FILE: TYPE_NORMAL const_mult.inc FALSE
+// Retrieval info: GEN_FILE: TYPE_NORMAL const_mult.cmp FALSE
+// Retrieval info: GEN_FILE: TYPE_NORMAL const_mult.bsf FALSE
+// Retrieval info: GEN_FILE: TYPE_NORMAL const_mult_inst.v FALSE
+// Retrieval info: GEN_FILE: TYPE_NORMAL const_mult_bb.v TRUE
+// Retrieval info: LIB_FILE: lpm