summaryrefslogtreecommitdiffstats
path: root/part_3/ex14/simulation/modelsim/ex10.vo
diff options
context:
space:
mode:
Diffstat (limited to 'part_3/ex14/simulation/modelsim/ex10.vo')
-rwxr-xr-xpart_3/ex14/simulation/modelsim/ex10.vo9545
1 files changed, 9545 insertions, 0 deletions
diff --git a/part_3/ex14/simulation/modelsim/ex10.vo b/part_3/ex14/simulation/modelsim/ex10.vo
new file mode 100755
index 0000000..e6d1b12
--- /dev/null
+++ b/part_3/ex14/simulation/modelsim/ex10.vo
@@ -0,0 +1,9545 @@
+// Copyright (C) 1991-2016 Altera Corporation. All rights reserved.
+// Your use of Altera Corporation's design tools, logic functions
+// and other software and tools, and its AMPP partner logic
+// functions, and any output files from any of the foregoing
+// (including device programming or simulation files), and any
+// associated documentation or information are expressly subject
+// to the terms and conditions of the Altera Program License
+// Subscription Agreement, the Altera Quartus Prime License Agreement,
+// the Altera MegaCore Function License Agreement, or other
+// applicable license agreement, including, without limitation,
+// that your use is for the sole purpose of programming logic
+// devices manufactured by Altera and sold by Altera or its
+// authorized distributors. Please refer to the applicable
+// agreement for further details.
+
+// VENDOR "Altera"
+// PROGRAM "Quartus Prime"
+// VERSION "Version 16.0.0 Build 211 04/27/2016 SJ Standard Edition"
+
+// DATE "12/02/2016 17:39:08"
+
+//
+// Device: Altera 5CSEMA5F31C6 Package FBGA896
+//
+
+//
+// This Verilog file should be used for ModelSim-Altera (Verilog) only
+//
+
+`timescale 1 ps/ 1 ps
+
+module ex14 (
+ CLOCK_50,
+ SW,
+ DAC_CS,
+ DAC_SDI,
+ DAC_LD,
+ DAC_SCK,
+ PWM_OUT,
+ HEX0,
+ HEX1,
+ HEX2,
+ HEX3,
+ HEX4);
+input CLOCK_50;
+input [9:0] SW;
+output DAC_CS;
+output DAC_SDI;
+output DAC_LD;
+output DAC_SCK;
+output PWM_OUT;
+output [6:0] HEX0;
+output [6:0] HEX1;
+output [6:0] HEX2;
+output [6:0] HEX3;
+output [6:0] HEX4;
+
+// Design Ports Information
+// DAC_CS => Location: PIN_AD20, I/O Standard: 3.3-V LVTTL, Current Strength: 16mA
+// DAC_SDI => Location: PIN_AG18, I/O Standard: 3.3-V LVTTL, Current Strength: 16mA
+// DAC_LD => Location: PIN_AK21, I/O Standard: 3.3-V LVTTL, Current Strength: 16mA
+// DAC_SCK => Location: PIN_AF20, I/O Standard: 3.3-V LVTTL, Current Strength: 16mA
+// PWM_OUT => Location: PIN_AJ20, I/O Standard: 3.3-V LVTTL, Current Strength: 16mA
+// HEX0[0] => Location: PIN_AE26, I/O Standard: 3.3-V LVTTL, Current Strength: 16mA
+// HEX0[1] => Location: PIN_AE27, I/O Standard: 3.3-V LVTTL, Current Strength: 16mA
+// HEX0[2] => Location: PIN_AE28, I/O Standard: 3.3-V LVTTL, Current Strength: 16mA
+// HEX0[3] => Location: PIN_AG27, I/O Standard: 3.3-V LVTTL, Current Strength: 16mA
+// HEX0[4] => Location: PIN_AF28, I/O Standard: 3.3-V LVTTL, Current Strength: 16mA
+// HEX0[5] => Location: PIN_AG28, I/O Standard: 3.3-V LVTTL, Current Strength: 16mA
+// HEX0[6] => Location: PIN_AH28, I/O Standard: 3.3-V LVTTL, Current Strength: 16mA
+// HEX1[0] => Location: PIN_AJ29, I/O Standard: 3.3-V LVTTL, Current Strength: 16mA
+// HEX1[1] => Location: PIN_AH29, I/O Standard: 3.3-V LVTTL, Current Strength: 16mA
+// HEX1[2] => Location: PIN_AH30, I/O Standard: 3.3-V LVTTL, Current Strength: 16mA
+// HEX1[3] => Location: PIN_AG30, I/O Standard: 3.3-V LVTTL, Current Strength: 16mA
+// HEX1[4] => Location: PIN_AF29, I/O Standard: 3.3-V LVTTL, Current Strength: 16mA
+// HEX1[5] => Location: PIN_AF30, I/O Standard: 3.3-V LVTTL, Current Strength: 16mA
+// HEX1[6] => Location: PIN_AD27, I/O Standard: 3.3-V LVTTL, Current Strength: 16mA
+// HEX2[0] => Location: PIN_AB23, I/O Standard: 3.3-V LVTTL, Current Strength: 16mA
+// HEX2[1] => Location: PIN_AE29, I/O Standard: 3.3-V LVTTL, Current Strength: 16mA
+// HEX2[2] => Location: PIN_AD29, I/O Standard: 3.3-V LVTTL, Current Strength: 16mA
+// HEX2[3] => Location: PIN_AC28, I/O Standard: 3.3-V LVTTL, Current Strength: 16mA
+// HEX2[4] => Location: PIN_AD30, I/O Standard: 3.3-V LVTTL, Current Strength: 16mA
+// HEX2[5] => Location: PIN_AC29, I/O Standard: 3.3-V LVTTL, Current Strength: 16mA
+// HEX2[6] => Location: PIN_AC30, I/O Standard: 3.3-V LVTTL, Current Strength: 16mA
+// HEX3[0] => Location: PIN_AD26, I/O Standard: 3.3-V LVTTL, Current Strength: 16mA
+// HEX3[1] => Location: PIN_AC27, I/O Standard: 3.3-V LVTTL, Current Strength: 16mA
+// HEX3[2] => Location: PIN_AD25, I/O Standard: 3.3-V LVTTL, Current Strength: 16mA
+// HEX3[3] => Location: PIN_AC25, I/O Standard: 3.3-V LVTTL, Current Strength: 16mA
+// HEX3[4] => Location: PIN_AB28, I/O Standard: 3.3-V LVTTL, Current Strength: 16mA
+// HEX3[5] => Location: PIN_AB25, I/O Standard: 3.3-V LVTTL, Current Strength: 16mA
+// HEX3[6] => Location: PIN_AB22, I/O Standard: 3.3-V LVTTL, Current Strength: 16mA
+// HEX4[0] => Location: PIN_AA24, I/O Standard: 3.3-V LVTTL, Current Strength: 16mA
+// HEX4[1] => Location: PIN_Y23, I/O Standard: 3.3-V LVTTL, Current Strength: 16mA
+// HEX4[2] => Location: PIN_Y24, I/O Standard: 3.3-V LVTTL, Current Strength: 16mA
+// HEX4[3] => Location: PIN_W22, I/O Standard: 3.3-V LVTTL, Current Strength: 16mA
+// HEX4[4] => Location: PIN_W24, I/O Standard: 3.3-V LVTTL, Current Strength: 16mA
+// HEX4[5] => Location: PIN_V23, I/O Standard: 3.3-V LVTTL, Current Strength: 16mA
+// HEX4[6] => Location: PIN_W25, I/O Standard: 3.3-V LVTTL, Current Strength: 16mA
+// CLOCK_50 => Location: PIN_AF14, I/O Standard: 3.3-V LVTTL, Current Strength: Default
+// SW[2] => Location: PIN_AF9, I/O Standard: 3.3-V LVTTL, Current Strength: Default
+// SW[1] => Location: PIN_AC12, I/O Standard: 3.3-V LVTTL, Current Strength: Default
+// SW[0] => Location: PIN_AB12, I/O Standard: 3.3-V LVTTL, Current Strength: Default
+// SW[6] => Location: PIN_AE11, I/O Standard: 3.3-V LVTTL, Current Strength: Default
+// SW[3] => Location: PIN_AF10, I/O Standard: 3.3-V LVTTL, Current Strength: Default
+// SW[7] => Location: PIN_AC9, I/O Standard: 3.3-V LVTTL, Current Strength: Default
+// SW[8] => Location: PIN_AD10, I/O Standard: 3.3-V LVTTL, Current Strength: Default
+// SW[9] => Location: PIN_AE12, I/O Standard: 3.3-V LVTTL, Current Strength: Default
+// SW[5] => Location: PIN_AD12, I/O Standard: 3.3-V LVTTL, Current Strength: Default
+// SW[4] => Location: PIN_AD11, I/O Standard: 3.3-V LVTTL, Current Strength: Default
+
+
+wire gnd;
+wire vcc;
+wire unknown;
+
+assign gnd = 1'b0;
+assign vcc = 1'b1;
+assign unknown = 1'bx;
+
+tri1 devclrn;
+tri1 devpor;
+tri1 devoe;
+wire \~QUARTUS_CREATED_GND~I_combout ;
+wire \CLOCK_50~input_o ;
+wire \CLOCK_50~inputCLKENA0_outclk ;
+wire \dac|ctr~1_combout ;
+wire \dac|Add0~1_combout ;
+wire \dac|ctr[0]~DUPLICATE_q ;
+wire \dac|Add0~0_combout ;
+wire \dac|ctr[3]~DUPLICATE_q ;
+wire \dac|ctr~0_combout ;
+wire \dac|ctr[2]~DUPLICATE_q ;
+wire \dac|ctr~2_combout ;
+wire \dac|clk_1MHz~0_combout ;
+wire \dac|clk_1MHz~feeder_combout ;
+wire \dac|clk_1MHz~q ;
+wire \dac|state~0_combout ;
+wire \tick|count[15]~DUPLICATE_q ;
+wire \tick|Add0~9_sumout ;
+wire \tick|count[0]~1_combout ;
+wire \tick|Add0~10 ;
+wire \tick|Add0~13_sumout ;
+wire \tick|count[1]~2_combout ;
+wire \tick|Add0~14 ;
+wire \tick|Add0~17_sumout ;
+wire \tick|count[2]~3_combout ;
+wire \tick|Add0~18 ;
+wire \tick|Add0~37_sumout ;
+wire \tick|Add0~38 ;
+wire \tick|Add0~41_sumout ;
+wire \tick|Add0~42 ;
+wire \tick|Add0~45_sumout ;
+wire \tick|count[5]~feeder_combout ;
+wire \tick|count[5]~DUPLICATE_q ;
+wire \tick|Add0~46 ;
+wire \tick|Add0~5_sumout ;
+wire \tick|Add0~6 ;
+wire \tick|Add0~21_sumout ;
+wire \tick|count[7]~4_combout ;
+wire \tick|count[7]~DUPLICATE_q ;
+wire \tick|Add0~22 ;
+wire \tick|Add0~25_sumout ;
+wire \tick|count[8]~5_combout ;
+wire \tick|Add0~26 ;
+wire \tick|Add0~1_sumout ;
+wire \tick|count[9]~0_combout ;
+wire \tick|Add0~2 ;
+wire \tick|Add0~49_sumout ;
+wire \tick|Add0~50 ;
+wire \tick|Add0~53_sumout ;
+wire \tick|Add0~54 ;
+wire \tick|Add0~29_sumout ;
+wire \tick|count[12]~6_combout ;
+wire \tick|Add0~30 ;
+wire \tick|Add0~57_sumout ;
+wire \tick|Add0~58 ;
+wire \tick|Add0~61_sumout ;
+wire \tick|Add0~62 ;
+wire \tick|Add0~33_sumout ;
+wire \tick|Equal0~1_combout ;
+wire \tick|Equal0~0_combout ;
+wire \tick|Equal0~2_combout ;
+wire \tick|Equal0~3_combout ;
+wire \tick|CLK_OUT~feeder_combout ;
+wire \tick|CLK_OUT~q ;
+wire \dac|sr_state.IDLE~0_combout ;
+wire \dac|sr_state.IDLE~q ;
+wire \dac|Selector2~0_combout ;
+wire \dac|sr_state.WAIT_CSB_HIGH~q ;
+wire \dac|sr_state.WAIT_CSB_FALL~0_combout ;
+wire \dac|sr_state.WAIT_CSB_FALL~q ;
+wire \dac|Selector3~0_combout ;
+wire \dac|state~2_combout ;
+wire \dac|state~3_combout ;
+wire \dac|state[3]~DUPLICATE_q ;
+wire \dac|state~1_combout ;
+wire \dac|WideNor0~combout ;
+wire \SW[0]~input_o ;
+wire \fin_address|Add0~1_sumout ;
+wire \SW[1]~input_o ;
+wire \fin_address|Add0~2 ;
+wire \fin_address|Add0~5_sumout ;
+wire \SW[2]~input_o ;
+wire \fin_address|Add0~6 ;
+wire \fin_address|Add0~9_sumout ;
+wire \fin_address|address[2]~feeder_combout ;
+wire \SW[3]~input_o ;
+wire \fin_address|Add0~10 ;
+wire \fin_address|Add0~13_sumout ;
+wire \fin_address|address[3]~feeder_combout ;
+wire \SW[4]~input_o ;
+wire \fin_address|Add0~14 ;
+wire \fin_address|Add0~17_sumout ;
+wire \fin_address|address[4]~feeder_combout ;
+wire \SW[5]~input_o ;
+wire \fin_address|Add0~18 ;
+wire \fin_address|Add0~21_sumout ;
+wire \fin_address|address[5]~feeder_combout ;
+wire \SW[6]~input_o ;
+wire \fin_address|Add0~22 ;
+wire \fin_address|Add0~25_sumout ;
+wire \fin_address|address[6]~feeder_combout ;
+wire \SW[7]~input_o ;
+wire \fin_address|Add0~26 ;
+wire \fin_address|Add0~29_sumout ;
+wire \fin_address|address[7]~feeder_combout ;
+wire \SW[8]~input_o ;
+wire \fin_address|Add0~30 ;
+wire \fin_address|Add0~33_sumout ;
+wire \fin_address|address[8]~feeder_combout ;
+wire \SW[9]~input_o ;
+wire \fin_address|Add0~34 ;
+wire \fin_address|Add0~37_sumout ;
+wire \fin_address|address[9]~feeder_combout ;
+wire \dac|shift_reg[11]~feeder_combout ;
+wire \dac|shift_reg[10]~feeder_combout ;
+wire \dac|shift_reg[9]~feeder_combout ;
+wire \dac|shift_reg[8]~feeder_combout ;
+wire \dac|shift_reg[7]~feeder_combout ;
+wire \dac|shift_reg[6]~feeder_combout ;
+wire \dac|shift_reg[5]~feeder_combout ;
+wire \dac|shift_reg[4]~feeder_combout ;
+wire \dac|shift_reg[3]~feeder_combout ;
+wire \dac|shift_reg~4_combout ;
+wire \dac|always5~0_combout ;
+wire \dac|shift_reg~3_combout ;
+wire \dac|shift_reg~2_combout ;
+wire \dac|shift_reg~1_combout ;
+wire \dac|shift_reg~0_combout ;
+wire \dac|Equal2~0_combout ;
+wire \dac|dac_sck~combout ;
+wire \p|count[0]~0_combout ;
+wire \p|Add0~33_sumout ;
+wire \p|Add0~34 ;
+wire \p|Add0~29_sumout ;
+wire \p|Add0~30 ;
+wire \p|Add0~25_sumout ;
+wire \p|Add0~26 ;
+wire \p|Add0~21_sumout ;
+wire \p|Add0~22 ;
+wire \p|Add0~17_sumout ;
+wire \p|Add0~18 ;
+wire \p|Add0~13_sumout ;
+wire \p|Add0~14 ;
+wire \p|Add0~9_sumout ;
+wire \p|Add0~10 ;
+wire \p|Add0~5_sumout ;
+wire \p|Add0~6 ;
+wire \p|Add0~1_sumout ;
+wire \p|LessThan0~0_combout ;
+wire \p|d[6]~feeder_combout ;
+wire \p|count[0]~DUPLICATE_q ;
+wire \p|LessThan0~2_combout ;
+wire \p|LessThan0~3_combout ;
+wire \p|LessThan0~1_combout ;
+wire \p|LessThan0~4_combout ;
+wire \p|LessThan0~5_combout ;
+wire \p|pwm_out~q ;
+wire \mult|lpm_mult_component|mult_core|romout[1][17]~5_combout ;
+wire \mult|lpm_mult_component|mult_core|romout[0][17]~4_combout ;
+wire \mult|lpm_mult_component|mult_core|romout[0][16]~3_combout ;
+wire \mult|lpm_mult_component|mult_core|romout[0][15]~2_combout ;
+wire \mult|lpm_mult_component|mult_core|romout[0][14]~1_combout ;
+wire \mult|lpm_mult_component|mult_core|romout[1][9]~0_combout ;
+wire \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~70_cout ;
+wire \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~66_cout ;
+wire \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~62_cout ;
+wire \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~58_cout ;
+wire \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~54_cout ;
+wire \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~10_cout ;
+wire \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~2 ;
+wire \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~6 ;
+wire \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~14 ;
+wire \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~18 ;
+wire \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~22 ;
+wire \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~26 ;
+wire \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~30 ;
+wire \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~34 ;
+wire \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~38 ;
+wire \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~42 ;
+wire \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~46 ;
+wire \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~49_sumout ;
+wire \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~45_sumout ;
+wire \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~41_sumout ;
+wire \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~37_sumout ;
+wire \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~33_sumout ;
+wire \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~29_sumout ;
+wire \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~25_sumout ;
+wire \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~21_sumout ;
+wire \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~17_sumout ;
+wire \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~13_sumout ;
+wire \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~2 ;
+wire \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~6 ;
+wire \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~10 ;
+wire \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~14 ;
+wire \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~18 ;
+wire \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~22 ;
+wire \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~26 ;
+wire \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~30 ;
+wire \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~34 ;
+wire \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~37_sumout ;
+wire \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~33_sumout ;
+wire \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~38 ;
+wire \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~41_sumout ;
+wire \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~42 ;
+wire \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~45_sumout ;
+wire \bcd|A2|WideOr3~0_combout ;
+wire \bcd|A2|WideOr2~0_combout ;
+wire \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~29_sumout ;
+wire \bcd|A2|WideOr1~0_combout ;
+wire \bcd|A4|WideOr1~0_combout ;
+wire \bcd|A4|WideOr3~0_combout ;
+wire \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~25_sumout ;
+wire \bcd|A4|WideOr2~0_combout ;
+wire \bcd|A6|WideOr3~0_combout ;
+wire \bcd|A6|WideOr2~0_combout ;
+wire \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~21_sumout ;
+wire \bcd|A6|WideOr1~0_combout ;
+wire \bcd|A8|WideOr2~0_combout ;
+wire \bcd|A8|WideOr3~0_combout ;
+wire \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~17_sumout ;
+wire \bcd|A8|WideOr1~0_combout ;
+wire \bcd|A11|WideOr1~0_combout ;
+wire \bcd|A11|WideOr2~0_combout ;
+wire \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~13_sumout ;
+wire \bcd|A11|WideOr3~0_combout ;
+wire \bcd|A14|WideOr3~0_combout ;
+wire \bcd|A14|WideOr2~0_combout ;
+wire \bcd|A14|WideOr1~0_combout ;
+wire \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~9_sumout ;
+wire \bcd|A17|WideOr2~0_combout ;
+wire \bcd|A17|WideOr3~0_combout ;
+wire \bcd|A17|WideOr1~0_combout ;
+wire \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~5_sumout ;
+wire \bcd|A21|WideOr1~0_combout ;
+wire \bcd|A21|WideOr3~0_combout ;
+wire \bcd|A21|WideOr2~0_combout ;
+wire \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~1_sumout ;
+wire \bcd|A25|WideOr1~0_combout ;
+wire \bcd|A25|WideOr2~0_combout ;
+wire \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~5_sumout ;
+wire \bcd|A25|WideOr3~0_combout ;
+wire \bcd|A29|WideOr3~0_combout ;
+wire \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~1_sumout ;
+wire \bcd|A29|WideOr2~0_combout ;
+wire \bcd|A29|WideOr1~0_combout ;
+wire \h0|WideOr6~0_combout ;
+wire \h0|WideOr5~0_combout ;
+wire \h0|WideOr4~0_combout ;
+wire \h0|WideOr3~0_combout ;
+wire \h0|WideOr2~0_combout ;
+wire \h0|WideOr1~0_combout ;
+wire \h0|WideOr0~0_combout ;
+wire \bcd|A7|WideOr2~0_combout ;
+wire \bcd|A7|WideOr3~0_combout ;
+wire \bcd|A8|WideOr0~0_combout ;
+wire \bcd|A7|WideOr1~0_combout ;
+wire \bcd|A10|WideOr1~0_combout ;
+wire \bcd|A11|WideOr0~0_combout ;
+wire \bcd|A10|WideOr3~0_combout ;
+wire \bcd|A10|WideOr2~0_combout ;
+wire \bcd|A13|WideOr3~0_combout ;
+wire \bcd|A13|WideOr1~0_combout ;
+wire \bcd|A13|WideOr2~0_combout ;
+wire \bcd|A14|WideOr0~0_combout ;
+wire \bcd|A16|WideOr3~0_combout ;
+wire \bcd|A16|WideOr1~0_combout ;
+wire \bcd|A16|WideOr2~0_combout ;
+wire \bcd|A17|WideOr0~0_combout ;
+wire \bcd|A20|WideOr3~0_combout ;
+wire \bcd|A20|WideOr2~0_combout ;
+wire \bcd|A20|WideOr1~0_combout ;
+wire \bcd|A21|WideOr0~0_combout ;
+wire \bcd|A24|WideOr3~0_combout ;
+wire \bcd|A25|WideOr0~0_combout ;
+wire \bcd|A24|WideOr1~0_combout ;
+wire \bcd|A24|WideOr2~0_combout ;
+wire \bcd|A28|WideOr1~0_combout ;
+wire \bcd|A28|WideOr3~0_combout ;
+wire \bcd|A29|WideOr0~0_combout ;
+wire \bcd|A28|WideOr2~0_combout ;
+wire \h1|WideOr6~0_combout ;
+wire \h1|WideOr5~0_combout ;
+wire \h1|WideOr4~0_combout ;
+wire \h1|WideOr3~0_combout ;
+wire \h1|WideOr2~0_combout ;
+wire \h1|WideOr1~0_combout ;
+wire \h1|WideOr0~0_combout ;
+wire \bcd|A1|WideOr0~0_combout ;
+wire \bcd|A2|WideOr0~0_combout ;
+wire \bcd|A6|WideOr0~0_combout ;
+wire \bcd|A4|WideOr0~0_combout ;
+wire \bcd|A15|WideOr2~0_combout ;
+wire \bcd|A16|WideOr0~0_combout ;
+wire \bcd|A15|WideOr3~0_combout ;
+wire \bcd|A15|WideOr1~0_combout ;
+wire \bcd|A19|WideOr1~0_combout ;
+wire \bcd|A19|WideOr3~0_combout ;
+wire \bcd|A19|WideOr2~0_combout ;
+wire \bcd|A20|WideOr0~0_combout ;
+wire \bcd|A23|WideOr2~0_combout ;
+wire \bcd|A23|WideOr3~0_combout ;
+wire \bcd|A24|WideOr0~0_combout ;
+wire \bcd|A23|WideOr1~0_combout ;
+wire \bcd|A27|WideOr1~0_combout ;
+wire \bcd|A28|WideOr0~0_combout ;
+wire \bcd|A27|WideOr2~0_combout ;
+wire \bcd|A27|WideOr3~0_combout ;
+wire \h2|WideOr6~0_combout ;
+wire \h2|WideOr5~0_combout ;
+wire \h2|WideOr4~0_combout ;
+wire \h2|WideOr3~0_combout ;
+wire \h2|WideOr2~0_combout ;
+wire \h2|WideOr1~0_combout ;
+wire \h2|WideOr0~0_combout ;
+wire \bcd|A7|WideOr0~0_combout ;
+wire \bcd|A5|WideOr0~0_combout ;
+wire \bcd|A10|WideOr0~0_combout ;
+wire \bcd|A12|WideOr0~0_combout ;
+wire \bcd|A15|WideOr0~0_combout ;
+wire \bcd|A23|WideOr0~0_combout ;
+wire \bcd|A19|WideOr0~0_combout ;
+wire \bcd|A26|Decoder0~2_combout ;
+wire \bcd|A26|Decoder0~0_combout ;
+wire \bcd|A26|WideOr2~combout ;
+wire \bcd|A27|WideOr0~0_combout ;
+wire \bcd|A26|Decoder0~3_combout ;
+wire \bcd|A26|WideOr1~combout ;
+wire \bcd|A26|Decoder0~1_combout ;
+wire \bcd|A26|WideOr3~0_combout ;
+wire \h3|WideOr6~0_combout ;
+wire \h3|WideOr5~0_combout ;
+wire \h3|WideOr4~0_combout ;
+wire \h3|WideOr3~0_combout ;
+wire \h3|WideOr2~0_combout ;
+wire \h3|WideOr1~0_combout ;
+wire \h3|WideOr0~0_combout ;
+wire \bcd|A26|Decoder0~4_combout ;
+wire \bcd|A22|WideOr0~0_combout ;
+wire \h4|Decoder0~0_combout ;
+wire \bcd|A13|WideOr0~0_combout ;
+wire \h4|Decoder0~2_combout ;
+wire \bcd|A26|WideOr0~combout ;
+wire \h4|Decoder0~1_combout ;
+wire [9:0] \p|count ;
+wire [9:0] \rom|altsyncram_component|auto_generated|q_a ;
+wire [15:0] \dac|shift_reg ;
+wire [15:0] \tick|count ;
+wire [4:0] \dac|state ;
+wire [9:0] \p|d ;
+wire [4:0] \dac|ctr ;
+wire [9:0] \fin_address|address ;
+
+wire [9:0] \rom|altsyncram_component|auto_generated|ram_block1a0_PORTADATAOUT_bus ;
+
+assign \rom|altsyncram_component|auto_generated|q_a [0] = \rom|altsyncram_component|auto_generated|ram_block1a0_PORTADATAOUT_bus [0];
+assign \rom|altsyncram_component|auto_generated|q_a [1] = \rom|altsyncram_component|auto_generated|ram_block1a0_PORTADATAOUT_bus [1];
+assign \rom|altsyncram_component|auto_generated|q_a [2] = \rom|altsyncram_component|auto_generated|ram_block1a0_PORTADATAOUT_bus [2];
+assign \rom|altsyncram_component|auto_generated|q_a [3] = \rom|altsyncram_component|auto_generated|ram_block1a0_PORTADATAOUT_bus [3];
+assign \rom|altsyncram_component|auto_generated|q_a [4] = \rom|altsyncram_component|auto_generated|ram_block1a0_PORTADATAOUT_bus [4];
+assign \rom|altsyncram_component|auto_generated|q_a [5] = \rom|altsyncram_component|auto_generated|ram_block1a0_PORTADATAOUT_bus [5];
+assign \rom|altsyncram_component|auto_generated|q_a [6] = \rom|altsyncram_component|auto_generated|ram_block1a0_PORTADATAOUT_bus [6];
+assign \rom|altsyncram_component|auto_generated|q_a [7] = \rom|altsyncram_component|auto_generated|ram_block1a0_PORTADATAOUT_bus [7];
+assign \rom|altsyncram_component|auto_generated|q_a [8] = \rom|altsyncram_component|auto_generated|ram_block1a0_PORTADATAOUT_bus [8];
+assign \rom|altsyncram_component|auto_generated|q_a [9] = \rom|altsyncram_component|auto_generated|ram_block1a0_PORTADATAOUT_bus [9];
+
+// Location: IOOBUF_X82_Y0_N42
+cyclonev_io_obuf \DAC_CS~output (
+ .i(\dac|WideNor0~combout ),
+ .oe(vcc),
+ .dynamicterminationcontrol(gnd),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .parallelterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(DAC_CS),
+ .obar());
+// synopsys translate_off
+defparam \DAC_CS~output .bus_hold = "false";
+defparam \DAC_CS~output .open_drain_output = "false";
+defparam \DAC_CS~output .shift_series_termination_control = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X58_Y0_N76
+cyclonev_io_obuf \DAC_SDI~output (
+ .i(\dac|shift_reg [15]),
+ .oe(vcc),
+ .dynamicterminationcontrol(gnd),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .parallelterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(DAC_SDI),
+ .obar());
+// synopsys translate_off
+defparam \DAC_SDI~output .bus_hold = "false";
+defparam \DAC_SDI~output .open_drain_output = "false";
+defparam \DAC_SDI~output .shift_series_termination_control = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X68_Y0_N36
+cyclonev_io_obuf \DAC_LD~output (
+ .i(!\dac|Equal2~0_combout ),
+ .oe(vcc),
+ .dynamicterminationcontrol(gnd),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .parallelterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(DAC_LD),
+ .obar());
+// synopsys translate_off
+defparam \DAC_LD~output .bus_hold = "false";
+defparam \DAC_LD~output .open_drain_output = "false";
+defparam \DAC_LD~output .shift_series_termination_control = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X70_Y0_N2
+cyclonev_io_obuf \DAC_SCK~output (
+ .i(!\dac|dac_sck~combout ),
+ .oe(vcc),
+ .dynamicterminationcontrol(gnd),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .parallelterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(DAC_SCK),
+ .obar());
+// synopsys translate_off
+defparam \DAC_SCK~output .bus_hold = "false";
+defparam \DAC_SCK~output .open_drain_output = "false";
+defparam \DAC_SCK~output .shift_series_termination_control = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X62_Y0_N36
+cyclonev_io_obuf \PWM_OUT~output (
+ .i(\p|pwm_out~q ),
+ .oe(vcc),
+ .dynamicterminationcontrol(gnd),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .parallelterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(PWM_OUT),
+ .obar());
+// synopsys translate_off
+defparam \PWM_OUT~output .bus_hold = "false";
+defparam \PWM_OUT~output .open_drain_output = "false";
+defparam \PWM_OUT~output .shift_series_termination_control = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X89_Y8_N39
+cyclonev_io_obuf \HEX0[0]~output (
+ .i(\h0|WideOr6~0_combout ),
+ .oe(vcc),
+ .dynamicterminationcontrol(gnd),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .parallelterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(HEX0[0]),
+ .obar());
+// synopsys translate_off
+defparam \HEX0[0]~output .bus_hold = "false";
+defparam \HEX0[0]~output .open_drain_output = "false";
+defparam \HEX0[0]~output .shift_series_termination_control = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X89_Y11_N79
+cyclonev_io_obuf \HEX0[1]~output (
+ .i(\h0|WideOr5~0_combout ),
+ .oe(vcc),
+ .dynamicterminationcontrol(gnd),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .parallelterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(HEX0[1]),
+ .obar());
+// synopsys translate_off
+defparam \HEX0[1]~output .bus_hold = "false";
+defparam \HEX0[1]~output .open_drain_output = "false";
+defparam \HEX0[1]~output .shift_series_termination_control = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X89_Y11_N96
+cyclonev_io_obuf \HEX0[2]~output (
+ .i(\h0|WideOr4~0_combout ),
+ .oe(vcc),
+ .dynamicterminationcontrol(gnd),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .parallelterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(HEX0[2]),
+ .obar());
+// synopsys translate_off
+defparam \HEX0[2]~output .bus_hold = "false";
+defparam \HEX0[2]~output .open_drain_output = "false";
+defparam \HEX0[2]~output .shift_series_termination_control = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X89_Y4_N79
+cyclonev_io_obuf \HEX0[3]~output (
+ .i(\h0|WideOr3~0_combout ),
+ .oe(vcc),
+ .dynamicterminationcontrol(gnd),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .parallelterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(HEX0[3]),
+ .obar());
+// synopsys translate_off
+defparam \HEX0[3]~output .bus_hold = "false";
+defparam \HEX0[3]~output .open_drain_output = "false";
+defparam \HEX0[3]~output .shift_series_termination_control = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X89_Y13_N56
+cyclonev_io_obuf \HEX0[4]~output (
+ .i(\h0|WideOr2~0_combout ),
+ .oe(vcc),
+ .dynamicterminationcontrol(gnd),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .parallelterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(HEX0[4]),
+ .obar());
+// synopsys translate_off
+defparam \HEX0[4]~output .bus_hold = "false";
+defparam \HEX0[4]~output .open_drain_output = "false";
+defparam \HEX0[4]~output .shift_series_termination_control = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X89_Y13_N39
+cyclonev_io_obuf \HEX0[5]~output (
+ .i(\h0|WideOr1~0_combout ),
+ .oe(vcc),
+ .dynamicterminationcontrol(gnd),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .parallelterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(HEX0[5]),
+ .obar());
+// synopsys translate_off
+defparam \HEX0[5]~output .bus_hold = "false";
+defparam \HEX0[5]~output .open_drain_output = "false";
+defparam \HEX0[5]~output .shift_series_termination_control = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X89_Y4_N96
+cyclonev_io_obuf \HEX0[6]~output (
+ .i(!\h0|WideOr0~0_combout ),
+ .oe(vcc),
+ .dynamicterminationcontrol(gnd),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .parallelterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(HEX0[6]),
+ .obar());
+// synopsys translate_off
+defparam \HEX0[6]~output .bus_hold = "false";
+defparam \HEX0[6]~output .open_drain_output = "false";
+defparam \HEX0[6]~output .shift_series_termination_control = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X89_Y6_N39
+cyclonev_io_obuf \HEX1[0]~output (
+ .i(!\h1|WideOr6~0_combout ),
+ .oe(vcc),
+ .dynamicterminationcontrol(gnd),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .parallelterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(HEX1[0]),
+ .obar());
+// synopsys translate_off
+defparam \HEX1[0]~output .bus_hold = "false";
+defparam \HEX1[0]~output .open_drain_output = "false";
+defparam \HEX1[0]~output .shift_series_termination_control = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X89_Y6_N56
+cyclonev_io_obuf \HEX1[1]~output (
+ .i(\h1|WideOr5~0_combout ),
+ .oe(vcc),
+ .dynamicterminationcontrol(gnd),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .parallelterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(HEX1[1]),
+ .obar());
+// synopsys translate_off
+defparam \HEX1[1]~output .bus_hold = "false";
+defparam \HEX1[1]~output .open_drain_output = "false";
+defparam \HEX1[1]~output .shift_series_termination_control = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X89_Y16_N39
+cyclonev_io_obuf \HEX1[2]~output (
+ .i(\h1|WideOr4~0_combout ),
+ .oe(vcc),
+ .dynamicterminationcontrol(gnd),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .parallelterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(HEX1[2]),
+ .obar());
+// synopsys translate_off
+defparam \HEX1[2]~output .bus_hold = "false";
+defparam \HEX1[2]~output .open_drain_output = "false";
+defparam \HEX1[2]~output .shift_series_termination_control = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X89_Y16_N56
+cyclonev_io_obuf \HEX1[3]~output (
+ .i(!\h1|WideOr3~0_combout ),
+ .oe(vcc),
+ .dynamicterminationcontrol(gnd),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .parallelterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(HEX1[3]),
+ .obar());
+// synopsys translate_off
+defparam \HEX1[3]~output .bus_hold = "false";
+defparam \HEX1[3]~output .open_drain_output = "false";
+defparam \HEX1[3]~output .shift_series_termination_control = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X89_Y15_N39
+cyclonev_io_obuf \HEX1[4]~output (
+ .i(!\h1|WideOr2~0_combout ),
+ .oe(vcc),
+ .dynamicterminationcontrol(gnd),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .parallelterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(HEX1[4]),
+ .obar());
+// synopsys translate_off
+defparam \HEX1[4]~output .bus_hold = "false";
+defparam \HEX1[4]~output .open_drain_output = "false";
+defparam \HEX1[4]~output .shift_series_termination_control = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X89_Y15_N56
+cyclonev_io_obuf \HEX1[5]~output (
+ .i(!\h1|WideOr1~0_combout ),
+ .oe(vcc),
+ .dynamicterminationcontrol(gnd),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .parallelterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(HEX1[5]),
+ .obar());
+// synopsys translate_off
+defparam \HEX1[5]~output .bus_hold = "false";
+defparam \HEX1[5]~output .open_drain_output = "false";
+defparam \HEX1[5]~output .shift_series_termination_control = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X89_Y8_N56
+cyclonev_io_obuf \HEX1[6]~output (
+ .i(!\h1|WideOr0~0_combout ),
+ .oe(vcc),
+ .dynamicterminationcontrol(gnd),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .parallelterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(HEX1[6]),
+ .obar());
+// synopsys translate_off
+defparam \HEX1[6]~output .bus_hold = "false";
+defparam \HEX1[6]~output .open_drain_output = "false";
+defparam \HEX1[6]~output .shift_series_termination_control = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X89_Y9_N22
+cyclonev_io_obuf \HEX2[0]~output (
+ .i(!\h2|WideOr6~0_combout ),
+ .oe(vcc),
+ .dynamicterminationcontrol(gnd),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .parallelterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(HEX2[0]),
+ .obar());
+// synopsys translate_off
+defparam \HEX2[0]~output .bus_hold = "false";
+defparam \HEX2[0]~output .open_drain_output = "false";
+defparam \HEX2[0]~output .shift_series_termination_control = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X89_Y23_N39
+cyclonev_io_obuf \HEX2[1]~output (
+ .i(\h2|WideOr5~0_combout ),
+ .oe(vcc),
+ .dynamicterminationcontrol(gnd),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .parallelterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(HEX2[1]),
+ .obar());
+// synopsys translate_off
+defparam \HEX2[1]~output .bus_hold = "false";
+defparam \HEX2[1]~output .open_drain_output = "false";
+defparam \HEX2[1]~output .shift_series_termination_control = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X89_Y23_N56
+cyclonev_io_obuf \HEX2[2]~output (
+ .i(\h2|WideOr4~0_combout ),
+ .oe(vcc),
+ .dynamicterminationcontrol(gnd),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .parallelterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(HEX2[2]),
+ .obar());
+// synopsys translate_off
+defparam \HEX2[2]~output .bus_hold = "false";
+defparam \HEX2[2]~output .open_drain_output = "false";
+defparam \HEX2[2]~output .shift_series_termination_control = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X89_Y20_N79
+cyclonev_io_obuf \HEX2[3]~output (
+ .i(!\h2|WideOr3~0_combout ),
+ .oe(vcc),
+ .dynamicterminationcontrol(gnd),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .parallelterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(HEX2[3]),
+ .obar());
+// synopsys translate_off
+defparam \HEX2[3]~output .bus_hold = "false";
+defparam \HEX2[3]~output .open_drain_output = "false";
+defparam \HEX2[3]~output .shift_series_termination_control = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X89_Y25_N39
+cyclonev_io_obuf \HEX2[4]~output (
+ .i(!\h2|WideOr2~0_combout ),
+ .oe(vcc),
+ .dynamicterminationcontrol(gnd),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .parallelterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(HEX2[4]),
+ .obar());
+// synopsys translate_off
+defparam \HEX2[4]~output .bus_hold = "false";
+defparam \HEX2[4]~output .open_drain_output = "false";
+defparam \HEX2[4]~output .shift_series_termination_control = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X89_Y20_N96
+cyclonev_io_obuf \HEX2[5]~output (
+ .i(!\h2|WideOr1~0_combout ),
+ .oe(vcc),
+ .dynamicterminationcontrol(gnd),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .parallelterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(HEX2[5]),
+ .obar());
+// synopsys translate_off
+defparam \HEX2[5]~output .bus_hold = "false";
+defparam \HEX2[5]~output .open_drain_output = "false";
+defparam \HEX2[5]~output .shift_series_termination_control = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X89_Y25_N56
+cyclonev_io_obuf \HEX2[6]~output (
+ .i(!\h2|WideOr0~0_combout ),
+ .oe(vcc),
+ .dynamicterminationcontrol(gnd),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .parallelterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(HEX2[6]),
+ .obar());
+// synopsys translate_off
+defparam \HEX2[6]~output .bus_hold = "false";
+defparam \HEX2[6]~output .open_drain_output = "false";
+defparam \HEX2[6]~output .shift_series_termination_control = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X89_Y16_N5
+cyclonev_io_obuf \HEX3[0]~output (
+ .i(!\h3|WideOr6~0_combout ),
+ .oe(vcc),
+ .dynamicterminationcontrol(gnd),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .parallelterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(HEX3[0]),
+ .obar());
+// synopsys translate_off
+defparam \HEX3[0]~output .bus_hold = "false";
+defparam \HEX3[0]~output .open_drain_output = "false";
+defparam \HEX3[0]~output .shift_series_termination_control = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X89_Y16_N22
+cyclonev_io_obuf \HEX3[1]~output (
+ .i(\h3|WideOr5~0_combout ),
+ .oe(vcc),
+ .dynamicterminationcontrol(gnd),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .parallelterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(HEX3[1]),
+ .obar());
+// synopsys translate_off
+defparam \HEX3[1]~output .bus_hold = "false";
+defparam \HEX3[1]~output .open_drain_output = "false";
+defparam \HEX3[1]~output .shift_series_termination_control = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X89_Y4_N45
+cyclonev_io_obuf \HEX3[2]~output (
+ .i(\h3|WideOr4~0_combout ),
+ .oe(vcc),
+ .dynamicterminationcontrol(gnd),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .parallelterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(HEX3[2]),
+ .obar());
+// synopsys translate_off
+defparam \HEX3[2]~output .bus_hold = "false";
+defparam \HEX3[2]~output .open_drain_output = "false";
+defparam \HEX3[2]~output .shift_series_termination_control = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X89_Y4_N62
+cyclonev_io_obuf \HEX3[3]~output (
+ .i(!\h3|WideOr3~0_combout ),
+ .oe(vcc),
+ .dynamicterminationcontrol(gnd),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .parallelterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(HEX3[3]),
+ .obar());
+// synopsys translate_off
+defparam \HEX3[3]~output .bus_hold = "false";
+defparam \HEX3[3]~output .open_drain_output = "false";
+defparam \HEX3[3]~output .shift_series_termination_control = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X89_Y21_N39
+cyclonev_io_obuf \HEX3[4]~output (
+ .i(!\h3|WideOr2~0_combout ),
+ .oe(vcc),
+ .dynamicterminationcontrol(gnd),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .parallelterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(HEX3[4]),
+ .obar());
+// synopsys translate_off
+defparam \HEX3[4]~output .bus_hold = "false";
+defparam \HEX3[4]~output .open_drain_output = "false";
+defparam \HEX3[4]~output .shift_series_termination_control = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X89_Y11_N62
+cyclonev_io_obuf \HEX3[5]~output (
+ .i(!\h3|WideOr1~0_combout ),
+ .oe(vcc),
+ .dynamicterminationcontrol(gnd),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .parallelterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(HEX3[5]),
+ .obar());
+// synopsys translate_off
+defparam \HEX3[5]~output .bus_hold = "false";
+defparam \HEX3[5]~output .open_drain_output = "false";
+defparam \HEX3[5]~output .shift_series_termination_control = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X89_Y9_N5
+cyclonev_io_obuf \HEX3[6]~output (
+ .i(!\h3|WideOr0~0_combout ),
+ .oe(vcc),
+ .dynamicterminationcontrol(gnd),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .parallelterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(HEX3[6]),
+ .obar());
+// synopsys translate_off
+defparam \HEX3[6]~output .bus_hold = "false";
+defparam \HEX3[6]~output .open_drain_output = "false";
+defparam \HEX3[6]~output .shift_series_termination_control = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X89_Y11_N45
+cyclonev_io_obuf \HEX4[0]~output (
+ .i(\h4|Decoder0~0_combout ),
+ .oe(vcc),
+ .dynamicterminationcontrol(gnd),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .parallelterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(HEX4[0]),
+ .obar());
+// synopsys translate_off
+defparam \HEX4[0]~output .bus_hold = "false";
+defparam \HEX4[0]~output .open_drain_output = "false";
+defparam \HEX4[0]~output .shift_series_termination_control = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X89_Y13_N5
+cyclonev_io_obuf \HEX4[1]~output (
+ .i(gnd),
+ .oe(vcc),
+ .dynamicterminationcontrol(gnd),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .parallelterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(HEX4[1]),
+ .obar());
+// synopsys translate_off
+defparam \HEX4[1]~output .bus_hold = "false";
+defparam \HEX4[1]~output .open_drain_output = "false";
+defparam \HEX4[1]~output .shift_series_termination_control = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X89_Y13_N22
+cyclonev_io_obuf \HEX4[2]~output (
+ .i(\h4|Decoder0~2_combout ),
+ .oe(vcc),
+ .dynamicterminationcontrol(gnd),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .parallelterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(HEX4[2]),
+ .obar());
+// synopsys translate_off
+defparam \HEX4[2]~output .bus_hold = "false";
+defparam \HEX4[2]~output .open_drain_output = "false";
+defparam \HEX4[2]~output .shift_series_termination_control = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X89_Y8_N22
+cyclonev_io_obuf \HEX4[3]~output (
+ .i(\h4|Decoder0~0_combout ),
+ .oe(vcc),
+ .dynamicterminationcontrol(gnd),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .parallelterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(HEX4[3]),
+ .obar());
+// synopsys translate_off
+defparam \HEX4[3]~output .bus_hold = "false";
+defparam \HEX4[3]~output .open_drain_output = "false";
+defparam \HEX4[3]~output .shift_series_termination_control = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X89_Y15_N22
+cyclonev_io_obuf \HEX4[4]~output (
+ .i(!\bcd|A26|WideOr0~combout ),
+ .oe(vcc),
+ .dynamicterminationcontrol(gnd),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .parallelterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(HEX4[4]),
+ .obar());
+// synopsys translate_off
+defparam \HEX4[4]~output .bus_hold = "false";
+defparam \HEX4[4]~output .open_drain_output = "false";
+defparam \HEX4[4]~output .shift_series_termination_control = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X89_Y15_N5
+cyclonev_io_obuf \HEX4[5]~output (
+ .i(!\h4|Decoder0~1_combout ),
+ .oe(vcc),
+ .dynamicterminationcontrol(gnd),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .parallelterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(HEX4[5]),
+ .obar());
+// synopsys translate_off
+defparam \HEX4[5]~output .bus_hold = "false";
+defparam \HEX4[5]~output .open_drain_output = "false";
+defparam \HEX4[5]~output .shift_series_termination_control = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X89_Y20_N45
+cyclonev_io_obuf \HEX4[6]~output (
+ .i(\bcd|A22|WideOr0~0_combout ),
+ .oe(vcc),
+ .dynamicterminationcontrol(gnd),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .parallelterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(HEX4[6]),
+ .obar());
+// synopsys translate_off
+defparam \HEX4[6]~output .bus_hold = "false";
+defparam \HEX4[6]~output .open_drain_output = "false";
+defparam \HEX4[6]~output .shift_series_termination_control = "false";
+// synopsys translate_on
+
+// Location: IOIBUF_X32_Y0_N1
+cyclonev_io_ibuf \CLOCK_50~input (
+ .i(CLOCK_50),
+ .ibar(gnd),
+ .dynamicterminationcontrol(gnd),
+ .o(\CLOCK_50~input_o ));
+// synopsys translate_off
+defparam \CLOCK_50~input .bus_hold = "false";
+defparam \CLOCK_50~input .simulate_z_as = "z";
+// synopsys translate_on
+
+// Location: CLKCTRL_G6
+cyclonev_clkena \CLOCK_50~inputCLKENA0 (
+ .inclk(\CLOCK_50~input_o ),
+ .ena(vcc),
+ .outclk(\CLOCK_50~inputCLKENA0_outclk ),
+ .enaout());
+// synopsys translate_off
+defparam \CLOCK_50~inputCLKENA0 .clock_type = "global clock";
+defparam \CLOCK_50~inputCLKENA0 .disable_mode = "low";
+defparam \CLOCK_50~inputCLKENA0 .ena_register_mode = "always enabled";
+defparam \CLOCK_50~inputCLKENA0 .ena_register_power_up = "high";
+defparam \CLOCK_50~inputCLKENA0 .test_syn = "high";
+// synopsys translate_on
+
+// Location: FF_X63_Y2_N25
+dffeas \dac|ctr[2] (
+ .clk(\CLOCK_50~inputCLKENA0_outclk ),
+ .d(\dac|ctr~0_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\dac|ctr [2]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \dac|ctr[2] .is_wysiwyg = "true";
+defparam \dac|ctr[2] .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y2_N45
+cyclonev_lcell_comb \dac|ctr~1 (
+// Equation(s):
+// \dac|ctr~1_combout = ( \dac|ctr [1] & ( !\dac|ctr [0] ) ) # ( !\dac|ctr [1] & ( (!\dac|ctr [0] & (((\dac|ctr [4]) # (\dac|ctr [2])) # (\dac|ctr [3]))) ) )
+
+ .dataa(!\dac|ctr [3]),
+ .datab(!\dac|ctr [2]),
+ .datac(!\dac|ctr [4]),
+ .datad(!\dac|ctr [0]),
+ .datae(gnd),
+ .dataf(!\dac|ctr [1]),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\dac|ctr~1_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \dac|ctr~1 .extended_lut = "off";
+defparam \dac|ctr~1 .lut_mask = 64'h7F007F00FF00FF00;
+defparam \dac|ctr~1 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X63_Y2_N46
+dffeas \dac|ctr[0] (
+ .clk(\CLOCK_50~inputCLKENA0_outclk ),
+ .d(\dac|ctr~1_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\dac|ctr [0]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \dac|ctr[0] .is_wysiwyg = "true";
+defparam \dac|ctr[0] .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y2_N51
+cyclonev_lcell_comb \dac|Add0~1 (
+// Equation(s):
+// \dac|Add0~1_combout = ( \dac|ctr [1] & ( \dac|ctr [3] ) ) # ( !\dac|ctr [1] & ( !\dac|ctr [3] $ (((\dac|ctr [0]) # (\dac|ctr[2]~DUPLICATE_q ))) ) )
+
+ .dataa(!\dac|ctr[2]~DUPLICATE_q ),
+ .datab(gnd),
+ .datac(!\dac|ctr [0]),
+ .datad(!\dac|ctr [3]),
+ .datae(gnd),
+ .dataf(!\dac|ctr [1]),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\dac|Add0~1_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \dac|Add0~1 .extended_lut = "off";
+defparam \dac|Add0~1 .lut_mask = 64'hA05FA05F00FF00FF;
+defparam \dac|Add0~1 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X63_Y2_N53
+dffeas \dac|ctr[3] (
+ .clk(\CLOCK_50~inputCLKENA0_outclk ),
+ .d(\dac|Add0~1_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\dac|ctr [3]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \dac|ctr[3] .is_wysiwyg = "true";
+defparam \dac|ctr[3] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X63_Y2_N47
+dffeas \dac|ctr[0]~DUPLICATE (
+ .clk(\CLOCK_50~inputCLKENA0_outclk ),
+ .d(\dac|ctr~1_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\dac|ctr[0]~DUPLICATE_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \dac|ctr[0]~DUPLICATE .is_wysiwyg = "true";
+defparam \dac|ctr[0]~DUPLICATE .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y2_N42
+cyclonev_lcell_comb \dac|Add0~0 (
+// Equation(s):
+// \dac|Add0~0_combout = ( \dac|ctr[0]~DUPLICATE_q & ( \dac|ctr [4] ) ) # ( !\dac|ctr[0]~DUPLICATE_q & ( !\dac|ctr [4] $ ((((\dac|ctr [1]) # (\dac|ctr [2])) # (\dac|ctr [3]))) ) )
+
+ .dataa(!\dac|ctr [3]),
+ .datab(!\dac|ctr [2]),
+ .datac(!\dac|ctr [1]),
+ .datad(!\dac|ctr [4]),
+ .datae(gnd),
+ .dataf(!\dac|ctr[0]~DUPLICATE_q ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\dac|Add0~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \dac|Add0~0 .extended_lut = "off";
+defparam \dac|Add0~0 .lut_mask = 64'h807F807F00FF00FF;
+defparam \dac|Add0~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X63_Y2_N44
+dffeas \dac|ctr[4] (
+ .clk(\CLOCK_50~inputCLKENA0_outclk ),
+ .d(\dac|Add0~0_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\dac|ctr [4]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \dac|ctr[4] .is_wysiwyg = "true";
+defparam \dac|ctr[4] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X63_Y2_N52
+dffeas \dac|ctr[3]~DUPLICATE (
+ .clk(\CLOCK_50~inputCLKENA0_outclk ),
+ .d(\dac|Add0~1_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\dac|ctr[3]~DUPLICATE_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \dac|ctr[3]~DUPLICATE .is_wysiwyg = "true";
+defparam \dac|ctr[3]~DUPLICATE .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y2_N24
+cyclonev_lcell_comb \dac|ctr~0 (
+// Equation(s):
+// \dac|ctr~0_combout = ( \dac|ctr [2] & ( \dac|ctr[0]~DUPLICATE_q ) ) # ( \dac|ctr [2] & ( !\dac|ctr[0]~DUPLICATE_q & ( \dac|ctr [1] ) ) ) # ( !\dac|ctr [2] & ( !\dac|ctr[0]~DUPLICATE_q & ( (!\dac|ctr [1] & ((\dac|ctr[3]~DUPLICATE_q ) # (\dac|ctr [4])))
+// ) ) )
+
+ .dataa(gnd),
+ .datab(!\dac|ctr [4]),
+ .datac(!\dac|ctr [1]),
+ .datad(!\dac|ctr[3]~DUPLICATE_q ),
+ .datae(!\dac|ctr [2]),
+ .dataf(!\dac|ctr[0]~DUPLICATE_q ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\dac|ctr~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \dac|ctr~0 .extended_lut = "off";
+defparam \dac|ctr~0 .lut_mask = 64'h30F00F0F0000FFFF;
+defparam \dac|ctr~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X63_Y2_N26
+dffeas \dac|ctr[2]~DUPLICATE (
+ .clk(\CLOCK_50~inputCLKENA0_outclk ),
+ .d(\dac|ctr~0_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\dac|ctr[2]~DUPLICATE_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \dac|ctr[2]~DUPLICATE .is_wysiwyg = "true";
+defparam \dac|ctr[2]~DUPLICATE .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y2_N48
+cyclonev_lcell_comb \dac|ctr~2 (
+// Equation(s):
+// \dac|ctr~2_combout = ( \dac|ctr[0]~DUPLICATE_q & ( \dac|ctr [1] ) ) # ( !\dac|ctr[0]~DUPLICATE_q & ( (!\dac|ctr [1] & (((\dac|ctr [3]) # (\dac|ctr [4])) # (\dac|ctr[2]~DUPLICATE_q ))) ) )
+
+ .dataa(!\dac|ctr[2]~DUPLICATE_q ),
+ .datab(!\dac|ctr [4]),
+ .datac(!\dac|ctr [3]),
+ .datad(!\dac|ctr [1]),
+ .datae(gnd),
+ .dataf(!\dac|ctr[0]~DUPLICATE_q ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\dac|ctr~2_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \dac|ctr~2 .extended_lut = "off";
+defparam \dac|ctr~2 .lut_mask = 64'h7F007F0000FF00FF;
+defparam \dac|ctr~2 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X63_Y2_N50
+dffeas \dac|ctr[1] (
+ .clk(\CLOCK_50~inputCLKENA0_outclk ),
+ .d(\dac|ctr~2_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\dac|ctr [1]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \dac|ctr[1] .is_wysiwyg = "true";
+defparam \dac|ctr[1] .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y2_N12
+cyclonev_lcell_comb \dac|clk_1MHz~0 (
+// Equation(s):
+// \dac|clk_1MHz~0_combout = ( \dac|ctr[3]~DUPLICATE_q & ( \dac|clk_1MHz~q ) ) # ( !\dac|ctr[3]~DUPLICATE_q & ( \dac|clk_1MHz~q & ( (((\dac|ctr[0]~DUPLICATE_q ) # (\dac|ctr[2]~DUPLICATE_q )) # (\dac|ctr [4])) # (\dac|ctr [1]) ) ) ) # (
+// !\dac|ctr[3]~DUPLICATE_q & ( !\dac|clk_1MHz~q & ( (!\dac|ctr [1] & (!\dac|ctr [4] & (!\dac|ctr[2]~DUPLICATE_q & !\dac|ctr[0]~DUPLICATE_q ))) ) ) )
+
+ .dataa(!\dac|ctr [1]),
+ .datab(!\dac|ctr [4]),
+ .datac(!\dac|ctr[2]~DUPLICATE_q ),
+ .datad(!\dac|ctr[0]~DUPLICATE_q ),
+ .datae(!\dac|ctr[3]~DUPLICATE_q ),
+ .dataf(!\dac|clk_1MHz~q ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\dac|clk_1MHz~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \dac|clk_1MHz~0 .extended_lut = "off";
+defparam \dac|clk_1MHz~0 .lut_mask = 64'h800000007FFFFFFF;
+defparam \dac|clk_1MHz~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y2_N21
+cyclonev_lcell_comb \dac|clk_1MHz~feeder (
+// Equation(s):
+// \dac|clk_1MHz~feeder_combout = ( \dac|clk_1MHz~0_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\dac|clk_1MHz~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\dac|clk_1MHz~feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \dac|clk_1MHz~feeder .extended_lut = "off";
+defparam \dac|clk_1MHz~feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \dac|clk_1MHz~feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X63_Y2_N23
+dffeas \dac|clk_1MHz (
+ .clk(\CLOCK_50~input_o ),
+ .d(\dac|clk_1MHz~feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\dac|clk_1MHz~q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \dac|clk_1MHz .is_wysiwyg = "true";
+defparam \dac|clk_1MHz .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y2_N21
+cyclonev_lcell_comb \dac|state~0 (
+// Equation(s):
+// \dac|state~0_combout = ( \dac|state [0] & ( (!\dac|state[3]~DUPLICATE_q & (\dac|state [4] & ((\dac|state [2]) # (\dac|state [1])))) # (\dac|state[3]~DUPLICATE_q & (!\dac|state [4] $ (((!\dac|state [1]) # (!\dac|state [2]))))) ) ) # ( !\dac|state [0] &
+// ( \dac|state [4] ) )
+
+ .dataa(!\dac|state [4]),
+ .datab(!\dac|state[3]~DUPLICATE_q ),
+ .datac(!\dac|state [1]),
+ .datad(!\dac|state [2]),
+ .datae(gnd),
+ .dataf(!\dac|state [0]),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\dac|state~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \dac|state~0 .extended_lut = "off";
+defparam \dac|state~0 .lut_mask = 64'h5555555515561556;
+defparam \dac|state~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X62_Y2_N50
+dffeas \dac|state[4] (
+ .clk(\dac|clk_1MHz~q ),
+ .d(gnd),
+ .asdata(\dac|state~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\dac|state [4]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \dac|state[4] .is_wysiwyg = "true";
+defparam \dac|state[4] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X59_Y2_N47
+dffeas \tick|count[15]~DUPLICATE (
+ .clk(\CLOCK_50~inputCLKENA0_outclk ),
+ .d(\tick|Add0~33_sumout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\tick|Equal0~3_combout ),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\tick|count[15]~DUPLICATE_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \tick|count[15]~DUPLICATE .is_wysiwyg = "true";
+defparam \tick|count[15]~DUPLICATE .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y2_N0
+cyclonev_lcell_comb \tick|Add0~9 (
+// Equation(s):
+// \tick|Add0~9_sumout = SUM(( !\tick|count [0] ) + ( VCC ) + ( !VCC ))
+// \tick|Add0~10 = CARRY(( !\tick|count [0] ) + ( VCC ) + ( !VCC ))
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(!\tick|count [0]),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\tick|Add0~9_sumout ),
+ .cout(\tick|Add0~10 ),
+ .shareout());
+// synopsys translate_off
+defparam \tick|Add0~9 .extended_lut = "off";
+defparam \tick|Add0~9 .lut_mask = 64'h000000000000FF00;
+defparam \tick|Add0~9 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y2_N3
+cyclonev_lcell_comb \tick|count[0]~1 (
+// Equation(s):
+// \tick|count[0]~1_combout = ( !\tick|Add0~9_sumout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\tick|Add0~9_sumout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\tick|count[0]~1_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \tick|count[0]~1 .extended_lut = "off";
+defparam \tick|count[0]~1 .lut_mask = 64'hFFFFFFFF00000000;
+defparam \tick|count[0]~1 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X60_Y2_N5
+dffeas \tick|count[0] (
+ .clk(\CLOCK_50~inputCLKENA0_outclk ),
+ .d(\tick|count[0]~1_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\tick|count [0]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \tick|count[0] .is_wysiwyg = "true";
+defparam \tick|count[0] .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y2_N3
+cyclonev_lcell_comb \tick|Add0~13 (
+// Equation(s):
+// \tick|Add0~13_sumout = SUM(( !\tick|count [1] ) + ( VCC ) + ( \tick|Add0~10 ))
+// \tick|Add0~14 = CARRY(( !\tick|count [1] ) + ( VCC ) + ( \tick|Add0~10 ))
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(!\tick|count [1]),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(\tick|Add0~10 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\tick|Add0~13_sumout ),
+ .cout(\tick|Add0~14 ),
+ .shareout());
+// synopsys translate_off
+defparam \tick|Add0~13 .extended_lut = "off";
+defparam \tick|Add0~13 .lut_mask = 64'h000000000000FF00;
+defparam \tick|Add0~13 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y2_N15
+cyclonev_lcell_comb \tick|count[1]~2 (
+// Equation(s):
+// \tick|count[1]~2_combout = ( !\tick|Add0~13_sumout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\tick|Add0~13_sumout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\tick|count[1]~2_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \tick|count[1]~2 .extended_lut = "off";
+defparam \tick|count[1]~2 .lut_mask = 64'hFFFFFFFF00000000;
+defparam \tick|count[1]~2 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X60_Y2_N17
+dffeas \tick|count[1] (
+ .clk(\CLOCK_50~inputCLKENA0_outclk ),
+ .d(\tick|count[1]~2_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\tick|count [1]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \tick|count[1] .is_wysiwyg = "true";
+defparam \tick|count[1] .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y2_N6
+cyclonev_lcell_comb \tick|Add0~17 (
+// Equation(s):
+// \tick|Add0~17_sumout = SUM(( !\tick|count [2] ) + ( VCC ) + ( \tick|Add0~14 ))
+// \tick|Add0~18 = CARRY(( !\tick|count [2] ) + ( VCC ) + ( \tick|Add0~14 ))
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\tick|count [2]),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(\tick|Add0~14 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\tick|Add0~17_sumout ),
+ .cout(\tick|Add0~18 ),
+ .shareout());
+// synopsys translate_off
+defparam \tick|Add0~17 .extended_lut = "off";
+defparam \tick|Add0~17 .lut_mask = 64'h000000000000F0F0;
+defparam \tick|Add0~17 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y2_N48
+cyclonev_lcell_comb \tick|count[2]~3 (
+// Equation(s):
+// \tick|count[2]~3_combout = ( !\tick|Add0~17_sumout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\tick|Add0~17_sumout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\tick|count[2]~3_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \tick|count[2]~3 .extended_lut = "off";
+defparam \tick|count[2]~3 .lut_mask = 64'hFFFFFFFF00000000;
+defparam \tick|count[2]~3 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X59_Y2_N50
+dffeas \tick|count[2] (
+ .clk(\CLOCK_50~inputCLKENA0_outclk ),
+ .d(\tick|count[2]~3_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\tick|count [2]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \tick|count[2] .is_wysiwyg = "true";
+defparam \tick|count[2] .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y2_N9
+cyclonev_lcell_comb \tick|Add0~37 (
+// Equation(s):
+// \tick|Add0~37_sumout = SUM(( \tick|count [3] ) + ( VCC ) + ( \tick|Add0~18 ))
+// \tick|Add0~38 = CARRY(( \tick|count [3] ) + ( VCC ) + ( \tick|Add0~18 ))
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(!\tick|count [3]),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(\tick|Add0~18 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\tick|Add0~37_sumout ),
+ .cout(\tick|Add0~38 ),
+ .shareout());
+// synopsys translate_off
+defparam \tick|Add0~37 .extended_lut = "off";
+defparam \tick|Add0~37 .lut_mask = 64'h00000000000000FF;
+defparam \tick|Add0~37 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X59_Y2_N10
+dffeas \tick|count[3] (
+ .clk(\CLOCK_50~inputCLKENA0_outclk ),
+ .d(\tick|Add0~37_sumout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\tick|Equal0~3_combout ),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\tick|count [3]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \tick|count[3] .is_wysiwyg = "true";
+defparam \tick|count[3] .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y2_N12
+cyclonev_lcell_comb \tick|Add0~41 (
+// Equation(s):
+// \tick|Add0~41_sumout = SUM(( \tick|count [4] ) + ( VCC ) + ( \tick|Add0~38 ))
+// \tick|Add0~42 = CARRY(( \tick|count [4] ) + ( VCC ) + ( \tick|Add0~38 ))
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(!\tick|count [4]),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(\tick|Add0~38 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\tick|Add0~41_sumout ),
+ .cout(\tick|Add0~42 ),
+ .shareout());
+// synopsys translate_off
+defparam \tick|Add0~41 .extended_lut = "off";
+defparam \tick|Add0~41 .lut_mask = 64'h00000000000000FF;
+defparam \tick|Add0~41 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X60_Y2_N50
+dffeas \tick|count[4] (
+ .clk(\CLOCK_50~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\tick|Add0~41_sumout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\tick|Equal0~3_combout ),
+ .sload(vcc),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\tick|count [4]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \tick|count[4] .is_wysiwyg = "true";
+defparam \tick|count[4] .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y2_N15
+cyclonev_lcell_comb \tick|Add0~45 (
+// Equation(s):
+// \tick|Add0~45_sumout = SUM(( \tick|count[5]~DUPLICATE_q ) + ( VCC ) + ( \tick|Add0~42 ))
+// \tick|Add0~46 = CARRY(( \tick|count[5]~DUPLICATE_q ) + ( VCC ) + ( \tick|Add0~42 ))
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(!\tick|count[5]~DUPLICATE_q ),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(\tick|Add0~42 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\tick|Add0~45_sumout ),
+ .cout(\tick|Add0~46 ),
+ .shareout());
+// synopsys translate_off
+defparam \tick|Add0~45 .extended_lut = "off";
+defparam \tick|Add0~45 .lut_mask = 64'h00000000000000FF;
+defparam \tick|Add0~45 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y2_N9
+cyclonev_lcell_comb \tick|count[5]~feeder (
+// Equation(s):
+// \tick|count[5]~feeder_combout = ( \tick|Add0~45_sumout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\tick|Add0~45_sumout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\tick|count[5]~feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \tick|count[5]~feeder .extended_lut = "off";
+defparam \tick|count[5]~feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \tick|count[5]~feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X60_Y2_N11
+dffeas \tick|count[5]~DUPLICATE (
+ .clk(\CLOCK_50~inputCLKENA0_outclk ),
+ .d(\tick|count[5]~feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\tick|Equal0~3_combout ),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\tick|count[5]~DUPLICATE_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \tick|count[5]~DUPLICATE .is_wysiwyg = "true";
+defparam \tick|count[5]~DUPLICATE .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y2_N18
+cyclonev_lcell_comb \tick|Add0~5 (
+// Equation(s):
+// \tick|Add0~5_sumout = SUM(( \tick|count [6] ) + ( VCC ) + ( \tick|Add0~46 ))
+// \tick|Add0~6 = CARRY(( \tick|count [6] ) + ( VCC ) + ( \tick|Add0~46 ))
+
+ .dataa(gnd),
+ .datab(!\tick|count [6]),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(\tick|Add0~46 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\tick|Add0~5_sumout ),
+ .cout(\tick|Add0~6 ),
+ .shareout());
+// synopsys translate_off
+defparam \tick|Add0~5 .extended_lut = "off";
+defparam \tick|Add0~5 .lut_mask = 64'h0000000000003333;
+defparam \tick|Add0~5 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X59_Y2_N19
+dffeas \tick|count[6] (
+ .clk(\CLOCK_50~inputCLKENA0_outclk ),
+ .d(\tick|Add0~5_sumout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\tick|Equal0~3_combout ),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\tick|count [6]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \tick|count[6] .is_wysiwyg = "true";
+defparam \tick|count[6] .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y2_N21
+cyclonev_lcell_comb \tick|Add0~21 (
+// Equation(s):
+// \tick|Add0~21_sumout = SUM(( !\tick|count[7]~DUPLICATE_q ) + ( VCC ) + ( \tick|Add0~6 ))
+// \tick|Add0~22 = CARRY(( !\tick|count[7]~DUPLICATE_q ) + ( VCC ) + ( \tick|Add0~6 ))
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(!\tick|count[7]~DUPLICATE_q ),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(\tick|Add0~6 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\tick|Add0~21_sumout ),
+ .cout(\tick|Add0~22 ),
+ .shareout());
+// synopsys translate_off
+defparam \tick|Add0~21 .extended_lut = "off";
+defparam \tick|Add0~21 .lut_mask = 64'h000000000000FF00;
+defparam \tick|Add0~21 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y2_N57
+cyclonev_lcell_comb \tick|count[7]~4 (
+// Equation(s):
+// \tick|count[7]~4_combout = ( !\tick|Add0~21_sumout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(!\tick|Add0~21_sumout ),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\tick|count[7]~4_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \tick|count[7]~4 .extended_lut = "off";
+defparam \tick|count[7]~4 .lut_mask = 64'hFFFF0000FFFF0000;
+defparam \tick|count[7]~4 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X60_Y2_N59
+dffeas \tick|count[7]~DUPLICATE (
+ .clk(\CLOCK_50~inputCLKENA0_outclk ),
+ .d(\tick|count[7]~4_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\tick|count[7]~DUPLICATE_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \tick|count[7]~DUPLICATE .is_wysiwyg = "true";
+defparam \tick|count[7]~DUPLICATE .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y2_N24
+cyclonev_lcell_comb \tick|Add0~25 (
+// Equation(s):
+// \tick|Add0~25_sumout = SUM(( !\tick|count [8] ) + ( VCC ) + ( \tick|Add0~22 ))
+// \tick|Add0~26 = CARRY(( !\tick|count [8] ) + ( VCC ) + ( \tick|Add0~22 ))
+
+ .dataa(gnd),
+ .datab(!\tick|count [8]),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(\tick|Add0~22 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\tick|Add0~25_sumout ),
+ .cout(\tick|Add0~26 ),
+ .shareout());
+// synopsys translate_off
+defparam \tick|Add0~25 .extended_lut = "off";
+defparam \tick|Add0~25 .lut_mask = 64'h000000000000CCCC;
+defparam \tick|Add0~25 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y2_N12
+cyclonev_lcell_comb \tick|count[8]~5 (
+// Equation(s):
+// \tick|count[8]~5_combout = ( !\tick|Add0~25_sumout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\tick|Add0~25_sumout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\tick|count[8]~5_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \tick|count[8]~5 .extended_lut = "off";
+defparam \tick|count[8]~5 .lut_mask = 64'hFFFFFFFF00000000;
+defparam \tick|count[8]~5 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X60_Y2_N14
+dffeas \tick|count[8] (
+ .clk(\CLOCK_50~inputCLKENA0_outclk ),
+ .d(\tick|count[8]~5_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\tick|count [8]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \tick|count[8] .is_wysiwyg = "true";
+defparam \tick|count[8] .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y2_N27
+cyclonev_lcell_comb \tick|Add0~1 (
+// Equation(s):
+// \tick|Add0~1_sumout = SUM(( !\tick|count [9] ) + ( VCC ) + ( \tick|Add0~26 ))
+// \tick|Add0~2 = CARRY(( !\tick|count [9] ) + ( VCC ) + ( \tick|Add0~26 ))
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(!\tick|count [9]),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(\tick|Add0~26 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\tick|Add0~1_sumout ),
+ .cout(\tick|Add0~2 ),
+ .shareout());
+// synopsys translate_off
+defparam \tick|Add0~1 .extended_lut = "off";
+defparam \tick|Add0~1 .lut_mask = 64'h000000000000FF00;
+defparam \tick|Add0~1 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y2_N54
+cyclonev_lcell_comb \tick|count[9]~0 (
+// Equation(s):
+// \tick|count[9]~0_combout = ( !\tick|Add0~1_sumout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\tick|Add0~1_sumout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\tick|count[9]~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \tick|count[9]~0 .extended_lut = "off";
+defparam \tick|count[9]~0 .lut_mask = 64'hFFFFFFFF00000000;
+defparam \tick|count[9]~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X59_Y2_N56
+dffeas \tick|count[9] (
+ .clk(\CLOCK_50~inputCLKENA0_outclk ),
+ .d(\tick|count[9]~0_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\tick|count [9]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \tick|count[9] .is_wysiwyg = "true";
+defparam \tick|count[9] .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y2_N30
+cyclonev_lcell_comb \tick|Add0~49 (
+// Equation(s):
+// \tick|Add0~49_sumout = SUM(( \tick|count [10] ) + ( VCC ) + ( \tick|Add0~2 ))
+// \tick|Add0~50 = CARRY(( \tick|count [10] ) + ( VCC ) + ( \tick|Add0~2 ))
+
+ .dataa(gnd),
+ .datab(!\tick|count [10]),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(\tick|Add0~2 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\tick|Add0~49_sumout ),
+ .cout(\tick|Add0~50 ),
+ .shareout());
+// synopsys translate_off
+defparam \tick|Add0~49 .extended_lut = "off";
+defparam \tick|Add0~49 .lut_mask = 64'h0000000000003333;
+defparam \tick|Add0~49 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X59_Y2_N32
+dffeas \tick|count[10] (
+ .clk(\CLOCK_50~inputCLKENA0_outclk ),
+ .d(\tick|Add0~49_sumout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\tick|Equal0~3_combout ),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\tick|count [10]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \tick|count[10] .is_wysiwyg = "true";
+defparam \tick|count[10] .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y2_N33
+cyclonev_lcell_comb \tick|Add0~53 (
+// Equation(s):
+// \tick|Add0~53_sumout = SUM(( \tick|count [11] ) + ( VCC ) + ( \tick|Add0~50 ))
+// \tick|Add0~54 = CARRY(( \tick|count [11] ) + ( VCC ) + ( \tick|Add0~50 ))
+
+ .dataa(!\tick|count [11]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(\tick|Add0~50 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\tick|Add0~53_sumout ),
+ .cout(\tick|Add0~54 ),
+ .shareout());
+// synopsys translate_off
+defparam \tick|Add0~53 .extended_lut = "off";
+defparam \tick|Add0~53 .lut_mask = 64'h0000000000005555;
+defparam \tick|Add0~53 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X59_Y2_N35
+dffeas \tick|count[11] (
+ .clk(\CLOCK_50~inputCLKENA0_outclk ),
+ .d(\tick|Add0~53_sumout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\tick|Equal0~3_combout ),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\tick|count [11]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \tick|count[11] .is_wysiwyg = "true";
+defparam \tick|count[11] .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y2_N36
+cyclonev_lcell_comb \tick|Add0~29 (
+// Equation(s):
+// \tick|Add0~29_sumout = SUM(( !\tick|count [12] ) + ( VCC ) + ( \tick|Add0~54 ))
+// \tick|Add0~30 = CARRY(( !\tick|count [12] ) + ( VCC ) + ( \tick|Add0~54 ))
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\tick|count [12]),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(\tick|Add0~54 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\tick|Add0~29_sumout ),
+ .cout(\tick|Add0~30 ),
+ .shareout());
+// synopsys translate_off
+defparam \tick|Add0~29 .extended_lut = "off";
+defparam \tick|Add0~29 .lut_mask = 64'h000000000000F0F0;
+defparam \tick|Add0~29 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y2_N27
+cyclonev_lcell_comb \tick|count[12]~6 (
+// Equation(s):
+// \tick|count[12]~6_combout = ( !\tick|Add0~29_sumout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\tick|Add0~29_sumout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\tick|count[12]~6_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \tick|count[12]~6 .extended_lut = "off";
+defparam \tick|count[12]~6 .lut_mask = 64'hFFFFFFFF00000000;
+defparam \tick|count[12]~6 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X60_Y2_N28
+dffeas \tick|count[12] (
+ .clk(\CLOCK_50~inputCLKENA0_outclk ),
+ .d(\tick|count[12]~6_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\tick|count [12]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \tick|count[12] .is_wysiwyg = "true";
+defparam \tick|count[12] .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y2_N39
+cyclonev_lcell_comb \tick|Add0~57 (
+// Equation(s):
+// \tick|Add0~57_sumout = SUM(( \tick|count [13] ) + ( VCC ) + ( \tick|Add0~30 ))
+// \tick|Add0~58 = CARRY(( \tick|count [13] ) + ( VCC ) + ( \tick|Add0~30 ))
+
+ .dataa(gnd),
+ .datab(!\tick|count [13]),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(\tick|Add0~30 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\tick|Add0~57_sumout ),
+ .cout(\tick|Add0~58 ),
+ .shareout());
+// synopsys translate_off
+defparam \tick|Add0~57 .extended_lut = "off";
+defparam \tick|Add0~57 .lut_mask = 64'h0000000000003333;
+defparam \tick|Add0~57 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X59_Y2_N41
+dffeas \tick|count[13] (
+ .clk(\CLOCK_50~inputCLKENA0_outclk ),
+ .d(\tick|Add0~57_sumout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\tick|Equal0~3_combout ),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\tick|count [13]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \tick|count[13] .is_wysiwyg = "true";
+defparam \tick|count[13] .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y2_N42
+cyclonev_lcell_comb \tick|Add0~61 (
+// Equation(s):
+// \tick|Add0~61_sumout = SUM(( \tick|count [14] ) + ( VCC ) + ( \tick|Add0~58 ))
+// \tick|Add0~62 = CARRY(( \tick|count [14] ) + ( VCC ) + ( \tick|Add0~58 ))
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(!\tick|count [14]),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(\tick|Add0~58 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\tick|Add0~61_sumout ),
+ .cout(\tick|Add0~62 ),
+ .shareout());
+// synopsys translate_off
+defparam \tick|Add0~61 .extended_lut = "off";
+defparam \tick|Add0~61 .lut_mask = 64'h00000000000000FF;
+defparam \tick|Add0~61 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X59_Y2_N43
+dffeas \tick|count[14] (
+ .clk(\CLOCK_50~inputCLKENA0_outclk ),
+ .d(\tick|Add0~61_sumout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\tick|Equal0~3_combout ),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\tick|count [14]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \tick|count[14] .is_wysiwyg = "true";
+defparam \tick|count[14] .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y2_N45
+cyclonev_lcell_comb \tick|Add0~33 (
+// Equation(s):
+// \tick|Add0~33_sumout = SUM(( \tick|count[15]~DUPLICATE_q ) + ( VCC ) + ( \tick|Add0~62 ))
+
+ .dataa(gnd),
+ .datab(!\tick|count[15]~DUPLICATE_q ),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(\tick|Add0~62 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\tick|Add0~33_sumout ),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \tick|Add0~33 .extended_lut = "off";
+defparam \tick|Add0~33 .lut_mask = 64'h0000000000003333;
+defparam \tick|Add0~33 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X59_Y2_N46
+dffeas \tick|count[15] (
+ .clk(\CLOCK_50~inputCLKENA0_outclk ),
+ .d(\tick|Add0~33_sumout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\tick|Equal0~3_combout ),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\tick|count [15]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \tick|count[15] .is_wysiwyg = "true";
+defparam \tick|count[15] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X60_Y2_N10
+dffeas \tick|count[5] (
+ .clk(\CLOCK_50~inputCLKENA0_outclk ),
+ .d(\tick|count[5]~feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\tick|Equal0~3_combout ),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\tick|count [5]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \tick|count[5] .is_wysiwyg = "true";
+defparam \tick|count[5] .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y2_N36
+cyclonev_lcell_comb \tick|Equal0~1 (
+// Equation(s):
+// \tick|Equal0~1_combout = ( !\tick|count [5] & ( !\tick|count [4] & ( (!\tick|count [15] & (\tick|count [12] & !\tick|count [3])) ) ) )
+
+ .dataa(!\tick|count [15]),
+ .datab(!\tick|count [12]),
+ .datac(gnd),
+ .datad(!\tick|count [3]),
+ .datae(!\tick|count [5]),
+ .dataf(!\tick|count [4]),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\tick|Equal0~1_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \tick|Equal0~1 .extended_lut = "off";
+defparam \tick|Equal0~1 .lut_mask = 64'h2200000000000000;
+defparam \tick|Equal0~1 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X60_Y2_N58
+dffeas \tick|count[7] (
+ .clk(\CLOCK_50~inputCLKENA0_outclk ),
+ .d(\tick|count[7]~4_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\tick|count [7]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \tick|count[7] .is_wysiwyg = "true";
+defparam \tick|count[7] .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y2_N42
+cyclonev_lcell_comb \tick|Equal0~0 (
+// Equation(s):
+// \tick|Equal0~0_combout = ( \tick|count [8] & ( \tick|count [2] & ( (\tick|count [0] & (\tick|count [1] & \tick|count [7])) ) ) )
+
+ .dataa(!\tick|count [0]),
+ .datab(!\tick|count [1]),
+ .datac(!\tick|count [7]),
+ .datad(gnd),
+ .datae(!\tick|count [8]),
+ .dataf(!\tick|count [2]),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\tick|Equal0~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \tick|Equal0~0 .extended_lut = "off";
+defparam \tick|Equal0~0 .lut_mask = 64'h0000000000000101;
+defparam \tick|Equal0~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y2_N51
+cyclonev_lcell_comb \tick|Equal0~2 (
+// Equation(s):
+// \tick|Equal0~2_combout = ( !\tick|count [11] & ( (!\tick|count [10] & (!\tick|count [13] & !\tick|count [14])) ) )
+
+ .dataa(gnd),
+ .datab(!\tick|count [10]),
+ .datac(!\tick|count [13]),
+ .datad(!\tick|count [14]),
+ .datae(gnd),
+ .dataf(!\tick|count [11]),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\tick|Equal0~2_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \tick|Equal0~2 .extended_lut = "off";
+defparam \tick|Equal0~2 .lut_mask = 64'hC000C00000000000;
+defparam \tick|Equal0~2 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y2_N30
+cyclonev_lcell_comb \tick|Equal0~3 (
+// Equation(s):
+// \tick|Equal0~3_combout = ( \tick|count [9] & ( !\tick|count [6] & ( (\tick|Equal0~1_combout & (\tick|Equal0~0_combout & \tick|Equal0~2_combout )) ) ) )
+
+ .dataa(!\tick|Equal0~1_combout ),
+ .datab(!\tick|Equal0~0_combout ),
+ .datac(!\tick|Equal0~2_combout ),
+ .datad(gnd),
+ .datae(!\tick|count [9]),
+ .dataf(!\tick|count [6]),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\tick|Equal0~3_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \tick|Equal0~3 .extended_lut = "off";
+defparam \tick|Equal0~3 .lut_mask = 64'h0000010100000000;
+defparam \tick|Equal0~3 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y2_N21
+cyclonev_lcell_comb \tick|CLK_OUT~feeder (
+// Equation(s):
+// \tick|CLK_OUT~feeder_combout = \tick|Equal0~3_combout
+
+ .dataa(!\tick|Equal0~3_combout ),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\tick|CLK_OUT~feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \tick|CLK_OUT~feeder .extended_lut = "off";
+defparam \tick|CLK_OUT~feeder .lut_mask = 64'h5555555555555555;
+defparam \tick|CLK_OUT~feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X60_Y2_N23
+dffeas \tick|CLK_OUT (
+ .clk(\CLOCK_50~input_o ),
+ .d(\tick|CLK_OUT~feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\tick|CLK_OUT~q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \tick|CLK_OUT .is_wysiwyg = "true";
+defparam \tick|CLK_OUT .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y2_N27
+cyclonev_lcell_comb \dac|sr_state.IDLE~0 (
+// Equation(s):
+// \dac|sr_state.IDLE~0_combout = ( \tick|CLK_OUT~q & ( (!\dac|sr_state.WAIT_CSB_HIGH~q ) # (!\dac|WideNor0~combout ) ) ) # ( !\tick|CLK_OUT~q & ( (!\dac|WideNor0~combout & (((\dac|sr_state.IDLE~q ) # (\dac|sr_state.WAIT_CSB_FALL~q )))) #
+// (\dac|WideNor0~combout & (!\dac|sr_state.WAIT_CSB_HIGH~q & ((\dac|sr_state.IDLE~q )))) ) )
+
+ .dataa(!\dac|sr_state.WAIT_CSB_HIGH~q ),
+ .datab(!\dac|WideNor0~combout ),
+ .datac(!\dac|sr_state.WAIT_CSB_FALL~q ),
+ .datad(!\dac|sr_state.IDLE~q ),
+ .datae(gnd),
+ .dataf(!\tick|CLK_OUT~q ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\dac|sr_state.IDLE~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \dac|sr_state.IDLE~0 .extended_lut = "off";
+defparam \dac|sr_state.IDLE~0 .lut_mask = 64'h0CEE0CEEEEEEEEEE;
+defparam \dac|sr_state.IDLE~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X62_Y2_N29
+dffeas \dac|sr_state.IDLE (
+ .clk(\CLOCK_50~inputCLKENA0_outclk ),
+ .d(\dac|sr_state.IDLE~0_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\dac|sr_state.IDLE~q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \dac|sr_state.IDLE .is_wysiwyg = "true";
+defparam \dac|sr_state.IDLE .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y2_N30
+cyclonev_lcell_comb \dac|Selector2~0 (
+// Equation(s):
+// \dac|Selector2~0_combout = ( \dac|state [1] & ( \dac|state[3]~DUPLICATE_q & ( \dac|sr_state.IDLE~q ) ) ) # ( !\dac|state [1] & ( \dac|state[3]~DUPLICATE_q & ( \dac|sr_state.IDLE~q ) ) ) # ( \dac|state [1] & ( !\dac|state[3]~DUPLICATE_q & (
+// \dac|sr_state.IDLE~q ) ) ) # ( !\dac|state [1] & ( !\dac|state[3]~DUPLICATE_q & ( (\dac|sr_state.IDLE~q & ((!\dac|state [0] $ (!\dac|state [4])) # (\dac|state [2]))) ) ) )
+
+ .dataa(!\dac|state [0]),
+ .datab(!\dac|state [4]),
+ .datac(!\dac|sr_state.IDLE~q ),
+ .datad(!\dac|state [2]),
+ .datae(!\dac|state [1]),
+ .dataf(!\dac|state[3]~DUPLICATE_q ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\dac|Selector2~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \dac|Selector2~0 .extended_lut = "off";
+defparam \dac|Selector2~0 .lut_mask = 64'h060F0F0F0F0F0F0F;
+defparam \dac|Selector2~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X63_Y2_N32
+dffeas \dac|sr_state.WAIT_CSB_HIGH (
+ .clk(\CLOCK_50~inputCLKENA0_outclk ),
+ .d(\dac|Selector2~0_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\dac|sr_state.WAIT_CSB_HIGH~q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \dac|sr_state.WAIT_CSB_HIGH .is_wysiwyg = "true";
+defparam \dac|sr_state.WAIT_CSB_HIGH .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y2_N24
+cyclonev_lcell_comb \dac|sr_state.WAIT_CSB_FALL~0 (
+// Equation(s):
+// \dac|sr_state.WAIT_CSB_FALL~0_combout = ( \tick|CLK_OUT~q & ( (!\dac|WideNor0~combout & (((!\dac|sr_state.IDLE~q & !\dac|sr_state.WAIT_CSB_FALL~q )))) # (\dac|WideNor0~combout & (!\dac|sr_state.WAIT_CSB_HIGH~q & ((!\dac|sr_state.IDLE~q ) #
+// (\dac|sr_state.WAIT_CSB_FALL~q )))) ) ) # ( !\tick|CLK_OUT~q & ( (!\dac|sr_state.WAIT_CSB_HIGH~q & (\dac|WideNor0~combout & \dac|sr_state.WAIT_CSB_FALL~q )) ) )
+
+ .dataa(!\dac|sr_state.WAIT_CSB_HIGH~q ),
+ .datab(!\dac|WideNor0~combout ),
+ .datac(!\dac|sr_state.IDLE~q ),
+ .datad(!\dac|sr_state.WAIT_CSB_FALL~q ),
+ .datae(gnd),
+ .dataf(!\tick|CLK_OUT~q ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\dac|sr_state.WAIT_CSB_FALL~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \dac|sr_state.WAIT_CSB_FALL~0 .extended_lut = "off";
+defparam \dac|sr_state.WAIT_CSB_FALL~0 .lut_mask = 64'h00220022E022E022;
+defparam \dac|sr_state.WAIT_CSB_FALL~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X62_Y2_N26
+dffeas \dac|sr_state.WAIT_CSB_FALL (
+ .clk(\CLOCK_50~inputCLKENA0_outclk ),
+ .d(\dac|sr_state.WAIT_CSB_FALL~0_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\dac|sr_state.WAIT_CSB_FALL~q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \dac|sr_state.WAIT_CSB_FALL .is_wysiwyg = "true";
+defparam \dac|sr_state.WAIT_CSB_FALL .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y2_N57
+cyclonev_lcell_comb \dac|Selector3~0 (
+// Equation(s):
+// \dac|Selector3~0_combout = ( \dac|state [2] & ( \dac|sr_state.WAIT_CSB_FALL~q & ( !\dac|state [0] ) ) ) # ( !\dac|state [2] & ( \dac|sr_state.WAIT_CSB_FALL~q & ( !\dac|state [0] ) ) ) # ( \dac|state [2] & ( !\dac|sr_state.WAIT_CSB_FALL~q & (
+// !\dac|state [0] ) ) ) # ( !\dac|state [2] & ( !\dac|sr_state.WAIT_CSB_FALL~q & ( (!\dac|state [0] & (((\dac|state [4]) # (\dac|state [1])) # (\dac|state[3]~DUPLICATE_q ))) ) ) )
+
+ .dataa(!\dac|state[3]~DUPLICATE_q ),
+ .datab(!\dac|state [1]),
+ .datac(!\dac|state [0]),
+ .datad(!\dac|state [4]),
+ .datae(!\dac|state [2]),
+ .dataf(!\dac|sr_state.WAIT_CSB_FALL~q ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\dac|Selector3~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \dac|Selector3~0 .extended_lut = "off";
+defparam \dac|Selector3~0 .lut_mask = 64'h70F0F0F0F0F0F0F0;
+defparam \dac|Selector3~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X62_Y2_N38
+dffeas \dac|state[0] (
+ .clk(\dac|clk_1MHz~q ),
+ .d(gnd),
+ .asdata(\dac|Selector3~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\dac|state [0]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \dac|state[0] .is_wysiwyg = "true";
+defparam \dac|state[0] .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y2_N15
+cyclonev_lcell_comb \dac|state~2 (
+// Equation(s):
+// \dac|state~2_combout = ( \dac|state [0] & ( !\dac|state [2] $ (!\dac|state [1]) ) ) # ( !\dac|state [0] & ( \dac|state [2] ) )
+
+ .dataa(!\dac|state [2]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(!\dac|state [1]),
+ .datae(gnd),
+ .dataf(!\dac|state [0]),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\dac|state~2_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \dac|state~2 .extended_lut = "off";
+defparam \dac|state~2 .lut_mask = 64'h5555555555AA55AA;
+defparam \dac|state~2 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X62_Y2_N2
+dffeas \dac|state[2] (
+ .clk(\dac|clk_1MHz~q ),
+ .d(gnd),
+ .asdata(\dac|state~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\dac|state [2]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \dac|state[2] .is_wysiwyg = "true";
+defparam \dac|state[2] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X62_Y2_N31
+dffeas \dac|state[3] (
+ .clk(\dac|clk_1MHz~q ),
+ .d(gnd),
+ .asdata(\dac|state~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\dac|state [3]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \dac|state[3] .is_wysiwyg = "true";
+defparam \dac|state[3] .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y2_N48
+cyclonev_lcell_comb \dac|state~3 (
+// Equation(s):
+// \dac|state~3_combout = ( \dac|state [0] & ( !\dac|state [3] $ (((!\dac|state [2]) # (!\dac|state [1]))) ) ) # ( !\dac|state [0] & ( \dac|state [3] ) )
+
+ .dataa(!\dac|state [2]),
+ .datab(!\dac|state [1]),
+ .datac(!\dac|state [3]),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\dac|state [0]),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\dac|state~3_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \dac|state~3 .extended_lut = "off";
+defparam \dac|state~3 .lut_mask = 64'h0F0F0F0F1E1E1E1E;
+defparam \dac|state~3 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X62_Y2_N32
+dffeas \dac|state[3]~DUPLICATE (
+ .clk(\dac|clk_1MHz~q ),
+ .d(gnd),
+ .asdata(\dac|state~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\dac|state[3]~DUPLICATE_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \dac|state[3]~DUPLICATE .is_wysiwyg = "true";
+defparam \dac|state[3]~DUPLICATE .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y2_N0
+cyclonev_lcell_comb \dac|state~1 (
+// Equation(s):
+// \dac|state~1_combout = ( \dac|state [4] & ( (!\dac|state [1] & (\dac|state [0] & ((\dac|state [2]) # (\dac|state[3]~DUPLICATE_q )))) # (\dac|state [1] & (((!\dac|state [0])))) ) ) # ( !\dac|state [4] & ( !\dac|state [1] $ (!\dac|state [0]) ) )
+
+ .dataa(!\dac|state [1]),
+ .datab(!\dac|state[3]~DUPLICATE_q ),
+ .datac(!\dac|state [0]),
+ .datad(!\dac|state [2]),
+ .datae(gnd),
+ .dataf(!\dac|state [4]),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\dac|state~1_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \dac|state~1 .extended_lut = "off";
+defparam \dac|state~1 .lut_mask = 64'h5A5A5A5A525A525A;
+defparam \dac|state~1 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X62_Y2_N17
+dffeas \dac|state[1] (
+ .clk(\dac|clk_1MHz~q ),
+ .d(gnd),
+ .asdata(\dac|state~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\dac|state [1]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \dac|state[1] .is_wysiwyg = "true";
+defparam \dac|state[1] .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y2_N57
+cyclonev_lcell_comb \dac|WideNor0 (
+// Equation(s):
+// \dac|WideNor0~combout = ( \dac|state [4] & ( (!\dac|state [1] & (\dac|state [0] & (!\dac|state[3]~DUPLICATE_q & !\dac|state [2]))) ) ) # ( !\dac|state [4] & ( (!\dac|state [1] & (!\dac|state [0] & (!\dac|state[3]~DUPLICATE_q & !\dac|state [2]))) ) )
+
+ .dataa(!\dac|state [1]),
+ .datab(!\dac|state [0]),
+ .datac(!\dac|state[3]~DUPLICATE_q ),
+ .datad(!\dac|state [2]),
+ .datae(gnd),
+ .dataf(!\dac|state [4]),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\dac|WideNor0~combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \dac|WideNor0 .extended_lut = "off";
+defparam \dac|WideNor0 .lut_mask = 64'h8000800020002000;
+defparam \dac|WideNor0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: IOIBUF_X12_Y0_N18
+cyclonev_io_ibuf \SW[0]~input (
+ .i(SW[0]),
+ .ibar(gnd),
+ .dynamicterminationcontrol(gnd),
+ .o(\SW[0]~input_o ));
+// synopsys translate_off
+defparam \SW[0]~input .bus_hold = "false";
+defparam \SW[0]~input .simulate_z_as = "z";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y3_N0
+cyclonev_lcell_comb \fin_address|Add0~1 (
+// Equation(s):
+// \fin_address|Add0~1_sumout = SUM(( \fin_address|address [0] ) + ( \SW[0]~input_o ) + ( !VCC ))
+// \fin_address|Add0~2 = CARRY(( \fin_address|address [0] ) + ( \SW[0]~input_o ) + ( !VCC ))
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\SW[0]~input_o ),
+ .datad(!\fin_address|address [0]),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\fin_address|Add0~1_sumout ),
+ .cout(\fin_address|Add0~2 ),
+ .shareout());
+// synopsys translate_off
+defparam \fin_address|Add0~1 .extended_lut = "off";
+defparam \fin_address|Add0~1 .lut_mask = 64'h0000F0F0000000FF;
+defparam \fin_address|Add0~1 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X59_Y3_N59
+dffeas \fin_address|address[0] (
+ .clk(\tick|CLK_OUT~q ),
+ .d(gnd),
+ .asdata(\fin_address|Add0~1_sumout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\fin_address|address [0]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \fin_address|address[0] .is_wysiwyg = "true";
+defparam \fin_address|address[0] .power_up = "low";
+// synopsys translate_on
+
+// Location: IOIBUF_X16_Y0_N1
+cyclonev_io_ibuf \SW[1]~input (
+ .i(SW[1]),
+ .ibar(gnd),
+ .dynamicterminationcontrol(gnd),
+ .o(\SW[1]~input_o ));
+// synopsys translate_off
+defparam \SW[1]~input .bus_hold = "false";
+defparam \SW[1]~input .simulate_z_as = "z";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y3_N3
+cyclonev_lcell_comb \fin_address|Add0~5 (
+// Equation(s):
+// \fin_address|Add0~5_sumout = SUM(( \fin_address|address [1] ) + ( \SW[1]~input_o ) + ( \fin_address|Add0~2 ))
+// \fin_address|Add0~6 = CARRY(( \fin_address|address [1] ) + ( \SW[1]~input_o ) + ( \fin_address|Add0~2 ))
+
+ .dataa(!\SW[1]~input_o ),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(!\fin_address|address [1]),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(\fin_address|Add0~2 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\fin_address|Add0~5_sumout ),
+ .cout(\fin_address|Add0~6 ),
+ .shareout());
+// synopsys translate_off
+defparam \fin_address|Add0~5 .extended_lut = "off";
+defparam \fin_address|Add0~5 .lut_mask = 64'h0000AAAA000000FF;
+defparam \fin_address|Add0~5 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X59_Y3_N47
+dffeas \fin_address|address[1] (
+ .clk(\tick|CLK_OUT~q ),
+ .d(gnd),
+ .asdata(\fin_address|Add0~5_sumout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\fin_address|address [1]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \fin_address|address[1] .is_wysiwyg = "true";
+defparam \fin_address|address[1] .power_up = "low";
+// synopsys translate_on
+
+// Location: IOIBUF_X8_Y0_N35
+cyclonev_io_ibuf \SW[2]~input (
+ .i(SW[2]),
+ .ibar(gnd),
+ .dynamicterminationcontrol(gnd),
+ .o(\SW[2]~input_o ));
+// synopsys translate_off
+defparam \SW[2]~input .bus_hold = "false";
+defparam \SW[2]~input .simulate_z_as = "z";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y3_N6
+cyclonev_lcell_comb \fin_address|Add0~9 (
+// Equation(s):
+// \fin_address|Add0~9_sumout = SUM(( \fin_address|address [2] ) + ( \SW[2]~input_o ) + ( \fin_address|Add0~6 ))
+// \fin_address|Add0~10 = CARRY(( \fin_address|address [2] ) + ( \SW[2]~input_o ) + ( \fin_address|Add0~6 ))
+
+ .dataa(gnd),
+ .datab(!\SW[2]~input_o ),
+ .datac(!\fin_address|address [2]),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(\fin_address|Add0~6 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\fin_address|Add0~9_sumout ),
+ .cout(\fin_address|Add0~10 ),
+ .shareout());
+// synopsys translate_off
+defparam \fin_address|Add0~9 .extended_lut = "off";
+defparam \fin_address|Add0~9 .lut_mask = 64'h0000CCCC00000F0F;
+defparam \fin_address|Add0~9 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y3_N51
+cyclonev_lcell_comb \fin_address|address[2]~feeder (
+// Equation(s):
+// \fin_address|address[2]~feeder_combout = ( \fin_address|Add0~9_sumout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\fin_address|Add0~9_sumout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\fin_address|address[2]~feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \fin_address|address[2]~feeder .extended_lut = "off";
+defparam \fin_address|address[2]~feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \fin_address|address[2]~feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X59_Y3_N53
+dffeas \fin_address|address[2] (
+ .clk(\tick|CLK_OUT~q ),
+ .d(\fin_address|address[2]~feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\fin_address|address [2]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \fin_address|address[2] .is_wysiwyg = "true";
+defparam \fin_address|address[2] .power_up = "low";
+// synopsys translate_on
+
+// Location: IOIBUF_X4_Y0_N52
+cyclonev_io_ibuf \SW[3]~input (
+ .i(SW[3]),
+ .ibar(gnd),
+ .dynamicterminationcontrol(gnd),
+ .o(\SW[3]~input_o ));
+// synopsys translate_off
+defparam \SW[3]~input .bus_hold = "false";
+defparam \SW[3]~input .simulate_z_as = "z";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y3_N9
+cyclonev_lcell_comb \fin_address|Add0~13 (
+// Equation(s):
+// \fin_address|Add0~13_sumout = SUM(( \SW[3]~input_o ) + ( \fin_address|address [3] ) + ( \fin_address|Add0~10 ))
+// \fin_address|Add0~14 = CARRY(( \SW[3]~input_o ) + ( \fin_address|address [3] ) + ( \fin_address|Add0~10 ))
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\fin_address|address [3]),
+ .datad(!\SW[3]~input_o ),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(\fin_address|Add0~10 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\fin_address|Add0~13_sumout ),
+ .cout(\fin_address|Add0~14 ),
+ .shareout());
+// synopsys translate_off
+defparam \fin_address|Add0~13 .extended_lut = "off";
+defparam \fin_address|Add0~13 .lut_mask = 64'h0000F0F0000000FF;
+defparam \fin_address|Add0~13 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y3_N30
+cyclonev_lcell_comb \fin_address|address[3]~feeder (
+// Equation(s):
+// \fin_address|address[3]~feeder_combout = ( \fin_address|Add0~13_sumout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\fin_address|Add0~13_sumout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\fin_address|address[3]~feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \fin_address|address[3]~feeder .extended_lut = "off";
+defparam \fin_address|address[3]~feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \fin_address|address[3]~feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X59_Y3_N32
+dffeas \fin_address|address[3] (
+ .clk(\tick|CLK_OUT~q ),
+ .d(\fin_address|address[3]~feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\fin_address|address [3]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \fin_address|address[3] .is_wysiwyg = "true";
+defparam \fin_address|address[3] .power_up = "low";
+// synopsys translate_on
+
+// Location: IOIBUF_X2_Y0_N41
+cyclonev_io_ibuf \SW[4]~input (
+ .i(SW[4]),
+ .ibar(gnd),
+ .dynamicterminationcontrol(gnd),
+ .o(\SW[4]~input_o ));
+// synopsys translate_off
+defparam \SW[4]~input .bus_hold = "false";
+defparam \SW[4]~input .simulate_z_as = "z";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y3_N12
+cyclonev_lcell_comb \fin_address|Add0~17 (
+// Equation(s):
+// \fin_address|Add0~17_sumout = SUM(( \fin_address|address [4] ) + ( \SW[4]~input_o ) + ( \fin_address|Add0~14 ))
+// \fin_address|Add0~18 = CARRY(( \fin_address|address [4] ) + ( \SW[4]~input_o ) + ( \fin_address|Add0~14 ))
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\SW[4]~input_o ),
+ .datad(!\fin_address|address [4]),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(\fin_address|Add0~14 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\fin_address|Add0~17_sumout ),
+ .cout(\fin_address|Add0~18 ),
+ .shareout());
+// synopsys translate_off
+defparam \fin_address|Add0~17 .extended_lut = "off";
+defparam \fin_address|Add0~17 .lut_mask = 64'h0000F0F0000000FF;
+defparam \fin_address|Add0~17 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y3_N36
+cyclonev_lcell_comb \fin_address|address[4]~feeder (
+// Equation(s):
+// \fin_address|address[4]~feeder_combout = ( \fin_address|Add0~17_sumout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\fin_address|Add0~17_sumout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\fin_address|address[4]~feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \fin_address|address[4]~feeder .extended_lut = "off";
+defparam \fin_address|address[4]~feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \fin_address|address[4]~feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X59_Y3_N38
+dffeas \fin_address|address[4] (
+ .clk(\tick|CLK_OUT~q ),
+ .d(\fin_address|address[4]~feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\fin_address|address [4]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \fin_address|address[4] .is_wysiwyg = "true";
+defparam \fin_address|address[4] .power_up = "low";
+// synopsys translate_on
+
+// Location: IOIBUF_X16_Y0_N18
+cyclonev_io_ibuf \SW[5]~input (
+ .i(SW[5]),
+ .ibar(gnd),
+ .dynamicterminationcontrol(gnd),
+ .o(\SW[5]~input_o ));
+// synopsys translate_off
+defparam \SW[5]~input .bus_hold = "false";
+defparam \SW[5]~input .simulate_z_as = "z";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y3_N15
+cyclonev_lcell_comb \fin_address|Add0~21 (
+// Equation(s):
+// \fin_address|Add0~21_sumout = SUM(( \fin_address|address [5] ) + ( \SW[5]~input_o ) + ( \fin_address|Add0~18 ))
+// \fin_address|Add0~22 = CARRY(( \fin_address|address [5] ) + ( \SW[5]~input_o ) + ( \fin_address|Add0~18 ))
+
+ .dataa(gnd),
+ .datab(!\SW[5]~input_o ),
+ .datac(!\fin_address|address [5]),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(\fin_address|Add0~18 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\fin_address|Add0~21_sumout ),
+ .cout(\fin_address|Add0~22 ),
+ .shareout());
+// synopsys translate_off
+defparam \fin_address|Add0~21 .extended_lut = "off";
+defparam \fin_address|Add0~21 .lut_mask = 64'h0000CCCC00000F0F;
+defparam \fin_address|Add0~21 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y3_N39
+cyclonev_lcell_comb \fin_address|address[5]~feeder (
+// Equation(s):
+// \fin_address|address[5]~feeder_combout = ( \fin_address|Add0~21_sumout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\fin_address|Add0~21_sumout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\fin_address|address[5]~feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \fin_address|address[5]~feeder .extended_lut = "off";
+defparam \fin_address|address[5]~feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \fin_address|address[5]~feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X59_Y3_N41
+dffeas \fin_address|address[5] (
+ .clk(\tick|CLK_OUT~q ),
+ .d(\fin_address|address[5]~feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\fin_address|address [5]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \fin_address|address[5] .is_wysiwyg = "true";
+defparam \fin_address|address[5] .power_up = "low";
+// synopsys translate_on
+
+// Location: IOIBUF_X4_Y0_N35
+cyclonev_io_ibuf \SW[6]~input (
+ .i(SW[6]),
+ .ibar(gnd),
+ .dynamicterminationcontrol(gnd),
+ .o(\SW[6]~input_o ));
+// synopsys translate_off
+defparam \SW[6]~input .bus_hold = "false";
+defparam \SW[6]~input .simulate_z_as = "z";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y3_N18
+cyclonev_lcell_comb \fin_address|Add0~25 (
+// Equation(s):
+// \fin_address|Add0~25_sumout = SUM(( \fin_address|address [6] ) + ( \SW[6]~input_o ) + ( \fin_address|Add0~22 ))
+// \fin_address|Add0~26 = CARRY(( \fin_address|address [6] ) + ( \SW[6]~input_o ) + ( \fin_address|Add0~22 ))
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\fin_address|address [6]),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\SW[6]~input_o ),
+ .datag(gnd),
+ .cin(\fin_address|Add0~22 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\fin_address|Add0~25_sumout ),
+ .cout(\fin_address|Add0~26 ),
+ .shareout());
+// synopsys translate_off
+defparam \fin_address|Add0~25 .extended_lut = "off";
+defparam \fin_address|Add0~25 .lut_mask = 64'h0000FF0000000F0F;
+defparam \fin_address|Add0~25 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y3_N48
+cyclonev_lcell_comb \fin_address|address[6]~feeder (
+// Equation(s):
+// \fin_address|address[6]~feeder_combout = ( \fin_address|Add0~25_sumout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\fin_address|Add0~25_sumout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\fin_address|address[6]~feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \fin_address|address[6]~feeder .extended_lut = "off";
+defparam \fin_address|address[6]~feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \fin_address|address[6]~feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X59_Y3_N50
+dffeas \fin_address|address[6] (
+ .clk(\tick|CLK_OUT~q ),
+ .d(\fin_address|address[6]~feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\fin_address|address [6]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \fin_address|address[6] .is_wysiwyg = "true";
+defparam \fin_address|address[6] .power_up = "low";
+// synopsys translate_on
+
+// Location: IOIBUF_X4_Y0_N1
+cyclonev_io_ibuf \SW[7]~input (
+ .i(SW[7]),
+ .ibar(gnd),
+ .dynamicterminationcontrol(gnd),
+ .o(\SW[7]~input_o ));
+// synopsys translate_off
+defparam \SW[7]~input .bus_hold = "false";
+defparam \SW[7]~input .simulate_z_as = "z";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y3_N21
+cyclonev_lcell_comb \fin_address|Add0~29 (
+// Equation(s):
+// \fin_address|Add0~29_sumout = SUM(( \fin_address|address [7] ) + ( \SW[7]~input_o ) + ( \fin_address|Add0~26 ))
+// \fin_address|Add0~30 = CARRY(( \fin_address|address [7] ) + ( \SW[7]~input_o ) + ( \fin_address|Add0~26 ))
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\SW[7]~input_o ),
+ .datad(!\fin_address|address [7]),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(\fin_address|Add0~26 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\fin_address|Add0~29_sumout ),
+ .cout(\fin_address|Add0~30 ),
+ .shareout());
+// synopsys translate_off
+defparam \fin_address|Add0~29 .extended_lut = "off";
+defparam \fin_address|Add0~29 .lut_mask = 64'h0000F0F0000000FF;
+defparam \fin_address|Add0~29 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y3_N54
+cyclonev_lcell_comb \fin_address|address[7]~feeder (
+// Equation(s):
+// \fin_address|address[7]~feeder_combout = ( \fin_address|Add0~29_sumout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\fin_address|Add0~29_sumout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\fin_address|address[7]~feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \fin_address|address[7]~feeder .extended_lut = "off";
+defparam \fin_address|address[7]~feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \fin_address|address[7]~feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X59_Y3_N56
+dffeas \fin_address|address[7] (
+ .clk(\tick|CLK_OUT~q ),
+ .d(\fin_address|address[7]~feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\fin_address|address [7]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \fin_address|address[7] .is_wysiwyg = "true";
+defparam \fin_address|address[7] .power_up = "low";
+// synopsys translate_on
+
+// Location: IOIBUF_X4_Y0_N18
+cyclonev_io_ibuf \SW[8]~input (
+ .i(SW[8]),
+ .ibar(gnd),
+ .dynamicterminationcontrol(gnd),
+ .o(\SW[8]~input_o ));
+// synopsys translate_off
+defparam \SW[8]~input .bus_hold = "false";
+defparam \SW[8]~input .simulate_z_as = "z";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y3_N24
+cyclonev_lcell_comb \fin_address|Add0~33 (
+// Equation(s):
+// \fin_address|Add0~33_sumout = SUM(( \fin_address|address [8] ) + ( \SW[8]~input_o ) + ( \fin_address|Add0~30 ))
+// \fin_address|Add0~34 = CARRY(( \fin_address|address [8] ) + ( \SW[8]~input_o ) + ( \fin_address|Add0~30 ))
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\fin_address|address [8]),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\SW[8]~input_o ),
+ .datag(gnd),
+ .cin(\fin_address|Add0~30 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\fin_address|Add0~33_sumout ),
+ .cout(\fin_address|Add0~34 ),
+ .shareout());
+// synopsys translate_off
+defparam \fin_address|Add0~33 .extended_lut = "off";
+defparam \fin_address|Add0~33 .lut_mask = 64'h0000FF0000000F0F;
+defparam \fin_address|Add0~33 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y3_N33
+cyclonev_lcell_comb \fin_address|address[8]~feeder (
+// Equation(s):
+// \fin_address|address[8]~feeder_combout = ( \fin_address|Add0~33_sumout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\fin_address|Add0~33_sumout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\fin_address|address[8]~feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \fin_address|address[8]~feeder .extended_lut = "off";
+defparam \fin_address|address[8]~feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \fin_address|address[8]~feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X59_Y3_N35
+dffeas \fin_address|address[8] (
+ .clk(\tick|CLK_OUT~q ),
+ .d(\fin_address|address[8]~feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\fin_address|address [8]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \fin_address|address[8] .is_wysiwyg = "true";
+defparam \fin_address|address[8] .power_up = "low";
+// synopsys translate_on
+
+// Location: IOIBUF_X2_Y0_N58
+cyclonev_io_ibuf \SW[9]~input (
+ .i(SW[9]),
+ .ibar(gnd),
+ .dynamicterminationcontrol(gnd),
+ .o(\SW[9]~input_o ));
+// synopsys translate_off
+defparam \SW[9]~input .bus_hold = "false";
+defparam \SW[9]~input .simulate_z_as = "z";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y3_N27
+cyclonev_lcell_comb \fin_address|Add0~37 (
+// Equation(s):
+// \fin_address|Add0~37_sumout = SUM(( \fin_address|address [9] ) + ( \SW[9]~input_o ) + ( \fin_address|Add0~34 ))
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\fin_address|address [9]),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\SW[9]~input_o ),
+ .datag(gnd),
+ .cin(\fin_address|Add0~34 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\fin_address|Add0~37_sumout ),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \fin_address|Add0~37 .extended_lut = "off";
+defparam \fin_address|Add0~37 .lut_mask = 64'h0000FF0000000F0F;
+defparam \fin_address|Add0~37 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y3_N42
+cyclonev_lcell_comb \fin_address|address[9]~feeder (
+// Equation(s):
+// \fin_address|address[9]~feeder_combout = ( \fin_address|Add0~37_sumout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\fin_address|Add0~37_sumout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\fin_address|address[9]~feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \fin_address|address[9]~feeder .extended_lut = "off";
+defparam \fin_address|address[9]~feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \fin_address|address[9]~feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X59_Y3_N44
+dffeas \fin_address|address[9] (
+ .clk(\tick|CLK_OUT~q ),
+ .d(\fin_address|address[9]~feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\fin_address|address [9]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \fin_address|address[9] .is_wysiwyg = "true";
+defparam \fin_address|address[9] .power_up = "low";
+// synopsys translate_on
+
+// Location: M10K_X58_Y2_N0
+cyclonev_ram_block \rom|altsyncram_component|auto_generated|ram_block1a0 (
+ .portawe(vcc),
+ .portare(vcc),
+ .portaaddrstall(gnd),
+ .portbwe(gnd),
+ .portbre(vcc),
+ .portbaddrstall(gnd),
+ .clk0(\CLOCK_50~inputCLKENA0_outclk ),
+ .clk1(gnd),
+ .ena0(vcc),
+ .ena1(vcc),
+ .ena2(vcc),
+ .ena3(vcc),
+ .clr0(gnd),
+ .clr1(gnd),
+ .nerror(vcc),
+ .portadatain(10'b0000000000),
+ .portaaddr({\fin_address|address [9],\fin_address|address [8],\fin_address|address [7],\fin_address|address [6],\fin_address|address [5],\fin_address|address [4],\fin_address|address [3],\fin_address|address [2],\fin_address|address [1],\fin_address|address [0]}),
+ .portabyteenamasks(1'b1),
+ .portbdatain(10'b0000000000),
+ .portbaddr(10'b0000000000),
+ .portbbyteenamasks(1'b1),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .portadataout(\rom|altsyncram_component|auto_generated|ram_block1a0_PORTADATAOUT_bus ),
+ .portbdataout(),
+ .eccstatus(),
+ .dftout());
+// synopsys translate_off
+defparam \rom|altsyncram_component|auto_generated|ram_block1a0 .data_interleave_offset_in_bits = 1;
+defparam \rom|altsyncram_component|auto_generated|ram_block1a0 .data_interleave_width_in_bits = 1;
+defparam \rom|altsyncram_component|auto_generated|ram_block1a0 .init_file = "./rom_data/rom_data.mif";
+defparam \rom|altsyncram_component|auto_generated|ram_block1a0 .init_file_layout = "port_a";
+defparam \rom|altsyncram_component|auto_generated|ram_block1a0 .logical_ram_name = "ROM:rom|altsyncram:altsyncram_component|altsyncram_6ng1:auto_generated|ALTSYNCRAM";
+defparam \rom|altsyncram_component|auto_generated|ram_block1a0 .operation_mode = "rom";
+defparam \rom|altsyncram_component|auto_generated|ram_block1a0 .port_a_address_clear = "none";
+defparam \rom|altsyncram_component|auto_generated|ram_block1a0 .port_a_address_width = 10;
+defparam \rom|altsyncram_component|auto_generated|ram_block1a0 .port_a_byte_enable_clock = "none";
+defparam \rom|altsyncram_component|auto_generated|ram_block1a0 .port_a_data_out_clear = "none";
+defparam \rom|altsyncram_component|auto_generated|ram_block1a0 .port_a_data_out_clock = "clock0";
+defparam \rom|altsyncram_component|auto_generated|ram_block1a0 .port_a_data_width = 10;
+defparam \rom|altsyncram_component|auto_generated|ram_block1a0 .port_a_first_address = 0;
+defparam \rom|altsyncram_component|auto_generated|ram_block1a0 .port_a_first_bit_number = 0;
+defparam \rom|altsyncram_component|auto_generated|ram_block1a0 .port_a_last_address = 1023;
+defparam \rom|altsyncram_component|auto_generated|ram_block1a0 .port_a_logical_ram_depth = 1024;
+defparam \rom|altsyncram_component|auto_generated|ram_block1a0 .port_a_logical_ram_width = 10;
+defparam \rom|altsyncram_component|auto_generated|ram_block1a0 .port_a_read_during_write_mode = "new_data_no_nbe_read";
+defparam \rom|altsyncram_component|auto_generated|ram_block1a0 .port_a_write_enable_clock = "none";
+defparam \rom|altsyncram_component|auto_generated|ram_block1a0 .port_b_address_width = 10;
+defparam \rom|altsyncram_component|auto_generated|ram_block1a0 .port_b_data_width = 10;
+defparam \rom|altsyncram_component|auto_generated|ram_block1a0 .ram_block_type = "M20K";
+defparam \rom|altsyncram_component|auto_generated|ram_block1a0 .mem_init4 = "7F1F97D9F37C1ED7A9E678DE0775DA75DD4741CD729C7711C16F9BB6E1B46C5AE6ADA8695A267D9C665966498F63189619836017D5E9775D1715B96B5A1655895F57159559535414D52947511424FD3C4E5364CD304B52A4A1254891F4711A45D144450E4310941903404FE3ECF93D8F33C4EE3ACE9398E3384DE370D9358D4344CF330CA31CC5308C02F4BB2E4B62D0B12BCAD2A8A8298A32849F2749A260962509123C8D22C8921C85208801F87C1E8781D8741C8701B86C1A86819C6518C6117C5D1705A16056150531444F1384C1284911C46110421043F0F83C0EC390E0370D4340C8310C02E0B42C0AC290A0270982508C220842007C1E0741C06C1A06";
+defparam \rom|altsyncram_component|auto_generated|ram_block1a0 .mem_init3 = "41805C160541404C13048110400F03C0E0340C0300B0280A024090200801C0601806014050100400C0300C020080200801004010040100000000000000000000000000000000000000010040100401008020080200C0300C0401005014060180601C08020090240A0280B0300C0340E03C0F040110481304C140541605C180641A06C1C0741E07C200842208C25098270A0290AC2C0B42E0C0310C8340D4370E0390EC3C0F83F104421104611C491284C1384F14453150561605A1705D17C6118C6519C681A86C1B8701C8741D8781E87C1F8802088521C8922C8D23C91250962609A2749F284A3298A82A8AD2BCB12D0B62E4BB2F4C0308C531CCA330CF344D";
+defparam \rom|altsyncram_component|auto_generated|ram_block1a0 .mem_init2 = "4358D9370DE384E3398E93ACEE3C4F33D8F93ECFE40503419094310E4451445D1A4711F489254A12A4B5304CD364E53C4FD42511475294D54153559595715F589655A16B5B9715D1775E97D60183619896318F649966659C67DA2695A86ADAE6C5B46E1BB6F9C1711C7729CD741D475DDA775E078DE67A9ED7C1F37D9F97F20080E068260C83E12856198721F88A258A22B8BE328D6388EE3E9064491E4B93A519525796A5D9826399A699B6709CE769E67C9FE82A1688A2E8EA4694A5E9AA76A0A8EA6AA6ACABEB2AD6B8AEEBDB02C3B1AC9B32CFB4AD5B5EDAB76E0B8EE5BA2EBBBAF1BCEF6BE6FCBFB01C1306C270CC3B11C5316C671CC7B21C8F26CA72BC";
+defparam \rom|altsyncram_component|auto_generated|ram_block1a0 .mem_init1 = "BB30CCF35CE33ACF73FD0B44D1B49D2F4ED4352D5757D675CD7B60D8B65D9F69DAF6EDC372DD376DE37ADF77FE0783E1787E278BE378FE4793E5797E639AE739EE83A2E8FA5E9FA9EAFACEBBB0EC7B3ED7B6EE3B9EEFBDEFBC0F07C3F13C6F1FC8F2BCBF37CEF3FD1F4BD3F53D6F5FD8F67DAF73DDF7BDFF83E1F8BE3F93E5F9BE7FA3E9FABEBFB3ECFB7EEFBFF0FC3F1FCBF3FCFF4FD7F5FDBF6FDFF7FE3F9FE7F9FEBFAFEFFBFF3FCFF3FDFF7FDFF7FEFFBFEFFBFEFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFEFFBFEFFBFEFF7FDFF7FDFF3FCFF3FBFEFFAFEBF9FE7F9FE3F7FDFF6FDBF5FD7F4FCFF3FCBF1FC3F0FBFEEFB7ECFB3EBFABE9FA3E7F9B";
+defparam \rom|altsyncram_component|auto_generated|ram_block1a0 .mem_init0 = "E5F93E3F8BE1F83DFF7BDDF73DAF67D8F5FD6F53D3F4BD1F3FCEF37CBF2BC8F1FC6F13C3F07C0EFBBDEEFB9EE3B6ED7B3EC7B0EBBACEAFA9E9FA5E8FA2E839EE739AE6397E5793E478FE378BE2787E1783E077FDF77ADE376DD372DC36EDAF69D9F65D8B60D7B5CD6757D5752D434ED2F49D1B44D0B3FCF73ACE335CCF30CBB2BCA726C8F21C7B1CC6716C5311C3B0CC2706C1301BFAFCBE6F6BCEF1BBAEBBA2E5B8EE0B76DAB5ED5B4ACFB32C9B1AC3B02BDAEEB8AD6B2ABEACAA6A6A8EA0A769AA5E94A468EA2E88A16829FE7C9E6769CE709B66999A639825D96A579525193A4B91E449063E8EE388D6328BE2B8A22588A1F872198561283E0C8260680E00";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y2_N12
+cyclonev_lcell_comb \dac|shift_reg[11]~feeder (
+// Equation(s):
+// \dac|shift_reg[11]~feeder_combout = ( \rom|altsyncram_component|auto_generated|q_a [9] )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\rom|altsyncram_component|auto_generated|q_a [9]),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\dac|shift_reg[11]~feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \dac|shift_reg[11]~feeder .extended_lut = "off";
+defparam \dac|shift_reg[11]~feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \dac|shift_reg[11]~feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y2_N51
+cyclonev_lcell_comb \dac|shift_reg[10]~feeder (
+// Equation(s):
+// \dac|shift_reg[10]~feeder_combout = ( \rom|altsyncram_component|auto_generated|q_a [8] )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\rom|altsyncram_component|auto_generated|q_a [8]),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\dac|shift_reg[10]~feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \dac|shift_reg[10]~feeder .extended_lut = "off";
+defparam \dac|shift_reg[10]~feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \dac|shift_reg[10]~feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y2_N18
+cyclonev_lcell_comb \dac|shift_reg[9]~feeder (
+// Equation(s):
+// \dac|shift_reg[9]~feeder_combout = ( \rom|altsyncram_component|auto_generated|q_a [7] )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\rom|altsyncram_component|auto_generated|q_a [7]),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\dac|shift_reg[9]~feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \dac|shift_reg[9]~feeder .extended_lut = "off";
+defparam \dac|shift_reg[9]~feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \dac|shift_reg[9]~feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y2_N3
+cyclonev_lcell_comb \dac|shift_reg[8]~feeder (
+// Equation(s):
+// \dac|shift_reg[8]~feeder_combout = ( \rom|altsyncram_component|auto_generated|q_a [6] )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\rom|altsyncram_component|auto_generated|q_a [6]),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\dac|shift_reg[8]~feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \dac|shift_reg[8]~feeder .extended_lut = "off";
+defparam \dac|shift_reg[8]~feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \dac|shift_reg[8]~feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y2_N54
+cyclonev_lcell_comb \dac|shift_reg[7]~feeder (
+// Equation(s):
+// \dac|shift_reg[7]~feeder_combout = ( \rom|altsyncram_component|auto_generated|q_a [5] )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\rom|altsyncram_component|auto_generated|q_a [5]),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\dac|shift_reg[7]~feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \dac|shift_reg[7]~feeder .extended_lut = "off";
+defparam \dac|shift_reg[7]~feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \dac|shift_reg[7]~feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y2_N33
+cyclonev_lcell_comb \dac|shift_reg[6]~feeder (
+// Equation(s):
+// \dac|shift_reg[6]~feeder_combout = \rom|altsyncram_component|auto_generated|q_a [4]
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(!\rom|altsyncram_component|auto_generated|q_a [4]),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\dac|shift_reg[6]~feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \dac|shift_reg[6]~feeder .extended_lut = "off";
+defparam \dac|shift_reg[6]~feeder .lut_mask = 64'h00FF00FF00FF00FF;
+defparam \dac|shift_reg[6]~feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y2_N42
+cyclonev_lcell_comb \dac|shift_reg[5]~feeder (
+// Equation(s):
+// \dac|shift_reg[5]~feeder_combout = \rom|altsyncram_component|auto_generated|q_a [3]
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(!\rom|altsyncram_component|auto_generated|q_a [3]),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\dac|shift_reg[5]~feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \dac|shift_reg[5]~feeder .extended_lut = "off";
+defparam \dac|shift_reg[5]~feeder .lut_mask = 64'h00FF00FF00FF00FF;
+defparam \dac|shift_reg[5]~feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y2_N45
+cyclonev_lcell_comb \dac|shift_reg[4]~feeder (
+// Equation(s):
+// \dac|shift_reg[4]~feeder_combout = \rom|altsyncram_component|auto_generated|q_a [2]
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(!\rom|altsyncram_component|auto_generated|q_a [2]),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\dac|shift_reg[4]~feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \dac|shift_reg[4]~feeder .extended_lut = "off";
+defparam \dac|shift_reg[4]~feeder .lut_mask = 64'h00FF00FF00FF00FF;
+defparam \dac|shift_reg[4]~feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y2_N6
+cyclonev_lcell_comb \dac|shift_reg[3]~feeder (
+// Equation(s):
+// \dac|shift_reg[3]~feeder_combout = ( \rom|altsyncram_component|auto_generated|q_a [1] )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\rom|altsyncram_component|auto_generated|q_a [1]),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\dac|shift_reg[3]~feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \dac|shift_reg[3]~feeder .extended_lut = "off";
+defparam \dac|shift_reg[3]~feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \dac|shift_reg[3]~feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y2_N45
+cyclonev_lcell_comb \dac|shift_reg~4 (
+// Equation(s):
+// \dac|shift_reg~4_combout = ( \rom|altsyncram_component|auto_generated|q_a [0] & ( \dac|WideNor0~combout & ( \dac|sr_state.WAIT_CSB_FALL~q ) ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\dac|sr_state.WAIT_CSB_FALL~q ),
+ .datad(gnd),
+ .datae(!\rom|altsyncram_component|auto_generated|q_a [0]),
+ .dataf(!\dac|WideNor0~combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\dac|shift_reg~4_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \dac|shift_reg~4 .extended_lut = "off";
+defparam \dac|shift_reg~4 .lut_mask = 64'h0000000000000F0F;
+defparam \dac|shift_reg~4 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X61_Y2_N47
+dffeas \dac|shift_reg[2] (
+ .clk(\dac|clk_1MHz~q ),
+ .d(\dac|shift_reg~4_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\dac|shift_reg [2]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \dac|shift_reg[2] .is_wysiwyg = "true";
+defparam \dac|shift_reg[2] .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y2_N39
+cyclonev_lcell_comb \dac|always5~0 (
+// Equation(s):
+// \dac|always5~0_combout = ( \dac|state [0] & ( \dac|sr_state.WAIT_CSB_FALL~q & ( (((!\dac|state [4]) # (\dac|state [1])) # (\dac|state[3]~DUPLICATE_q )) # (\dac|state [2]) ) ) ) # ( !\dac|state [0] & ( \dac|sr_state.WAIT_CSB_FALL~q & ( (((\dac|state
+// [4]) # (\dac|state [1])) # (\dac|state[3]~DUPLICATE_q )) # (\dac|state [2]) ) ) ) # ( \dac|state [0] & ( !\dac|sr_state.WAIT_CSB_FALL~q ) ) # ( !\dac|state [0] & ( !\dac|sr_state.WAIT_CSB_FALL~q ) )
+
+ .dataa(!\dac|state [2]),
+ .datab(!\dac|state[3]~DUPLICATE_q ),
+ .datac(!\dac|state [1]),
+ .datad(!\dac|state [4]),
+ .datae(!\dac|state [0]),
+ .dataf(!\dac|sr_state.WAIT_CSB_FALL~q ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\dac|always5~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \dac|always5~0 .extended_lut = "off";
+defparam \dac|always5~0 .lut_mask = 64'hFFFFFFFF7FFFFF7F;
+defparam \dac|always5~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X62_Y2_N7
+dffeas \dac|shift_reg[3] (
+ .clk(\dac|clk_1MHz~q ),
+ .d(\dac|shift_reg[3]~feeder_combout ),
+ .asdata(\dac|shift_reg [2]),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(\dac|always5~0_combout ),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\dac|shift_reg [3]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \dac|shift_reg[3] .is_wysiwyg = "true";
+defparam \dac|shift_reg[3] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X62_Y2_N47
+dffeas \dac|shift_reg[4] (
+ .clk(\dac|clk_1MHz~q ),
+ .d(\dac|shift_reg[4]~feeder_combout ),
+ .asdata(\dac|shift_reg [3]),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(\dac|always5~0_combout ),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\dac|shift_reg [4]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \dac|shift_reg[4] .is_wysiwyg = "true";
+defparam \dac|shift_reg[4] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X62_Y2_N43
+dffeas \dac|shift_reg[5] (
+ .clk(\dac|clk_1MHz~q ),
+ .d(\dac|shift_reg[5]~feeder_combout ),
+ .asdata(\dac|shift_reg [4]),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(\dac|always5~0_combout ),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\dac|shift_reg [5]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \dac|shift_reg[5] .is_wysiwyg = "true";
+defparam \dac|shift_reg[5] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X62_Y2_N34
+dffeas \dac|shift_reg[6] (
+ .clk(\dac|clk_1MHz~q ),
+ .d(\dac|shift_reg[6]~feeder_combout ),
+ .asdata(\dac|shift_reg [5]),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(\dac|always5~0_combout ),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\dac|shift_reg [6]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \dac|shift_reg[6] .is_wysiwyg = "true";
+defparam \dac|shift_reg[6] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X62_Y2_N56
+dffeas \dac|shift_reg[7] (
+ .clk(\dac|clk_1MHz~q ),
+ .d(\dac|shift_reg[7]~feeder_combout ),
+ .asdata(\dac|shift_reg [6]),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(\dac|always5~0_combout ),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\dac|shift_reg [7]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \dac|shift_reg[7] .is_wysiwyg = "true";
+defparam \dac|shift_reg[7] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X62_Y2_N4
+dffeas \dac|shift_reg[8] (
+ .clk(\dac|clk_1MHz~q ),
+ .d(\dac|shift_reg[8]~feeder_combout ),
+ .asdata(\dac|shift_reg [7]),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(\dac|always5~0_combout ),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\dac|shift_reg [8]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \dac|shift_reg[8] .is_wysiwyg = "true";
+defparam \dac|shift_reg[8] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X62_Y2_N19
+dffeas \dac|shift_reg[9] (
+ .clk(\dac|clk_1MHz~q ),
+ .d(\dac|shift_reg[9]~feeder_combout ),
+ .asdata(\dac|shift_reg [8]),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(\dac|always5~0_combout ),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\dac|shift_reg [9]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \dac|shift_reg[9] .is_wysiwyg = "true";
+defparam \dac|shift_reg[9] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X62_Y2_N52
+dffeas \dac|shift_reg[10] (
+ .clk(\dac|clk_1MHz~q ),
+ .d(\dac|shift_reg[10]~feeder_combout ),
+ .asdata(\dac|shift_reg [9]),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(\dac|always5~0_combout ),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\dac|shift_reg [10]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \dac|shift_reg[10] .is_wysiwyg = "true";
+defparam \dac|shift_reg[10] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X62_Y2_N13
+dffeas \dac|shift_reg[11] (
+ .clk(\dac|clk_1MHz~q ),
+ .d(\dac|shift_reg[11]~feeder_combout ),
+ .asdata(\dac|shift_reg [10]),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(\dac|always5~0_combout ),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\dac|shift_reg [11]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \dac|shift_reg[11] .is_wysiwyg = "true";
+defparam \dac|shift_reg[11] .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y2_N9
+cyclonev_lcell_comb \dac|shift_reg~3 (
+// Equation(s):
+// \dac|shift_reg~3_combout = ( \dac|shift_reg [11] ) # ( !\dac|shift_reg [11] & ( (\dac|sr_state.WAIT_CSB_FALL~q & \dac|WideNor0~combout ) ) )
+
+ .dataa(!\dac|sr_state.WAIT_CSB_FALL~q ),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(!\dac|WideNor0~combout ),
+ .datae(gnd),
+ .dataf(!\dac|shift_reg [11]),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\dac|shift_reg~3_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \dac|shift_reg~3 .extended_lut = "off";
+defparam \dac|shift_reg~3 .lut_mask = 64'h00550055FFFFFFFF;
+defparam \dac|shift_reg~3 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X62_Y2_N10
+dffeas \dac|shift_reg[12] (
+ .clk(\dac|clk_1MHz~q ),
+ .d(\dac|shift_reg~3_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\dac|shift_reg [12]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \dac|shift_reg[12] .is_wysiwyg = "true";
+defparam \dac|shift_reg[12] .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y2_N36
+cyclonev_lcell_comb \dac|shift_reg~2 (
+// Equation(s):
+// \dac|shift_reg~2_combout = ( \dac|sr_state.WAIT_CSB_FALL~q & ( \dac|WideNor0~combout ) ) # ( !\dac|sr_state.WAIT_CSB_FALL~q & ( \dac|WideNor0~combout & ( \dac|shift_reg [12] ) ) ) # ( \dac|sr_state.WAIT_CSB_FALL~q & ( !\dac|WideNor0~combout & (
+// \dac|shift_reg [12] ) ) ) # ( !\dac|sr_state.WAIT_CSB_FALL~q & ( !\dac|WideNor0~combout & ( \dac|shift_reg [12] ) ) )
+
+ .dataa(gnd),
+ .datab(!\dac|shift_reg [12]),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(!\dac|sr_state.WAIT_CSB_FALL~q ),
+ .dataf(!\dac|WideNor0~combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\dac|shift_reg~2_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \dac|shift_reg~2 .extended_lut = "off";
+defparam \dac|shift_reg~2 .lut_mask = 64'h333333333333FFFF;
+defparam \dac|shift_reg~2 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X61_Y2_N38
+dffeas \dac|shift_reg[13] (
+ .clk(\dac|clk_1MHz~q ),
+ .d(\dac|shift_reg~2_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\dac|shift_reg [13]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \dac|shift_reg[13] .is_wysiwyg = "true";
+defparam \dac|shift_reg[13] .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y2_N57
+cyclonev_lcell_comb \dac|shift_reg~1 (
+// Equation(s):
+// \dac|shift_reg~1_combout = ( \dac|sr_state.WAIT_CSB_FALL~q & ( \dac|WideNor0~combout ) ) # ( !\dac|sr_state.WAIT_CSB_FALL~q & ( \dac|WideNor0~combout & ( \dac|shift_reg [13] ) ) ) # ( \dac|sr_state.WAIT_CSB_FALL~q & ( !\dac|WideNor0~combout & (
+// \dac|shift_reg [13] ) ) ) # ( !\dac|sr_state.WAIT_CSB_FALL~q & ( !\dac|WideNor0~combout & ( \dac|shift_reg [13] ) ) )
+
+ .dataa(gnd),
+ .datab(!\dac|shift_reg [13]),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(!\dac|sr_state.WAIT_CSB_FALL~q ),
+ .dataf(!\dac|WideNor0~combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\dac|shift_reg~1_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \dac|shift_reg~1 .extended_lut = "off";
+defparam \dac|shift_reg~1 .lut_mask = 64'h333333333333FFFF;
+defparam \dac|shift_reg~1 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X61_Y2_N58
+dffeas \dac|shift_reg[14] (
+ .clk(\dac|clk_1MHz~q ),
+ .d(\dac|shift_reg~1_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\dac|shift_reg [14]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \dac|shift_reg[14] .is_wysiwyg = "true";
+defparam \dac|shift_reg[14] .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y2_N24
+cyclonev_lcell_comb \dac|shift_reg~0 (
+// Equation(s):
+// \dac|shift_reg~0_combout = ( \dac|shift_reg [14] & ( \dac|WideNor0~combout & ( !\dac|sr_state.WAIT_CSB_FALL~q ) ) ) # ( \dac|shift_reg [14] & ( !\dac|WideNor0~combout ) )
+
+ .dataa(gnd),
+ .datab(!\dac|sr_state.WAIT_CSB_FALL~q ),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(!\dac|shift_reg [14]),
+ .dataf(!\dac|WideNor0~combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\dac|shift_reg~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \dac|shift_reg~0 .extended_lut = "off";
+defparam \dac|shift_reg~0 .lut_mask = 64'h0000FFFF0000CCCC;
+defparam \dac|shift_reg~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X61_Y2_N25
+dffeas \dac|shift_reg[15] (
+ .clk(\dac|clk_1MHz~q ),
+ .d(\dac|shift_reg~0_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\dac|shift_reg [15]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \dac|shift_reg[15] .is_wysiwyg = "true";
+defparam \dac|shift_reg[15] .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y2_N0
+cyclonev_lcell_comb \dac|Equal2~0 (
+// Equation(s):
+// \dac|Equal2~0_combout = ( \dac|state [0] & ( !\dac|state[3]~DUPLICATE_q & ( (!\dac|state [1] & (!\dac|state [2] & \dac|state [4])) ) ) )
+
+ .dataa(gnd),
+ .datab(!\dac|state [1]),
+ .datac(!\dac|state [2]),
+ .datad(!\dac|state [4]),
+ .datae(!\dac|state [0]),
+ .dataf(!\dac|state[3]~DUPLICATE_q ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\dac|Equal2~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \dac|Equal2~0 .extended_lut = "off";
+defparam \dac|Equal2~0 .lut_mask = 64'h000000C000000000;
+defparam \dac|Equal2~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y2_N6
+cyclonev_lcell_comb \dac|dac_sck (
+// Equation(s):
+// \dac|dac_sck~combout = ( \dac|state [0] & ( \dac|state [1] & ( \dac|clk_1MHz~q ) ) ) # ( !\dac|state [0] & ( \dac|state [1] & ( \dac|clk_1MHz~q ) ) ) # ( \dac|state [0] & ( !\dac|state [1] & ( ((!\dac|state[3]~DUPLICATE_q & (!\dac|state [2] &
+// \dac|state [4]))) # (\dac|clk_1MHz~q ) ) ) ) # ( !\dac|state [0] & ( !\dac|state [1] & ( ((!\dac|state[3]~DUPLICATE_q & (!\dac|state [2] & !\dac|state [4]))) # (\dac|clk_1MHz~q ) ) ) )
+
+ .dataa(!\dac|state[3]~DUPLICATE_q ),
+ .datab(!\dac|clk_1MHz~q ),
+ .datac(!\dac|state [2]),
+ .datad(!\dac|state [4]),
+ .datae(!\dac|state [0]),
+ .dataf(!\dac|state [1]),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\dac|dac_sck~combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \dac|dac_sck .extended_lut = "off";
+defparam \dac|dac_sck .lut_mask = 64'hB33333B333333333;
+defparam \dac|dac_sck .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y2_N30
+cyclonev_lcell_comb \p|count[0]~0 (
+// Equation(s):
+// \p|count[0]~0_combout = !\p|count [0]
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(!\p|count [0]),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\p|count[0]~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \p|count[0]~0 .extended_lut = "off";
+defparam \p|count[0]~0 .lut_mask = 64'hFF00FF00FF00FF00;
+defparam \p|count[0]~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X64_Y2_N31
+dffeas \p|count[0] (
+ .clk(\CLOCK_50~inputCLKENA0_outclk ),
+ .d(\p|count[0]~0_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\p|count [0]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \p|count[0] .is_wysiwyg = "true";
+defparam \p|count[0] .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y2_N0
+cyclonev_lcell_comb \p|Add0~33 (
+// Equation(s):
+// \p|Add0~33_sumout = SUM(( \p|count [1] ) + ( \p|count [0] ) + ( !VCC ))
+// \p|Add0~34 = CARRY(( \p|count [1] ) + ( \p|count [0] ) + ( !VCC ))
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\p|count [0]),
+ .datad(!\p|count [1]),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\p|Add0~33_sumout ),
+ .cout(\p|Add0~34 ),
+ .shareout());
+// synopsys translate_off
+defparam \p|Add0~33 .extended_lut = "off";
+defparam \p|Add0~33 .lut_mask = 64'h0000F0F0000000FF;
+defparam \p|Add0~33 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X64_Y2_N2
+dffeas \p|count[1] (
+ .clk(\CLOCK_50~inputCLKENA0_outclk ),
+ .d(\p|Add0~33_sumout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\p|count [1]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \p|count[1] .is_wysiwyg = "true";
+defparam \p|count[1] .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y2_N3
+cyclonev_lcell_comb \p|Add0~29 (
+// Equation(s):
+// \p|Add0~29_sumout = SUM(( \p|count [2] ) + ( GND ) + ( \p|Add0~34 ))
+// \p|Add0~30 = CARRY(( \p|count [2] ) + ( GND ) + ( \p|Add0~34 ))
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(!\p|count [2]),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(\p|Add0~34 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\p|Add0~29_sumout ),
+ .cout(\p|Add0~30 ),
+ .shareout());
+// synopsys translate_off
+defparam \p|Add0~29 .extended_lut = "off";
+defparam \p|Add0~29 .lut_mask = 64'h0000FFFF000000FF;
+defparam \p|Add0~29 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X64_Y2_N5
+dffeas \p|count[2] (
+ .clk(\CLOCK_50~inputCLKENA0_outclk ),
+ .d(\p|Add0~29_sumout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\p|count [2]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \p|count[2] .is_wysiwyg = "true";
+defparam \p|count[2] .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y2_N6
+cyclonev_lcell_comb \p|Add0~25 (
+// Equation(s):
+// \p|Add0~25_sumout = SUM(( \p|count [3] ) + ( GND ) + ( \p|Add0~30 ))
+// \p|Add0~26 = CARRY(( \p|count [3] ) + ( GND ) + ( \p|Add0~30 ))
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(!\p|count [3]),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(\p|Add0~30 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\p|Add0~25_sumout ),
+ .cout(\p|Add0~26 ),
+ .shareout());
+// synopsys translate_off
+defparam \p|Add0~25 .extended_lut = "off";
+defparam \p|Add0~25 .lut_mask = 64'h0000FFFF000000FF;
+defparam \p|Add0~25 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X64_Y2_N7
+dffeas \p|count[3] (
+ .clk(\CLOCK_50~inputCLKENA0_outclk ),
+ .d(\p|Add0~25_sumout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\p|count [3]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \p|count[3] .is_wysiwyg = "true";
+defparam \p|count[3] .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y2_N9
+cyclonev_lcell_comb \p|Add0~21 (
+// Equation(s):
+// \p|Add0~21_sumout = SUM(( \p|count [4] ) + ( GND ) + ( \p|Add0~26 ))
+// \p|Add0~22 = CARRY(( \p|count [4] ) + ( GND ) + ( \p|Add0~26 ))
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(!\p|count [4]),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(\p|Add0~26 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\p|Add0~21_sumout ),
+ .cout(\p|Add0~22 ),
+ .shareout());
+// synopsys translate_off
+defparam \p|Add0~21 .extended_lut = "off";
+defparam \p|Add0~21 .lut_mask = 64'h0000FFFF000000FF;
+defparam \p|Add0~21 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X64_Y2_N11
+dffeas \p|count[4] (
+ .clk(\CLOCK_50~inputCLKENA0_outclk ),
+ .d(\p|Add0~21_sumout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\p|count [4]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \p|count[4] .is_wysiwyg = "true";
+defparam \p|count[4] .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y2_N12
+cyclonev_lcell_comb \p|Add0~17 (
+// Equation(s):
+// \p|Add0~17_sumout = SUM(( \p|count [5] ) + ( GND ) + ( \p|Add0~22 ))
+// \p|Add0~18 = CARRY(( \p|count [5] ) + ( GND ) + ( \p|Add0~22 ))
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(!\p|count [5]),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(\p|Add0~22 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\p|Add0~17_sumout ),
+ .cout(\p|Add0~18 ),
+ .shareout());
+// synopsys translate_off
+defparam \p|Add0~17 .extended_lut = "off";
+defparam \p|Add0~17 .lut_mask = 64'h0000FFFF000000FF;
+defparam \p|Add0~17 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X64_Y2_N14
+dffeas \p|count[5] (
+ .clk(\CLOCK_50~inputCLKENA0_outclk ),
+ .d(\p|Add0~17_sumout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\p|count [5]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \p|count[5] .is_wysiwyg = "true";
+defparam \p|count[5] .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y2_N15
+cyclonev_lcell_comb \p|Add0~13 (
+// Equation(s):
+// \p|Add0~13_sumout = SUM(( \p|count [6] ) + ( GND ) + ( \p|Add0~18 ))
+// \p|Add0~14 = CARRY(( \p|count [6] ) + ( GND ) + ( \p|Add0~18 ))
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(!\p|count [6]),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(\p|Add0~18 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\p|Add0~13_sumout ),
+ .cout(\p|Add0~14 ),
+ .shareout());
+// synopsys translate_off
+defparam \p|Add0~13 .extended_lut = "off";
+defparam \p|Add0~13 .lut_mask = 64'h0000FFFF000000FF;
+defparam \p|Add0~13 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X64_Y2_N17
+dffeas \p|count[6] (
+ .clk(\CLOCK_50~inputCLKENA0_outclk ),
+ .d(\p|Add0~13_sumout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\p|count [6]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \p|count[6] .is_wysiwyg = "true";
+defparam \p|count[6] .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y2_N18
+cyclonev_lcell_comb \p|Add0~9 (
+// Equation(s):
+// \p|Add0~9_sumout = SUM(( \p|count [7] ) + ( GND ) + ( \p|Add0~14 ))
+// \p|Add0~10 = CARRY(( \p|count [7] ) + ( GND ) + ( \p|Add0~14 ))
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(!\p|count [7]),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(\p|Add0~14 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\p|Add0~9_sumout ),
+ .cout(\p|Add0~10 ),
+ .shareout());
+// synopsys translate_off
+defparam \p|Add0~9 .extended_lut = "off";
+defparam \p|Add0~9 .lut_mask = 64'h0000FFFF000000FF;
+defparam \p|Add0~9 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X64_Y2_N20
+dffeas \p|count[7] (
+ .clk(\CLOCK_50~inputCLKENA0_outclk ),
+ .d(\p|Add0~9_sumout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\p|count [7]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \p|count[7] .is_wysiwyg = "true";
+defparam \p|count[7] .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y2_N21
+cyclonev_lcell_comb \p|Add0~5 (
+// Equation(s):
+// \p|Add0~5_sumout = SUM(( \p|count [8] ) + ( GND ) + ( \p|Add0~10 ))
+// \p|Add0~6 = CARRY(( \p|count [8] ) + ( GND ) + ( \p|Add0~10 ))
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(!\p|count [8]),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(\p|Add0~10 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\p|Add0~5_sumout ),
+ .cout(\p|Add0~6 ),
+ .shareout());
+// synopsys translate_off
+defparam \p|Add0~5 .extended_lut = "off";
+defparam \p|Add0~5 .lut_mask = 64'h0000FFFF000000FF;
+defparam \p|Add0~5 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X64_Y2_N22
+dffeas \p|count[8] (
+ .clk(\CLOCK_50~inputCLKENA0_outclk ),
+ .d(\p|Add0~5_sumout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\p|count [8]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \p|count[8] .is_wysiwyg = "true";
+defparam \p|count[8] .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y2_N24
+cyclonev_lcell_comb \p|Add0~1 (
+// Equation(s):
+// \p|Add0~1_sumout = SUM(( \p|count [9] ) + ( GND ) + ( \p|Add0~6 ))
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(!\p|count [9]),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(\p|Add0~6 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\p|Add0~1_sumout ),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \p|Add0~1 .extended_lut = "off";
+defparam \p|Add0~1 .lut_mask = 64'h0000FFFF000000FF;
+defparam \p|Add0~1 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X64_Y2_N26
+dffeas \p|count[9] (
+ .clk(\CLOCK_50~inputCLKENA0_outclk ),
+ .d(\p|Add0~1_sumout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\p|count [9]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \p|count[9] .is_wysiwyg = "true";
+defparam \p|count[9] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X63_Y2_N16
+dffeas \p|d[9] (
+ .clk(\CLOCK_50~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\rom|altsyncram_component|auto_generated|q_a [9]),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(\tick|CLK_OUT~q ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\p|d [9]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \p|d[9] .is_wysiwyg = "true";
+defparam \p|d[9] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X63_Y2_N55
+dffeas \p|d[8] (
+ .clk(\CLOCK_50~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\rom|altsyncram_component|auto_generated|q_a [8]),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(\tick|CLK_OUT~q ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\p|d [8]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \p|d[8] .is_wysiwyg = "true";
+defparam \p|d[8] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X63_Y2_N40
+dffeas \p|d[7] (
+ .clk(\CLOCK_50~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\rom|altsyncram_component|auto_generated|q_a [7]),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(\tick|CLK_OUT~q ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\p|d [7]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \p|d[7] .is_wysiwyg = "true";
+defparam \p|d[7] .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y2_N39
+cyclonev_lcell_comb \p|LessThan0~0 (
+// Equation(s):
+// \p|LessThan0~0_combout = ( !\p|d [7] & ( \p|count [7] ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(!\p|d [7]),
+ .dataf(!\p|count [7]),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\p|LessThan0~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \p|LessThan0~0 .extended_lut = "off";
+defparam \p|LessThan0~0 .lut_mask = 64'h00000000FFFF0000;
+defparam \p|LessThan0~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y2_N51
+cyclonev_lcell_comb \p|d[6]~feeder (
+// Equation(s):
+// \p|d[6]~feeder_combout = ( \rom|altsyncram_component|auto_generated|q_a [6] )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\rom|altsyncram_component|auto_generated|q_a [6]),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\p|d[6]~feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \p|d[6]~feeder .extended_lut = "off";
+defparam \p|d[6]~feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \p|d[6]~feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X64_Y2_N53
+dffeas \p|d[6] (
+ .clk(\CLOCK_50~inputCLKENA0_outclk ),
+ .d(\p|d[6]~feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(\tick|CLK_OUT~q ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\p|d [6]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \p|d[6] .is_wysiwyg = "true";
+defparam \p|d[6] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X64_Y2_N44
+dffeas \p|d[3] (
+ .clk(\CLOCK_50~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\rom|altsyncram_component|auto_generated|q_a [3]),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(\tick|CLK_OUT~q ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\p|d [3]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \p|d[3] .is_wysiwyg = "true";
+defparam \p|d[3] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X64_Y2_N50
+dffeas \p|d[4] (
+ .clk(\CLOCK_50~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\rom|altsyncram_component|auto_generated|q_a [4]),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(\tick|CLK_OUT~q ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\p|d [4]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \p|d[4] .is_wysiwyg = "true";
+defparam \p|d[4] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X64_Y2_N41
+dffeas \p|d[2] (
+ .clk(\CLOCK_50~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\rom|altsyncram_component|auto_generated|q_a [2]),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(\tick|CLK_OUT~q ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\p|d [2]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \p|d[2] .is_wysiwyg = "true";
+defparam \p|d[2] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X64_Y2_N47
+dffeas \p|d[1] (
+ .clk(\CLOCK_50~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\rom|altsyncram_component|auto_generated|q_a [1]),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(\tick|CLK_OUT~q ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\p|d [1]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \p|d[1] .is_wysiwyg = "true";
+defparam \p|d[1] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X64_Y2_N52
+dffeas \p|d[0] (
+ .clk(\CLOCK_50~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\rom|altsyncram_component|auto_generated|q_a [0]),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(\tick|CLK_OUT~q ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\p|d [0]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \p|d[0] .is_wysiwyg = "true";
+defparam \p|d[0] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X64_Y2_N32
+dffeas \p|count[0]~DUPLICATE (
+ .clk(\CLOCK_50~inputCLKENA0_outclk ),
+ .d(\p|count[0]~0_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\p|count[0]~DUPLICATE_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \p|count[0]~DUPLICATE .is_wysiwyg = "true";
+defparam \p|count[0]~DUPLICATE .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y2_N42
+cyclonev_lcell_comb \p|LessThan0~2 (
+// Equation(s):
+// \p|LessThan0~2_combout = ( \p|d [0] & ( \p|count[0]~DUPLICATE_q & ( (!\p|d [2] & (((\p|count [1] & !\p|d [1])) # (\p|count [2]))) # (\p|d [2] & (\p|count [1] & (\p|count [2] & !\p|d [1]))) ) ) ) # ( !\p|d [0] & ( \p|count[0]~DUPLICATE_q & ( (!\p|d [2]
+// & (((!\p|d [1]) # (\p|count [2])) # (\p|count [1]))) # (\p|d [2] & (\p|count [2] & ((!\p|d [1]) # (\p|count [1])))) ) ) ) # ( \p|d [0] & ( !\p|count[0]~DUPLICATE_q & ( (!\p|d [2] & (((\p|count [1] & !\p|d [1])) # (\p|count [2]))) # (\p|d [2] & (\p|count
+// [1] & (\p|count [2] & !\p|d [1]))) ) ) ) # ( !\p|d [0] & ( !\p|count[0]~DUPLICATE_q & ( (!\p|d [2] & (((\p|count [1] & !\p|d [1])) # (\p|count [2]))) # (\p|d [2] & (\p|count [1] & (\p|count [2] & !\p|d [1]))) ) ) )
+
+ .dataa(!\p|count [1]),
+ .datab(!\p|d [2]),
+ .datac(!\p|count [2]),
+ .datad(!\p|d [1]),
+ .datae(!\p|d [0]),
+ .dataf(!\p|count[0]~DUPLICATE_q ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\p|LessThan0~2_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \p|LessThan0~2 .extended_lut = "off";
+defparam \p|LessThan0~2 .lut_mask = 64'h4D0C4D0CCF4D4D0C;
+defparam \p|LessThan0~2 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y2_N48
+cyclonev_lcell_comb \p|LessThan0~3 (
+// Equation(s):
+// \p|LessThan0~3_combout = ( \p|LessThan0~2_combout & ( (!\p|count [4] & (!\p|d [4] & ((!\p|d [3]) # (\p|count [3])))) # (\p|count [4] & (((!\p|d [3]) # (!\p|d [4])) # (\p|count [3]))) ) ) # ( !\p|LessThan0~2_combout & ( (!\p|count [4] & (\p|count [3] &
+// (!\p|d [3] & !\p|d [4]))) # (\p|count [4] & ((!\p|d [4]) # ((\p|count [3] & !\p|d [3])))) ) )
+
+ .dataa(!\p|count [3]),
+ .datab(!\p|count [4]),
+ .datac(!\p|d [3]),
+ .datad(!\p|d [4]),
+ .datae(gnd),
+ .dataf(!\p|LessThan0~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\p|LessThan0~3_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \p|LessThan0~3 .extended_lut = "off";
+defparam \p|LessThan0~3 .lut_mask = 64'h73107310F731F731;
+defparam \p|LessThan0~3 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X64_Y2_N38
+dffeas \p|d[5] (
+ .clk(\CLOCK_50~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\rom|altsyncram_component|auto_generated|q_a [5]),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(\tick|CLK_OUT~q ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\p|d [5]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \p|d[5] .is_wysiwyg = "true";
+defparam \p|d[5] .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y2_N33
+cyclonev_lcell_comb \p|LessThan0~1 (
+// Equation(s):
+// \p|LessThan0~1_combout = ( \p|count [7] & ( !\p|d [7] ) ) # ( !\p|count [7] & ( \p|d [7] ) )
+
+ .dataa(!\p|d [7]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\p|count [7]),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\p|LessThan0~1_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \p|LessThan0~1 .extended_lut = "off";
+defparam \p|LessThan0~1 .lut_mask = 64'h55555555AAAAAAAA;
+defparam \p|LessThan0~1 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y2_N36
+cyclonev_lcell_comb \p|LessThan0~4 (
+// Equation(s):
+// \p|LessThan0~4_combout = ( \p|d [5] & ( !\p|LessThan0~1_combout & ( (!\p|d [6] & (((\p|LessThan0~3_combout & \p|count [5])) # (\p|count [6]))) # (\p|d [6] & (\p|count [6] & (\p|LessThan0~3_combout & \p|count [5]))) ) ) ) # ( !\p|d [5] & (
+// !\p|LessThan0~1_combout & ( (!\p|d [6] & (((\p|count [5]) # (\p|LessThan0~3_combout )) # (\p|count [6]))) # (\p|d [6] & (\p|count [6] & ((\p|count [5]) # (\p|LessThan0~3_combout )))) ) ) )
+
+ .dataa(!\p|d [6]),
+ .datab(!\p|count [6]),
+ .datac(!\p|LessThan0~3_combout ),
+ .datad(!\p|count [5]),
+ .datae(!\p|d [5]),
+ .dataf(!\p|LessThan0~1_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\p|LessThan0~4_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \p|LessThan0~4 .extended_lut = "off";
+defparam \p|LessThan0~4 .lut_mask = 64'h2BBB222B00000000;
+defparam \p|LessThan0~4 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y2_N54
+cyclonev_lcell_comb \p|LessThan0~5 (
+// Equation(s):
+// \p|LessThan0~5_combout = ( \p|LessThan0~4_combout & ( \p|count [8] & ( (!\p|count [9] & \p|d [9]) ) ) ) # ( !\p|LessThan0~4_combout & ( \p|count [8] & ( (!\p|count [9] & (((\p|d [8] & !\p|LessThan0~0_combout )) # (\p|d [9]))) # (\p|count [9] & (\p|d
+// [9] & (\p|d [8] & !\p|LessThan0~0_combout ))) ) ) ) # ( \p|LessThan0~4_combout & ( !\p|count [8] & ( (!\p|count [9] & ((\p|d [8]) # (\p|d [9]))) # (\p|count [9] & (\p|d [9] & \p|d [8])) ) ) ) # ( !\p|LessThan0~4_combout & ( !\p|count [8] & ( (!\p|count
+// [9] & (((!\p|LessThan0~0_combout ) # (\p|d [8])) # (\p|d [9]))) # (\p|count [9] & (\p|d [9] & ((!\p|LessThan0~0_combout ) # (\p|d [8])))) ) ) )
+
+ .dataa(!\p|count [9]),
+ .datab(!\p|d [9]),
+ .datac(!\p|d [8]),
+ .datad(!\p|LessThan0~0_combout ),
+ .datae(!\p|LessThan0~4_combout ),
+ .dataf(!\p|count [8]),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\p|LessThan0~5_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \p|LessThan0~5 .extended_lut = "off";
+defparam \p|LessThan0~5 .lut_mask = 64'hBB2B2B2B2B222222;
+defparam \p|LessThan0~5 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X64_Y2_N55
+dffeas \p|pwm_out (
+ .clk(\CLOCK_50~inputCLKENA0_outclk ),
+ .d(\p|LessThan0~5_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\p|pwm_out~q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \p|pwm_out .is_wysiwyg = "true";
+defparam \p|pwm_out .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y5_N54
+cyclonev_lcell_comb \mult|lpm_mult_component|mult_core|romout[1][17]~5 (
+// Equation(s):
+// \mult|lpm_mult_component|mult_core|romout[1][17]~5_combout = ( \SW[7]~input_o & ( (\SW[5]~input_o & \SW[6]~input_o ) ) )
+
+ .dataa(!\SW[5]~input_o ),
+ .datab(gnd),
+ .datac(!\SW[6]~input_o ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\SW[7]~input_o ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\mult|lpm_mult_component|mult_core|romout[1][17]~5_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \mult|lpm_mult_component|mult_core|romout[1][17]~5 .extended_lut = "off";
+defparam \mult|lpm_mult_component|mult_core|romout[1][17]~5 .lut_mask = 64'h0000000005050505;
+defparam \mult|lpm_mult_component|mult_core|romout[1][17]~5 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y5_N57
+cyclonev_lcell_comb \mult|lpm_mult_component|mult_core|romout[0][17]~4 (
+// Equation(s):
+// \mult|lpm_mult_component|mult_core|romout[0][17]~4_combout = ( \SW[3]~input_o & ( \SW[1]~input_o & ( \SW[2]~input_o ) ) )
+
+ .dataa(!\SW[2]~input_o ),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(!\SW[3]~input_o ),
+ .dataf(!\SW[1]~input_o ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\mult|lpm_mult_component|mult_core|romout[0][17]~4_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \mult|lpm_mult_component|mult_core|romout[0][17]~4 .extended_lut = "off";
+defparam \mult|lpm_mult_component|mult_core|romout[0][17]~4 .lut_mask = 64'h0000000000005555;
+defparam \mult|lpm_mult_component|mult_core|romout[0][17]~4 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y5_N12
+cyclonev_lcell_comb \mult|lpm_mult_component|mult_core|romout[0][16]~3 (
+// Equation(s):
+// \mult|lpm_mult_component|mult_core|romout[0][16]~3_combout = ( \SW[3]~input_o & ( \SW[1]~input_o & ( !\SW[2]~input_o ) ) ) # ( !\SW[3]~input_o & ( \SW[1]~input_o & ( (\SW[0]~input_o & \SW[2]~input_o ) ) ) ) # ( \SW[3]~input_o & ( !\SW[1]~input_o
+// ) )
+
+ .dataa(gnd),
+ .datab(!\SW[0]~input_o ),
+ .datac(!\SW[2]~input_o ),
+ .datad(gnd),
+ .datae(!\SW[3]~input_o ),
+ .dataf(!\SW[1]~input_o ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\mult|lpm_mult_component|mult_core|romout[0][16]~3_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \mult|lpm_mult_component|mult_core|romout[0][16]~3 .extended_lut = "off";
+defparam \mult|lpm_mult_component|mult_core|romout[0][16]~3 .lut_mask = 64'h0000FFFF0303F0F0;
+defparam \mult|lpm_mult_component|mult_core|romout[0][16]~3 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y5_N6
+cyclonev_lcell_comb \mult|lpm_mult_component|mult_core|romout[0][15]~2 (
+// Equation(s):
+// \mult|lpm_mult_component|mult_core|romout[0][15]~2_combout = ( \SW[3]~input_o & ( \SW[1]~input_o & ( !\SW[2]~input_o ) ) ) # ( !\SW[3]~input_o & ( \SW[1]~input_o & ( (!\SW[0]~input_o & \SW[2]~input_o ) ) ) ) # ( \SW[3]~input_o & ( !\SW[1]~input_o
+// & ( \SW[2]~input_o ) ) ) # ( !\SW[3]~input_o & ( !\SW[1]~input_o & ( \SW[2]~input_o ) ) )
+
+ .dataa(gnd),
+ .datab(!\SW[0]~input_o ),
+ .datac(!\SW[2]~input_o ),
+ .datad(gnd),
+ .datae(!\SW[3]~input_o ),
+ .dataf(!\SW[1]~input_o ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\mult|lpm_mult_component|mult_core|romout[0][15]~2_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \mult|lpm_mult_component|mult_core|romout[0][15]~2 .extended_lut = "off";
+defparam \mult|lpm_mult_component|mult_core|romout[0][15]~2 .lut_mask = 64'h0F0F0F0F0C0CF0F0;
+defparam \mult|lpm_mult_component|mult_core|romout[0][15]~2 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y5_N27
+cyclonev_lcell_comb \mult|lpm_mult_component|mult_core|romout[0][14]~1 (
+// Equation(s):
+// \mult|lpm_mult_component|mult_core|romout[0][14]~1_combout = ( \SW[3]~input_o & ( \SW[1]~input_o & ( (\SW[2]~input_o & \SW[0]~input_o ) ) ) ) # ( !\SW[3]~input_o & ( \SW[1]~input_o & ( (!\SW[2]~input_o ) # (!\SW[0]~input_o ) ) ) ) # ( \SW[3]~input_o
+// & ( !\SW[1]~input_o & ( (\SW[0]~input_o ) # (\SW[2]~input_o ) ) ) ) # ( !\SW[3]~input_o & ( !\SW[1]~input_o & ( (\SW[2]~input_o & \SW[0]~input_o ) ) ) )
+
+ .dataa(!\SW[2]~input_o ),
+ .datab(gnd),
+ .datac(!\SW[0]~input_o ),
+ .datad(gnd),
+ .datae(!\SW[3]~input_o ),
+ .dataf(!\SW[1]~input_o ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\mult|lpm_mult_component|mult_core|romout[0][14]~1_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \mult|lpm_mult_component|mult_core|romout[0][14]~1 .extended_lut = "off";
+defparam \mult|lpm_mult_component|mult_core|romout[0][14]~1 .lut_mask = 64'h05055F5FFAFA0505;
+defparam \mult|lpm_mult_component|mult_core|romout[0][14]~1 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y5_N57
+cyclonev_lcell_comb \mult|lpm_mult_component|mult_core|romout[1][9]~0 (
+// Equation(s):
+// \mult|lpm_mult_component|mult_core|romout[1][9]~0_combout = ( \SW[4]~input_o & ( !\SW[5]~input_o ) ) # ( !\SW[4]~input_o & ( \SW[5]~input_o ) )
+
+ .dataa(!\SW[5]~input_o ),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\SW[4]~input_o ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\mult|lpm_mult_component|mult_core|romout[1][9]~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \mult|lpm_mult_component|mult_core|romout[1][9]~0 .extended_lut = "off";
+defparam \mult|lpm_mult_component|mult_core|romout[1][9]~0 .lut_mask = 64'h55555555AAAAAAAA;
+defparam \mult|lpm_mult_component|mult_core|romout[1][9]~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y5_N0
+cyclonev_lcell_comb \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~70 (
+// Equation(s):
+// \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~70_cout = CARRY(( GND ) + ( GND ) + ( !VCC ))
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(),
+ .sumout(),
+ .cout(\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~70_cout ),
+ .shareout());
+// synopsys translate_off
+defparam \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~70 .extended_lut = "off";
+defparam \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~70 .lut_mask = 64'h0000FFFF00000000;
+defparam \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~70 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y5_N3
+cyclonev_lcell_comb \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~66 (
+// Equation(s):
+// \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~66_cout = CARRY(( \SW[1]~input_o ) + ( GND ) + ( \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~70_cout ))
+
+ .dataa(!\SW[1]~input_o ),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~70_cout ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(),
+ .cout(\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~66_cout ),
+ .shareout());
+// synopsys translate_off
+defparam \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~66 .extended_lut = "off";
+defparam \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~66 .lut_mask = 64'h0000FFFF00005555;
+defparam \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~66 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y5_N6
+cyclonev_lcell_comb \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~62 (
+// Equation(s):
+// \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~62_cout = CARRY(( \SW[2]~input_o ) + ( GND ) + ( \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~66_cout ))
+
+ .dataa(gnd),
+ .datab(!\SW[2]~input_o ),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~66_cout ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(),
+ .cout(\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~62_cout ),
+ .shareout());
+// synopsys translate_off
+defparam \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~62 .extended_lut = "off";
+defparam \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~62 .lut_mask = 64'h0000FFFF00003333;
+defparam \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~62 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y5_N9
+cyclonev_lcell_comb \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~58 (
+// Equation(s):
+// \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~58_cout = CARRY(( \SW[3]~input_o ) + ( GND ) + ( \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~62_cout ))
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\SW[3]~input_o ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~62_cout ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(),
+ .cout(\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~58_cout ),
+ .shareout());
+// synopsys translate_off
+defparam \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~58 .extended_lut = "off";
+defparam \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~58 .lut_mask = 64'h0000FFFF00000F0F;
+defparam \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~58 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y5_N12
+cyclonev_lcell_comb \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~54 (
+// Equation(s):
+// \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~54_cout = CARRY(( \SW[0]~input_o ) + ( \SW[4]~input_o ) + ( \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~58_cout ))
+
+ .dataa(gnd),
+ .datab(!\SW[0]~input_o ),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\SW[4]~input_o ),
+ .datag(gnd),
+ .cin(\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~58_cout ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(),
+ .cout(\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~54_cout ),
+ .shareout());
+// synopsys translate_off
+defparam \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~54 .extended_lut = "off";
+defparam \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~54 .lut_mask = 64'h0000FF0000003333;
+defparam \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~54 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y5_N15
+cyclonev_lcell_comb \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~10 (
+// Equation(s):
+// \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~10_cout = CARRY(( \SW[5]~input_o ) + ( !\SW[0]~input_o $ (!\SW[1]~input_o ) ) + ( \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~54_cout ))
+
+ .dataa(gnd),
+ .datab(!\SW[0]~input_o ),
+ .datac(gnd),
+ .datad(!\SW[5]~input_o ),
+ .datae(gnd),
+ .dataf(!\SW[1]~input_o ),
+ .datag(gnd),
+ .cin(\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~54_cout ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(),
+ .cout(\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~10_cout ),
+ .shareout());
+// synopsys translate_off
+defparam \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~10 .extended_lut = "off";
+defparam \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~10 .lut_mask = 64'h0000CC33000000FF;
+defparam \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~10 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y5_N18
+cyclonev_lcell_comb \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~1 (
+// Equation(s):
+// \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~1_sumout = SUM(( \SW[6]~input_o ) + ( !\SW[2]~input_o $ (((!\SW[1]~input_o & !\SW[0]~input_o ))) ) + (
+// \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~10_cout ))
+// \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~2 = CARRY(( \SW[6]~input_o ) + ( !\SW[2]~input_o $ (((!\SW[1]~input_o & !\SW[0]~input_o ))) ) + ( \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~10_cout ))
+
+ .dataa(!\SW[1]~input_o ),
+ .datab(!\SW[2]~input_o ),
+ .datac(!\SW[0]~input_o ),
+ .datad(!\SW[6]~input_o ),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~10_cout ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~1_sumout ),
+ .cout(\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~2 ),
+ .shareout());
+// synopsys translate_off
+defparam \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~1 .extended_lut = "off";
+defparam \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~1 .lut_mask = 64'h00009393000000FF;
+defparam \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~1 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y5_N21
+cyclonev_lcell_comb \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~5 (
+// Equation(s):
+// \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~5_sumout = SUM(( !\SW[3]~input_o $ ((((!\SW[1]~input_o & !\SW[2]~input_o )) # (\SW[0]~input_o ))) ) + ( \SW[7]~input_o ) + (
+// \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~2 ))
+// \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~6 = CARRY(( !\SW[3]~input_o $ ((((!\SW[1]~input_o & !\SW[2]~input_o )) # (\SW[0]~input_o ))) ) + ( \SW[7]~input_o ) + (
+// \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~2 ))
+
+ .dataa(!\SW[1]~input_o ),
+ .datab(!\SW[2]~input_o ),
+ .datac(!\SW[0]~input_o ),
+ .datad(!\SW[3]~input_o ),
+ .datae(gnd),
+ .dataf(!\SW[7]~input_o ),
+ .datag(gnd),
+ .cin(\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~2 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~5_sumout ),
+ .cout(\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~6 ),
+ .shareout());
+// synopsys translate_off
+defparam \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~5 .extended_lut = "off";
+defparam \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~5 .lut_mask = 64'h0000FF000000708F;
+defparam \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~5 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y5_N24
+cyclonev_lcell_comb \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~13 (
+// Equation(s):
+// \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~13_sumout = SUM(( (!\SW[1]~input_o & (((\SW[2]~input_o & !\SW[0]~input_o )) # (\SW[3]~input_o ))) # (\SW[1]~input_o & (((\SW[0]~input_o & !\SW[3]~input_o )))) ) + ( \SW[4]~input_o
+// ) + ( \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~6 ))
+// \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~14 = CARRY(( (!\SW[1]~input_o & (((\SW[2]~input_o & !\SW[0]~input_o )) # (\SW[3]~input_o ))) # (\SW[1]~input_o & (((\SW[0]~input_o & !\SW[3]~input_o )))) ) + ( \SW[4]~input_o ) +
+// ( \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~6 ))
+
+ .dataa(!\SW[1]~input_o ),
+ .datab(!\SW[2]~input_o ),
+ .datac(!\SW[0]~input_o ),
+ .datad(!\SW[3]~input_o ),
+ .datae(gnd),
+ .dataf(!\SW[4]~input_o ),
+ .datag(gnd),
+ .cin(\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~6 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~13_sumout ),
+ .cout(\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~14 ),
+ .shareout());
+// synopsys translate_off
+defparam \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~13 .extended_lut = "off";
+defparam \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~13 .lut_mask = 64'h0000FF00000025AA;
+defparam \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~13 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y5_N27
+cyclonev_lcell_comb \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~17 (
+// Equation(s):
+// \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~17_sumout = SUM(( (!\SW[1]~input_o & ((!\SW[2]~input_o & (!\SW[0]~input_o $ (!\SW[3]~input_o ))) # (\SW[2]~input_o & (\SW[0]~input_o & \SW[3]~input_o )))) # (\SW[1]~input_o &
+// (!\SW[2]~input_o $ ((!\SW[0]~input_o )))) ) + ( \mult|lpm_mult_component|mult_core|romout[1][9]~0_combout ) + ( \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~14 ))
+// \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~18 = CARRY(( (!\SW[1]~input_o & ((!\SW[2]~input_o & (!\SW[0]~input_o $ (!\SW[3]~input_o ))) # (\SW[2]~input_o & (\SW[0]~input_o & \SW[3]~input_o )))) # (\SW[1]~input_o &
+// (!\SW[2]~input_o $ ((!\SW[0]~input_o )))) ) + ( \mult|lpm_mult_component|mult_core|romout[1][9]~0_combout ) + ( \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~14 ))
+
+ .dataa(!\SW[1]~input_o ),
+ .datab(!\SW[2]~input_o ),
+ .datac(!\SW[0]~input_o ),
+ .datad(!\SW[3]~input_o ),
+ .datae(gnd),
+ .dataf(!\mult|lpm_mult_component|mult_core|romout[1][9]~0_combout ),
+ .datag(gnd),
+ .cin(\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~14 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~17_sumout ),
+ .cout(\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~18 ),
+ .shareout());
+// synopsys translate_off
+defparam \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~17 .extended_lut = "off";
+defparam \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~17 .lut_mask = 64'h0000FF0000001C96;
+defparam \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~17 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y5_N30
+cyclonev_lcell_comb \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~21 (
+// Equation(s):
+// \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~21_sumout = SUM(( \mult|lpm_mult_component|mult_core|romout[0][14]~1_combout ) + ( !\SW[6]~input_o $ (((!\SW[5]~input_o & !\SW[4]~input_o ))) ) + (
+// \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~18 ))
+// \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~22 = CARRY(( \mult|lpm_mult_component|mult_core|romout[0][14]~1_combout ) + ( !\SW[6]~input_o $ (((!\SW[5]~input_o & !\SW[4]~input_o ))) ) + (
+// \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~18 ))
+
+ .dataa(!\SW[5]~input_o ),
+ .datab(!\SW[4]~input_o ),
+ .datac(!\SW[6]~input_o ),
+ .datad(!\mult|lpm_mult_component|mult_core|romout[0][14]~1_combout ),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~18 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~21_sumout ),
+ .cout(\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~22 ),
+ .shareout());
+// synopsys translate_off
+defparam \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~21 .extended_lut = "off";
+defparam \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~21 .lut_mask = 64'h00008787000000FF;
+defparam \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~21 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y5_N33
+cyclonev_lcell_comb \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~25 (
+// Equation(s):
+// \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~25_sumout = SUM(( \mult|lpm_mult_component|mult_core|romout[0][15]~2_combout ) + ( !\SW[7]~input_o $ ((((!\SW[5]~input_o & !\SW[6]~input_o )) # (\SW[4]~input_o ))) ) + (
+// \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~22 ))
+// \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~26 = CARRY(( \mult|lpm_mult_component|mult_core|romout[0][15]~2_combout ) + ( !\SW[7]~input_o $ ((((!\SW[5]~input_o & !\SW[6]~input_o )) # (\SW[4]~input_o ))) ) + (
+// \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~22 ))
+
+ .dataa(!\SW[5]~input_o ),
+ .datab(!\SW[4]~input_o ),
+ .datac(!\SW[6]~input_o ),
+ .datad(!\mult|lpm_mult_component|mult_core|romout[0][15]~2_combout ),
+ .datae(gnd),
+ .dataf(!\SW[7]~input_o ),
+ .datag(gnd),
+ .cin(\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~22 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~25_sumout ),
+ .cout(\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~26 ),
+ .shareout());
+// synopsys translate_off
+defparam \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~25 .extended_lut = "off";
+defparam \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~25 .lut_mask = 64'h0000B34C000000FF;
+defparam \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~25 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y5_N36
+cyclonev_lcell_comb \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~29 (
+// Equation(s):
+// \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~29_sumout = SUM(( \mult|lpm_mult_component|mult_core|romout[0][16]~3_combout ) + ( (!\SW[5]~input_o & (((!\SW[4]~input_o & \SW[6]~input_o )) # (\SW[7]~input_o ))) # (\SW[5]~input_o
+// & (\SW[4]~input_o & ((!\SW[7]~input_o )))) ) + ( \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~26 ))
+// \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~30 = CARRY(( \mult|lpm_mult_component|mult_core|romout[0][16]~3_combout ) + ( (!\SW[5]~input_o & (((!\SW[4]~input_o & \SW[6]~input_o )) # (\SW[7]~input_o ))) # (\SW[5]~input_o &
+// (\SW[4]~input_o & ((!\SW[7]~input_o )))) ) + ( \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~26 ))
+
+ .dataa(!\SW[5]~input_o ),
+ .datab(!\SW[4]~input_o ),
+ .datac(!\SW[6]~input_o ),
+ .datad(!\mult|lpm_mult_component|mult_core|romout[0][16]~3_combout ),
+ .datae(gnd),
+ .dataf(!\SW[7]~input_o ),
+ .datag(gnd),
+ .cin(\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~26 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~29_sumout ),
+ .cout(\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~30 ),
+ .shareout());
+// synopsys translate_off
+defparam \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~29 .extended_lut = "off";
+defparam \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~29 .lut_mask = 64'h0000E655000000FF;
+defparam \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~29 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y5_N39
+cyclonev_lcell_comb \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~33 (
+// Equation(s):
+// \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~33_sumout = SUM(( \mult|lpm_mult_component|mult_core|romout[0][17]~4_combout ) + ( (!\SW[5]~input_o & ((!\SW[4]~input_o & (!\SW[6]~input_o & \SW[7]~input_o )) # (\SW[4]~input_o &
+// (!\SW[6]~input_o $ (\SW[7]~input_o ))))) # (\SW[5]~input_o & (!\SW[4]~input_o $ ((!\SW[6]~input_o )))) ) + ( \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~30 ))
+// \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~34 = CARRY(( \mult|lpm_mult_component|mult_core|romout[0][17]~4_combout ) + ( (!\SW[5]~input_o & ((!\SW[4]~input_o & (!\SW[6]~input_o & \SW[7]~input_o )) # (\SW[4]~input_o &
+// (!\SW[6]~input_o $ (\SW[7]~input_o ))))) # (\SW[5]~input_o & (!\SW[4]~input_o $ ((!\SW[6]~input_o )))) ) + ( \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~30 ))
+
+ .dataa(!\SW[5]~input_o ),
+ .datab(!\SW[4]~input_o ),
+ .datac(!\SW[6]~input_o ),
+ .datad(!\mult|lpm_mult_component|mult_core|romout[0][17]~4_combout ),
+ .datae(gnd),
+ .dataf(!\SW[7]~input_o ),
+ .datag(gnd),
+ .cin(\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~30 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~33_sumout ),
+ .cout(\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~34 ),
+ .shareout());
+// synopsys translate_off
+defparam \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~33 .extended_lut = "off";
+defparam \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~33 .lut_mask = 64'h0000CB69000000FF;
+defparam \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~33 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y5_N42
+cyclonev_lcell_comb \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~37 (
+// Equation(s):
+// \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~37_sumout = SUM(( GND ) + ( (!\SW[5]~input_o & ((!\SW[4]~input_o & (\SW[6]~input_o & \SW[7]~input_o )) # (\SW[4]~input_o & ((\SW[7]~input_o ) # (\SW[6]~input_o ))))) #
+// (\SW[5]~input_o & (!\SW[7]~input_o $ (((\SW[4]~input_o & \SW[6]~input_o ))))) ) + ( \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~34 ))
+// \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~38 = CARRY(( GND ) + ( (!\SW[5]~input_o & ((!\SW[4]~input_o & (\SW[6]~input_o & \SW[7]~input_o )) # (\SW[4]~input_o & ((\SW[7]~input_o ) # (\SW[6]~input_o ))))) # (\SW[5]~input_o
+// & (!\SW[7]~input_o $ (((\SW[4]~input_o & \SW[6]~input_o ))))) ) + ( \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~34 ))
+
+ .dataa(!\SW[5]~input_o ),
+ .datab(!\SW[4]~input_o ),
+ .datac(!\SW[6]~input_o ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\SW[7]~input_o ),
+ .datag(gnd),
+ .cin(\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~34 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~37_sumout ),
+ .cout(\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~38 ),
+ .shareout());
+// synopsys translate_off
+defparam \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~37 .extended_lut = "off";
+defparam \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~37 .lut_mask = 64'h0000A9D400000000;
+defparam \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~37 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y5_N45
+cyclonev_lcell_comb \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~41 (
+// Equation(s):
+// \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~41_sumout = SUM(( GND ) + ( (!\SW[5]~input_o & (((\SW[6]~input_o )))) # (\SW[5]~input_o & ((!\SW[6]~input_o & ((\SW[7]~input_o ))) # (\SW[6]~input_o & (!\SW[4]~input_o &
+// !\SW[7]~input_o )))) ) + ( \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~38 ))
+// \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~42 = CARRY(( GND ) + ( (!\SW[5]~input_o & (((\SW[6]~input_o )))) # (\SW[5]~input_o & ((!\SW[6]~input_o & ((\SW[7]~input_o ))) # (\SW[6]~input_o & (!\SW[4]~input_o &
+// !\SW[7]~input_o )))) ) + ( \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~38 ))
+
+ .dataa(!\SW[5]~input_o ),
+ .datab(!\SW[4]~input_o ),
+ .datac(!\SW[6]~input_o ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\SW[7]~input_o ),
+ .datag(gnd),
+ .cin(\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~38 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~41_sumout ),
+ .cout(\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~42 ),
+ .shareout());
+// synopsys translate_off
+defparam \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~41 .extended_lut = "off";
+defparam \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~41 .lut_mask = 64'h0000F1A500000000;
+defparam \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~41 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y5_N48
+cyclonev_lcell_comb \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~45 (
+// Equation(s):
+// \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~45_sumout = SUM(( GND ) + ( (!\SW[6]~input_o & (((\SW[7]~input_o )))) # (\SW[6]~input_o & ((!\SW[5]~input_o & ((\SW[7]~input_o ))) # (\SW[5]~input_o & (\SW[4]~input_o &
+// !\SW[7]~input_o )))) ) + ( \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~42 ))
+// \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~46 = CARRY(( GND ) + ( (!\SW[6]~input_o & (((\SW[7]~input_o )))) # (\SW[6]~input_o & ((!\SW[5]~input_o & ((\SW[7]~input_o ))) # (\SW[5]~input_o & (\SW[4]~input_o &
+// !\SW[7]~input_o )))) ) + ( \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~42 ))
+
+ .dataa(!\SW[6]~input_o ),
+ .datab(!\SW[4]~input_o ),
+ .datac(!\SW[5]~input_o ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\SW[7]~input_o ),
+ .datag(gnd),
+ .cin(\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~42 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~45_sumout ),
+ .cout(\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~46 ),
+ .shareout());
+// synopsys translate_off
+defparam \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~45 .extended_lut = "off";
+defparam \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~45 .lut_mask = 64'h0000FE0500000000;
+defparam \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~45 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y5_N51
+cyclonev_lcell_comb \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~49 (
+// Equation(s):
+// \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~49_sumout = SUM(( \mult|lpm_mult_component|mult_core|romout[1][17]~5_combout ) + ( GND ) + ( \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~46 ))
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(!\mult|lpm_mult_component|mult_core|romout[1][17]~5_combout ),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~46 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~49_sumout ),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~49 .extended_lut = "off";
+defparam \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~49 .lut_mask = 64'h0000FFFF000000FF;
+defparam \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~49 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y9_N0
+cyclonev_lcell_comb \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~1 (
+// Equation(s):
+// \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~1_sumout = SUM(( \SW[8]~input_o ) + ( \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~13_sumout ) + ( !VCC ))
+// \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~2 = CARRY(( \SW[8]~input_o ) + ( \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~13_sumout ) + ( !VCC ))
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\SW[8]~input_o ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~13_sumout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~1_sumout ),
+ .cout(\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~2 ),
+ .shareout());
+// synopsys translate_off
+defparam \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~1 .extended_lut = "off";
+defparam \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~1 .lut_mask = 64'h0000FF0000000F0F;
+defparam \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~1 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y9_N3
+cyclonev_lcell_comb \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~5 (
+// Equation(s):
+// \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~5_sumout = SUM(( \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~17_sumout ) + ( \SW[9]~input_o ) + (
+// \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~2 ))
+// \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~6 = CARRY(( \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~17_sumout ) + ( \SW[9]~input_o ) + (
+// \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~2 ))
+
+ .dataa(!\SW[9]~input_o ),
+ .datab(gnd),
+ .datac(!\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~17_sumout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~2 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~5_sumout ),
+ .cout(\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~6 ),
+ .shareout());
+// synopsys translate_off
+defparam \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~5 .extended_lut = "off";
+defparam \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~5 .lut_mask = 64'h0000AAAA00000F0F;
+defparam \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~5 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y9_N6
+cyclonev_lcell_comb \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~9 (
+// Equation(s):
+// \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~9_sumout = SUM(( \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~21_sumout ) + ( GND ) + (
+// \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~6 ))
+// \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~10 = CARRY(( \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~21_sumout ) + ( GND ) + (
+// \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~6 ))
+
+ .dataa(gnd),
+ .datab(!\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~21_sumout ),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~6 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~9_sumout ),
+ .cout(\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~10 ),
+ .shareout());
+// synopsys translate_off
+defparam \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~9 .extended_lut = "off";
+defparam \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~9 .lut_mask = 64'h0000FFFF00003333;
+defparam \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~9 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y9_N9
+cyclonev_lcell_comb \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~13 (
+// Equation(s):
+// \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~13_sumout = SUM(( \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~25_sumout ) + ( GND ) + (
+// \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~10 ))
+// \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~14 = CARRY(( \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~25_sumout ) + ( GND ) + (
+// \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~10 ))
+
+ .dataa(!\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~25_sumout ),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~10 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~13_sumout ),
+ .cout(\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~14 ),
+ .shareout());
+// synopsys translate_off
+defparam \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~13 .extended_lut = "off";
+defparam \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~13 .lut_mask = 64'h0000FFFF00005555;
+defparam \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~13 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y9_N12
+cyclonev_lcell_comb \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~17 (
+// Equation(s):
+// \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~17_sumout = SUM(( \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~29_sumout ) + ( \SW[8]~input_o ) + (
+// \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~14 ))
+// \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~18 = CARRY(( \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~29_sumout ) + ( \SW[8]~input_o ) + (
+// \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~14 ))
+
+ .dataa(!\SW[8]~input_o ),
+ .datab(gnd),
+ .datac(!\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~29_sumout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~14 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~17_sumout ),
+ .cout(\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~18 ),
+ .shareout());
+// synopsys translate_off
+defparam \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~17 .extended_lut = "off";
+defparam \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~17 .lut_mask = 64'h0000AAAA00000F0F;
+defparam \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~17 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y9_N15
+cyclonev_lcell_comb \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~21 (
+// Equation(s):
+// \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~21_sumout = SUM(( !\SW[8]~input_o $ (!\SW[9]~input_o ) ) + ( \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~33_sumout ) + (
+// \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~18 ))
+// \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~22 = CARRY(( !\SW[8]~input_o $ (!\SW[9]~input_o ) ) + ( \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~33_sumout ) + (
+// \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~18 ))
+
+ .dataa(!\SW[8]~input_o ),
+ .datab(gnd),
+ .datac(!\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~33_sumout ),
+ .datad(!\SW[9]~input_o ),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~18 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~21_sumout ),
+ .cout(\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~22 ),
+ .shareout());
+// synopsys translate_off
+defparam \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~21 .extended_lut = "off";
+defparam \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~21 .lut_mask = 64'h0000F0F0000055AA;
+defparam \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~21 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y9_N18
+cyclonev_lcell_comb \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~25 (
+// Equation(s):
+// \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~25_sumout = SUM(( \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~37_sumout ) + ( (\SW[9]~input_o ) # (\SW[8]~input_o ) ) + (
+// \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~22 ))
+// \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~26 = CARRY(( \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~37_sumout ) + ( (\SW[9]~input_o ) # (\SW[8]~input_o ) ) + (
+// \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~22 ))
+
+ .dataa(!\SW[8]~input_o ),
+ .datab(gnd),
+ .datac(!\SW[9]~input_o ),
+ .datad(!\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~37_sumout ),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~22 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~25_sumout ),
+ .cout(\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~26 ),
+ .shareout());
+// synopsys translate_off
+defparam \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~25 .extended_lut = "off";
+defparam \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~25 .lut_mask = 64'h0000A0A0000000FF;
+defparam \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~25 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y9_N21
+cyclonev_lcell_comb \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~29 (
+// Equation(s):
+// \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~29_sumout = SUM(( (!\SW[8]~input_o & \SW[9]~input_o ) ) + ( \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~41_sumout ) + (
+// \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~26 ))
+// \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~30 = CARRY(( (!\SW[8]~input_o & \SW[9]~input_o ) ) + ( \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~41_sumout ) + (
+// \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~26 ))
+
+ .dataa(!\SW[8]~input_o ),
+ .datab(gnd),
+ .datac(!\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~41_sumout ),
+ .datad(!\SW[9]~input_o ),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~26 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~29_sumout ),
+ .cout(\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~30 ),
+ .shareout());
+// synopsys translate_off
+defparam \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~29 .extended_lut = "off";
+defparam \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~29 .lut_mask = 64'h0000F0F0000000AA;
+defparam \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~29 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y9_N24
+cyclonev_lcell_comb \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~33 (
+// Equation(s):
+// \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~33_sumout = SUM(( (\SW[8]~input_o & \SW[9]~input_o ) ) + ( \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~45_sumout ) + (
+// \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~30 ))
+// \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~34 = CARRY(( (\SW[8]~input_o & \SW[9]~input_o ) ) + ( \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~45_sumout ) + (
+// \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~30 ))
+
+ .dataa(!\SW[8]~input_o ),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(!\SW[9]~input_o ),
+ .datae(gnd),
+ .dataf(!\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~45_sumout ),
+ .datag(gnd),
+ .cin(\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~30 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~33_sumout ),
+ .cout(\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~34 ),
+ .shareout());
+// synopsys translate_off
+defparam \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~33 .extended_lut = "off";
+defparam \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~33 .lut_mask = 64'h0000FF0000000055;
+defparam \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~33 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y9_N27
+cyclonev_lcell_comb \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~37 (
+// Equation(s):
+// \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~37_sumout = SUM(( \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~49_sumout ) + ( \SW[8]~input_o ) + (
+// \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~34 ))
+// \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~38 = CARRY(( \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~49_sumout ) + ( \SW[8]~input_o ) + (
+// \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~34 ))
+
+ .dataa(!\SW[8]~input_o ),
+ .datab(gnd),
+ .datac(!\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~49_sumout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~34 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~37_sumout ),
+ .cout(\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~38 ),
+ .shareout());
+// synopsys translate_off
+defparam \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~37 .extended_lut = "off";
+defparam \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~37 .lut_mask = 64'h0000AAAA00000F0F;
+defparam \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~37 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y9_N30
+cyclonev_lcell_comb \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~41 (
+// Equation(s):
+// \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~41_sumout = SUM(( GND ) + ( \SW[9]~input_o ) + ( \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~38 ))
+// \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~42 = CARRY(( GND ) + ( \SW[9]~input_o ) + ( \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~38 ))
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\SW[9]~input_o ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~38 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~41_sumout ),
+ .cout(\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~42 ),
+ .shareout());
+// synopsys translate_off
+defparam \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~41 .extended_lut = "off";
+defparam \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~41 .lut_mask = 64'h0000F0F000000000;
+defparam \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~41 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y9_N33
+cyclonev_lcell_comb \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~45 (
+// Equation(s):
+// \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~45_sumout = SUM(( GND ) + ( GND ) + ( \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~42 ))
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~42 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~45_sumout ),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~45 .extended_lut = "off";
+defparam \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~45 .lut_mask = 64'h0000FFFF00000000;
+defparam \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~45 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X80_Y9_N0
+cyclonev_lcell_comb \bcd|A2|WideOr3~0 (
+// Equation(s):
+// \bcd|A2|WideOr3~0_combout = ( \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~45_sumout & ( (!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~37_sumout &
+// (!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~33_sumout $ (\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~41_sumout ))) #
+// (\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~37_sumout & (\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~33_sumout &
+// !\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~41_sumout )) ) ) # ( !\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~45_sumout & (
+// (!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~33_sumout & (\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~37_sumout &
+// \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~41_sumout )) # (\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~33_sumout &
+// ((!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~41_sumout ))) ) )
+
+ .dataa(gnd),
+ .datab(!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~37_sumout ),
+ .datac(!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~33_sumout ),
+ .datad(!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~41_sumout ),
+ .datae(gnd),
+ .dataf(!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~45_sumout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A2|WideOr3~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A2|WideOr3~0 .extended_lut = "off";
+defparam \bcd|A2|WideOr3~0 .lut_mask = 64'h0F300F30C30CC30C;
+defparam \bcd|A2|WideOr3~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X80_Y9_N42
+cyclonev_lcell_comb \bcd|A2|WideOr2~0 (
+// Equation(s):
+// \bcd|A2|WideOr2~0_combout = ( \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~45_sumout & ( (!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~37_sumout &
+// ((!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~33_sumout ) # (\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~41_sumout ))) ) ) # (
+// !\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~45_sumout & ( (\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~37_sumout &
+// ((!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~41_sumout ) # (\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~33_sumout ))) ) )
+
+ .dataa(gnd),
+ .datab(!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~37_sumout ),
+ .datac(!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~33_sumout ),
+ .datad(!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~41_sumout ),
+ .datae(gnd),
+ .dataf(!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~45_sumout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A2|WideOr2~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A2|WideOr2~0 .extended_lut = "off";
+defparam \bcd|A2|WideOr2~0 .lut_mask = 64'h33033303C0CCC0CC;
+defparam \bcd|A2|WideOr2~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X80_Y9_N45
+cyclonev_lcell_comb \bcd|A2|WideOr1~0 (
+// Equation(s):
+// \bcd|A2|WideOr1~0_combout = ( \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~33_sumout & ( (\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~45_sumout &
+// (!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~37_sumout & !\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~41_sumout )) ) ) # (
+// !\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~33_sumout & ( (\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~41_sumout &
+// (!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~45_sumout $ (\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~37_sumout ))) ) )
+
+ .dataa(!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~45_sumout ),
+ .datab(!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~37_sumout ),
+ .datac(!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~41_sumout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~33_sumout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A2|WideOr1~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A2|WideOr1~0 .extended_lut = "off";
+defparam \bcd|A2|WideOr1~0 .lut_mask = 64'h0909090940404040;
+defparam \bcd|A2|WideOr1~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X80_Y9_N21
+cyclonev_lcell_comb \bcd|A4|WideOr1~0 (
+// Equation(s):
+// \bcd|A4|WideOr1~0_combout = (!\bcd|A2|WideOr2~0_combout & (\bcd|A2|WideOr1~0_combout & ((\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~29_sumout ) # (\bcd|A2|WideOr3~0_combout )))) # (\bcd|A2|WideOr2~0_combout &
+// (!\bcd|A2|WideOr3~0_combout & (!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~29_sumout )))
+
+ .dataa(!\bcd|A2|WideOr3~0_combout ),
+ .datab(!\bcd|A2|WideOr2~0_combout ),
+ .datac(!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~29_sumout ),
+ .datad(!\bcd|A2|WideOr1~0_combout ),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A4|WideOr1~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A4|WideOr1~0 .extended_lut = "off";
+defparam \bcd|A4|WideOr1~0 .lut_mask = 64'h206C206C206C206C;
+defparam \bcd|A4|WideOr1~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X80_Y9_N15
+cyclonev_lcell_comb \bcd|A4|WideOr3~0 (
+// Equation(s):
+// \bcd|A4|WideOr3~0_combout = ( \bcd|A2|WideOr2~0_combout & ( (!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~29_sumout & (!\bcd|A2|WideOr3~0_combout $ (!\bcd|A2|WideOr1~0_combout ))) ) ) # (
+// !\bcd|A2|WideOr2~0_combout & ( !\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~29_sumout $ (!\bcd|A2|WideOr1~0_combout ) ) )
+
+ .dataa(!\bcd|A2|WideOr3~0_combout ),
+ .datab(gnd),
+ .datac(!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~29_sumout ),
+ .datad(!\bcd|A2|WideOr1~0_combout ),
+ .datae(gnd),
+ .dataf(!\bcd|A2|WideOr2~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A4|WideOr3~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A4|WideOr3~0 .extended_lut = "off";
+defparam \bcd|A4|WideOr3~0 .lut_mask = 64'h0FF00FF050A050A0;
+defparam \bcd|A4|WideOr3~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X80_Y9_N18
+cyclonev_lcell_comb \bcd|A4|WideOr2~0 (
+// Equation(s):
+// \bcd|A4|WideOr2~0_combout = (!\bcd|A2|WideOr3~0_combout & (((!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~29_sumout & \bcd|A2|WideOr1~0_combout )))) # (\bcd|A2|WideOr3~0_combout & ((!\bcd|A2|WideOr2~0_combout &
+// ((!\bcd|A2|WideOr1~0_combout ) # (\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~29_sumout ))) # (\bcd|A2|WideOr2~0_combout &
+// (\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~29_sumout & !\bcd|A2|WideOr1~0_combout ))))
+
+ .dataa(!\bcd|A2|WideOr3~0_combout ),
+ .datab(!\bcd|A2|WideOr2~0_combout ),
+ .datac(!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~29_sumout ),
+ .datad(!\bcd|A2|WideOr1~0_combout ),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A4|WideOr2~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A4|WideOr2~0 .extended_lut = "off";
+defparam \bcd|A4|WideOr2~0 .lut_mask = 64'h45A445A445A445A4;
+defparam \bcd|A4|WideOr2~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X80_Y9_N27
+cyclonev_lcell_comb \bcd|A6|WideOr3~0 (
+// Equation(s):
+// \bcd|A6|WideOr3~0_combout = ( \bcd|A4|WideOr2~0_combout & ( (!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~25_sumout & (!\bcd|A4|WideOr1~0_combout $ (!\bcd|A4|WideOr3~0_combout ))) ) ) # (
+// !\bcd|A4|WideOr2~0_combout & ( !\bcd|A4|WideOr1~0_combout $ (!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~25_sumout ) ) )
+
+ .dataa(!\bcd|A4|WideOr1~0_combout ),
+ .datab(!\bcd|A4|WideOr3~0_combout ),
+ .datac(gnd),
+ .datad(!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~25_sumout ),
+ .datae(gnd),
+ .dataf(!\bcd|A4|WideOr2~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A6|WideOr3~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A6|WideOr3~0 .extended_lut = "off";
+defparam \bcd|A6|WideOr3~0 .lut_mask = 64'h55AA55AA66006600;
+defparam \bcd|A6|WideOr3~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X80_Y9_N6
+cyclonev_lcell_comb \bcd|A6|WideOr2~0 (
+// Equation(s):
+// \bcd|A6|WideOr2~0_combout = ( \bcd|A4|WideOr2~0_combout & ( (!\bcd|A4|WideOr3~0_combout & (\bcd|A4|WideOr1~0_combout & !\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~25_sumout )) # (\bcd|A4|WideOr3~0_combout &
+// (!\bcd|A4|WideOr1~0_combout & \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~25_sumout )) ) ) # ( !\bcd|A4|WideOr2~0_combout & ( !\bcd|A4|WideOr3~0_combout $ (((!\bcd|A4|WideOr1~0_combout ) #
+// (\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~25_sumout ))) ) )
+
+ .dataa(gnd),
+ .datab(!\bcd|A4|WideOr3~0_combout ),
+ .datac(!\bcd|A4|WideOr1~0_combout ),
+ .datad(!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~25_sumout ),
+ .datae(gnd),
+ .dataf(!\bcd|A4|WideOr2~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A6|WideOr2~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A6|WideOr2~0 .extended_lut = "off";
+defparam \bcd|A6|WideOr2~0 .lut_mask = 64'h3C333C330C300C30;
+defparam \bcd|A6|WideOr2~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X80_Y9_N9
+cyclonev_lcell_comb \bcd|A6|WideOr1~0 (
+// Equation(s):
+// \bcd|A6|WideOr1~0_combout = ( \bcd|A4|WideOr2~0_combout & ( (!\bcd|A4|WideOr3~0_combout & !\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~25_sumout ) ) ) # ( !\bcd|A4|WideOr2~0_combout & ( (\bcd|A4|WideOr1~0_combout
+// & ((\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~25_sumout ) # (\bcd|A4|WideOr3~0_combout ))) ) )
+
+ .dataa(!\bcd|A4|WideOr1~0_combout ),
+ .datab(!\bcd|A4|WideOr3~0_combout ),
+ .datac(gnd),
+ .datad(!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~25_sumout ),
+ .datae(gnd),
+ .dataf(!\bcd|A4|WideOr2~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A6|WideOr1~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A6|WideOr1~0 .extended_lut = "off";
+defparam \bcd|A6|WideOr1~0 .lut_mask = 64'h11551155CC00CC00;
+defparam \bcd|A6|WideOr1~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X80_Y9_N30
+cyclonev_lcell_comb \bcd|A8|WideOr2~0 (
+// Equation(s):
+// \bcd|A8|WideOr2~0_combout = ( \bcd|A6|WideOr1~0_combout & ( (!\bcd|A6|WideOr3~0_combout & ((!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~21_sumout ))) # (\bcd|A6|WideOr3~0_combout & (!\bcd|A6|WideOr2~0_combout &
+// \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~21_sumout )) ) ) # ( !\bcd|A6|WideOr1~0_combout & ( (\bcd|A6|WideOr3~0_combout & ((!\bcd|A6|WideOr2~0_combout ) #
+// (\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~21_sumout ))) ) )
+
+ .dataa(!\bcd|A6|WideOr3~0_combout ),
+ .datab(!\bcd|A6|WideOr2~0_combout ),
+ .datac(!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~21_sumout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\bcd|A6|WideOr1~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A8|WideOr2~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A8|WideOr2~0 .extended_lut = "off";
+defparam \bcd|A8|WideOr2~0 .lut_mask = 64'h45454545A4A4A4A4;
+defparam \bcd|A8|WideOr2~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X80_Y9_N39
+cyclonev_lcell_comb \bcd|A8|WideOr3~0 (
+// Equation(s):
+// \bcd|A8|WideOr3~0_combout = ( \bcd|A6|WideOr1~0_combout & ( (!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~21_sumout & ((!\bcd|A6|WideOr3~0_combout ) # (!\bcd|A6|WideOr2~0_combout ))) ) ) # (
+// !\bcd|A6|WideOr1~0_combout & ( (!\bcd|A6|WideOr2~0_combout & ((\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~21_sumout ))) # (\bcd|A6|WideOr2~0_combout & (\bcd|A6|WideOr3~0_combout &
+// !\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~21_sumout )) ) )
+
+ .dataa(!\bcd|A6|WideOr3~0_combout ),
+ .datab(!\bcd|A6|WideOr2~0_combout ),
+ .datac(gnd),
+ .datad(!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~21_sumout ),
+ .datae(gnd),
+ .dataf(!\bcd|A6|WideOr1~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A8|WideOr3~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A8|WideOr3~0 .extended_lut = "off";
+defparam \bcd|A8|WideOr3~0 .lut_mask = 64'h11CC11CCEE00EE00;
+defparam \bcd|A8|WideOr3~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X80_Y9_N33
+cyclonev_lcell_comb \bcd|A8|WideOr1~0 (
+// Equation(s):
+// \bcd|A8|WideOr1~0_combout = ( \bcd|A6|WideOr1~0_combout & ( !\bcd|A6|WideOr2~0_combout $ (((!\bcd|A6|WideOr3~0_combout & !\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~21_sumout ))) ) ) # (
+// !\bcd|A6|WideOr1~0_combout & ( (!\bcd|A6|WideOr3~0_combout & (\bcd|A6|WideOr2~0_combout & !\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~21_sumout )) ) )
+
+ .dataa(!\bcd|A6|WideOr3~0_combout ),
+ .datab(!\bcd|A6|WideOr2~0_combout ),
+ .datac(gnd),
+ .datad(!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~21_sumout ),
+ .datae(gnd),
+ .dataf(!\bcd|A6|WideOr1~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A8|WideOr1~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A8|WideOr1~0 .extended_lut = "off";
+defparam \bcd|A8|WideOr1~0 .lut_mask = 64'h2200220066CC66CC;
+defparam \bcd|A8|WideOr1~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X80_Y9_N57
+cyclonev_lcell_comb \bcd|A11|WideOr1~0 (
+// Equation(s):
+// \bcd|A11|WideOr1~0_combout = ( \bcd|A8|WideOr1~0_combout & ( !\bcd|A8|WideOr2~0_combout $ (((!\bcd|A8|WideOr3~0_combout & !\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~17_sumout ))) ) ) # (
+// !\bcd|A8|WideOr1~0_combout & ( (\bcd|A8|WideOr2~0_combout & (!\bcd|A8|WideOr3~0_combout & !\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~17_sumout )) ) )
+
+ .dataa(!\bcd|A8|WideOr2~0_combout ),
+ .datab(!\bcd|A8|WideOr3~0_combout ),
+ .datac(gnd),
+ .datad(!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~17_sumout ),
+ .datae(gnd),
+ .dataf(!\bcd|A8|WideOr1~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A11|WideOr1~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A11|WideOr1~0 .extended_lut = "off";
+defparam \bcd|A11|WideOr1~0 .lut_mask = 64'h4400440066AA66AA;
+defparam \bcd|A11|WideOr1~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X80_Y9_N54
+cyclonev_lcell_comb \bcd|A11|WideOr2~0 (
+// Equation(s):
+// \bcd|A11|WideOr2~0_combout = ( \bcd|A8|WideOr1~0_combout & ( (!\bcd|A8|WideOr3~0_combout & ((!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~17_sumout ))) # (\bcd|A8|WideOr3~0_combout & (!\bcd|A8|WideOr2~0_combout
+// & \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~17_sumout )) ) ) # ( !\bcd|A8|WideOr1~0_combout & ( (\bcd|A8|WideOr3~0_combout & ((!\bcd|A8|WideOr2~0_combout ) #
+// (\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~17_sumout ))) ) )
+
+ .dataa(!\bcd|A8|WideOr2~0_combout ),
+ .datab(!\bcd|A8|WideOr3~0_combout ),
+ .datac(!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~17_sumout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\bcd|A8|WideOr1~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A11|WideOr2~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A11|WideOr2~0 .extended_lut = "off";
+defparam \bcd|A11|WideOr2~0 .lut_mask = 64'h23232323C2C2C2C2;
+defparam \bcd|A11|WideOr2~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X80_Y9_N51
+cyclonev_lcell_comb \bcd|A11|WideOr3~0 (
+// Equation(s):
+// \bcd|A11|WideOr3~0_combout = ( \bcd|A8|WideOr1~0_combout & ( (!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~17_sumout & ((!\bcd|A8|WideOr2~0_combout ) # (!\bcd|A8|WideOr3~0_combout ))) ) ) # (
+// !\bcd|A8|WideOr1~0_combout & ( (!\bcd|A8|WideOr2~0_combout & ((\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~17_sumout ))) # (\bcd|A8|WideOr2~0_combout & (\bcd|A8|WideOr3~0_combout &
+// !\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~17_sumout )) ) )
+
+ .dataa(!\bcd|A8|WideOr2~0_combout ),
+ .datab(gnd),
+ .datac(!\bcd|A8|WideOr3~0_combout ),
+ .datad(!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~17_sumout ),
+ .datae(gnd),
+ .dataf(!\bcd|A8|WideOr1~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A11|WideOr3~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A11|WideOr3~0 .extended_lut = "off";
+defparam \bcd|A11|WideOr3~0 .lut_mask = 64'h05AA05AAFA00FA00;
+defparam \bcd|A11|WideOr3~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X84_Y9_N36
+cyclonev_lcell_comb \bcd|A14|WideOr3~0 (
+// Equation(s):
+// \bcd|A14|WideOr3~0_combout = ( \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~13_sumout & ( \bcd|A11|WideOr3~0_combout & ( (!\bcd|A11|WideOr1~0_combout & !\bcd|A11|WideOr2~0_combout ) ) ) ) # (
+// !\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~13_sumout & ( \bcd|A11|WideOr3~0_combout & ( !\bcd|A11|WideOr1~0_combout $ (!\bcd|A11|WideOr2~0_combout ) ) ) ) # (
+// \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~13_sumout & ( !\bcd|A11|WideOr3~0_combout & ( (!\bcd|A11|WideOr1~0_combout & !\bcd|A11|WideOr2~0_combout ) ) ) ) # (
+// !\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~13_sumout & ( !\bcd|A11|WideOr3~0_combout & ( \bcd|A11|WideOr1~0_combout ) ) )
+
+ .dataa(!\bcd|A11|WideOr1~0_combout ),
+ .datab(gnd),
+ .datac(!\bcd|A11|WideOr2~0_combout ),
+ .datad(gnd),
+ .datae(!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~13_sumout ),
+ .dataf(!\bcd|A11|WideOr3~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A14|WideOr3~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A14|WideOr3~0 .extended_lut = "off";
+defparam \bcd|A14|WideOr3~0 .lut_mask = 64'h5555A0A05A5AA0A0;
+defparam \bcd|A14|WideOr3~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X84_Y9_N57
+cyclonev_lcell_comb \bcd|A14|WideOr2~0 (
+// Equation(s):
+// \bcd|A14|WideOr2~0_combout = ( \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~13_sumout & ( \bcd|A11|WideOr2~0_combout & ( (!\bcd|A11|WideOr1~0_combout & \bcd|A11|WideOr3~0_combout ) ) ) ) # (
+// !\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~13_sumout & ( \bcd|A11|WideOr2~0_combout & ( (\bcd|A11|WideOr1~0_combout & !\bcd|A11|WideOr3~0_combout ) ) ) ) # (
+// \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~13_sumout & ( !\bcd|A11|WideOr2~0_combout & ( \bcd|A11|WideOr3~0_combout ) ) ) # (
+// !\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~13_sumout & ( !\bcd|A11|WideOr2~0_combout & ( !\bcd|A11|WideOr1~0_combout $ (!\bcd|A11|WideOr3~0_combout ) ) ) )
+
+ .dataa(!\bcd|A11|WideOr1~0_combout ),
+ .datab(gnd),
+ .datac(!\bcd|A11|WideOr3~0_combout ),
+ .datad(gnd),
+ .datae(!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~13_sumout ),
+ .dataf(!\bcd|A11|WideOr2~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A14|WideOr2~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A14|WideOr2~0 .extended_lut = "off";
+defparam \bcd|A14|WideOr2~0 .lut_mask = 64'h5A5A0F0F50500A0A;
+defparam \bcd|A14|WideOr2~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X84_Y9_N24
+cyclonev_lcell_comb \bcd|A14|WideOr1~0 (
+// Equation(s):
+// \bcd|A14|WideOr1~0_combout = ( \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~13_sumout & ( \bcd|A11|WideOr3~0_combout & ( (\bcd|A11|WideOr1~0_combout & !\bcd|A11|WideOr2~0_combout ) ) ) ) # (
+// !\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~13_sumout & ( \bcd|A11|WideOr3~0_combout & ( (\bcd|A11|WideOr1~0_combout & !\bcd|A11|WideOr2~0_combout ) ) ) ) # (
+// \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~13_sumout & ( !\bcd|A11|WideOr3~0_combout & ( (\bcd|A11|WideOr1~0_combout & !\bcd|A11|WideOr2~0_combout ) ) ) ) # (
+// !\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~13_sumout & ( !\bcd|A11|WideOr3~0_combout & ( \bcd|A11|WideOr2~0_combout ) ) )
+
+ .dataa(!\bcd|A11|WideOr1~0_combout ),
+ .datab(gnd),
+ .datac(!\bcd|A11|WideOr2~0_combout ),
+ .datad(gnd),
+ .datae(!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~13_sumout ),
+ .dataf(!\bcd|A11|WideOr3~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A14|WideOr1~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A14|WideOr1~0 .extended_lut = "off";
+defparam \bcd|A14|WideOr1~0 .lut_mask = 64'h0F0F505050505050;
+defparam \bcd|A14|WideOr1~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X82_Y9_N42
+cyclonev_lcell_comb \bcd|A17|WideOr2~0 (
+// Equation(s):
+// \bcd|A17|WideOr2~0_combout = ( \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~9_sumout & ( (\bcd|A14|WideOr3~0_combout & ((!\bcd|A14|WideOr2~0_combout ) # (!\bcd|A14|WideOr1~0_combout ))) ) ) # (
+// !\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~9_sumout & ( (!\bcd|A14|WideOr3~0_combout & ((\bcd|A14|WideOr1~0_combout ))) # (\bcd|A14|WideOr3~0_combout & (!\bcd|A14|WideOr2~0_combout & !\bcd|A14|WideOr1~0_combout
+// )) ) )
+
+ .dataa(!\bcd|A14|WideOr3~0_combout ),
+ .datab(!\bcd|A14|WideOr2~0_combout ),
+ .datac(!\bcd|A14|WideOr1~0_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~9_sumout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A17|WideOr2~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A17|WideOr2~0 .extended_lut = "off";
+defparam \bcd|A17|WideOr2~0 .lut_mask = 64'h4A4A4A4A54545454;
+defparam \bcd|A17|WideOr2~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X82_Y9_N0
+cyclonev_lcell_comb \bcd|A17|WideOr3~0 (
+// Equation(s):
+// \bcd|A17|WideOr3~0_combout = ( \bcd|A14|WideOr2~0_combout & ( \bcd|A14|WideOr3~0_combout & ( (!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~9_sumout & !\bcd|A14|WideOr1~0_combout ) ) ) ) # (
+// !\bcd|A14|WideOr2~0_combout & ( \bcd|A14|WideOr3~0_combout & ( !\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~9_sumout $ (!\bcd|A14|WideOr1~0_combout ) ) ) ) # ( \bcd|A14|WideOr2~0_combout & (
+// !\bcd|A14|WideOr3~0_combout & ( (!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~9_sumout & \bcd|A14|WideOr1~0_combout ) ) ) ) # ( !\bcd|A14|WideOr2~0_combout & ( !\bcd|A14|WideOr3~0_combout & (
+// !\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~9_sumout $ (!\bcd|A14|WideOr1~0_combout ) ) ) )
+
+ .dataa(gnd),
+ .datab(!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~9_sumout ),
+ .datac(!\bcd|A14|WideOr1~0_combout ),
+ .datad(gnd),
+ .datae(!\bcd|A14|WideOr2~0_combout ),
+ .dataf(!\bcd|A14|WideOr3~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A17|WideOr3~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A17|WideOr3~0 .extended_lut = "off";
+defparam \bcd|A17|WideOr3~0 .lut_mask = 64'h3C3C0C0C3C3CC0C0;
+defparam \bcd|A17|WideOr3~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X82_Y9_N45
+cyclonev_lcell_comb \bcd|A17|WideOr1~0 (
+// Equation(s):
+// \bcd|A17|WideOr1~0_combout = ( \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~9_sumout & ( (!\bcd|A14|WideOr2~0_combout & \bcd|A14|WideOr1~0_combout ) ) ) # (
+// !\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~9_sumout & ( (!\bcd|A14|WideOr3~0_combout & (\bcd|A14|WideOr2~0_combout )) # (\bcd|A14|WideOr3~0_combout & (!\bcd|A14|WideOr2~0_combout & \bcd|A14|WideOr1~0_combout ))
+// ) )
+
+ .dataa(!\bcd|A14|WideOr3~0_combout ),
+ .datab(!\bcd|A14|WideOr2~0_combout ),
+ .datac(gnd),
+ .datad(!\bcd|A14|WideOr1~0_combout ),
+ .datae(gnd),
+ .dataf(!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~9_sumout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A17|WideOr1~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A17|WideOr1~0 .extended_lut = "off";
+defparam \bcd|A17|WideOr1~0 .lut_mask = 64'h2266226600CC00CC;
+defparam \bcd|A17|WideOr1~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X82_Y9_N6
+cyclonev_lcell_comb \bcd|A21|WideOr1~0 (
+// Equation(s):
+// \bcd|A21|WideOr1~0_combout = ( \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~5_sumout & ( (!\bcd|A17|WideOr2~0_combout & \bcd|A17|WideOr1~0_combout ) ) ) # (
+// !\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~5_sumout & ( (!\bcd|A17|WideOr2~0_combout & (\bcd|A17|WideOr3~0_combout & \bcd|A17|WideOr1~0_combout )) # (\bcd|A17|WideOr2~0_combout & (!\bcd|A17|WideOr3~0_combout ))
+// ) )
+
+ .dataa(gnd),
+ .datab(!\bcd|A17|WideOr2~0_combout ),
+ .datac(!\bcd|A17|WideOr3~0_combout ),
+ .datad(!\bcd|A17|WideOr1~0_combout ),
+ .datae(gnd),
+ .dataf(!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~5_sumout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A21|WideOr1~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A21|WideOr1~0 .extended_lut = "off";
+defparam \bcd|A21|WideOr1~0 .lut_mask = 64'h303C303C00CC00CC;
+defparam \bcd|A21|WideOr1~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X82_Y9_N24
+cyclonev_lcell_comb \bcd|A21|WideOr3~0 (
+// Equation(s):
+// \bcd|A21|WideOr3~0_combout = ( \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~5_sumout & ( (!\bcd|A17|WideOr2~0_combout & !\bcd|A17|WideOr1~0_combout ) ) ) # (
+// !\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~5_sumout & ( !\bcd|A17|WideOr1~0_combout $ (((!\bcd|A17|WideOr3~0_combout ) # (!\bcd|A17|WideOr2~0_combout ))) ) )
+
+ .dataa(!\bcd|A17|WideOr3~0_combout ),
+ .datab(!\bcd|A17|WideOr2~0_combout ),
+ .datac(gnd),
+ .datad(!\bcd|A17|WideOr1~0_combout ),
+ .datae(gnd),
+ .dataf(!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~5_sumout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A21|WideOr3~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A21|WideOr3~0 .extended_lut = "off";
+defparam \bcd|A21|WideOr3~0 .lut_mask = 64'h11EE11EECC00CC00;
+defparam \bcd|A21|WideOr3~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X82_Y9_N27
+cyclonev_lcell_comb \bcd|A21|WideOr2~0 (
+// Equation(s):
+// \bcd|A21|WideOr2~0_combout = ( \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~5_sumout & ( (\bcd|A17|WideOr3~0_combout & ((!\bcd|A17|WideOr2~0_combout ) # (!\bcd|A17|WideOr1~0_combout ))) ) ) # (
+// !\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~5_sumout & ( (!\bcd|A17|WideOr3~0_combout & ((\bcd|A17|WideOr1~0_combout ))) # (\bcd|A17|WideOr3~0_combout & (!\bcd|A17|WideOr2~0_combout & !\bcd|A17|WideOr1~0_combout
+// )) ) )
+
+ .dataa(!\bcd|A17|WideOr3~0_combout ),
+ .datab(gnd),
+ .datac(!\bcd|A17|WideOr2~0_combout ),
+ .datad(!\bcd|A17|WideOr1~0_combout ),
+ .datae(gnd),
+ .dataf(!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~5_sumout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A21|WideOr2~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A21|WideOr2~0 .extended_lut = "off";
+defparam \bcd|A21|WideOr2~0 .lut_mask = 64'h50AA50AA55505550;
+defparam \bcd|A21|WideOr2~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X83_Y9_N48
+cyclonev_lcell_comb \bcd|A25|WideOr1~0 (
+// Equation(s):
+// \bcd|A25|WideOr1~0_combout = ( \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~1_sumout & ( (\bcd|A21|WideOr1~0_combout & !\bcd|A21|WideOr2~0_combout ) ) ) # (
+// !\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~1_sumout & ( (!\bcd|A21|WideOr3~0_combout & ((\bcd|A21|WideOr2~0_combout ))) # (\bcd|A21|WideOr3~0_combout & (\bcd|A21|WideOr1~0_combout & !\bcd|A21|WideOr2~0_combout
+// )) ) )
+
+ .dataa(!\bcd|A21|WideOr1~0_combout ),
+ .datab(!\bcd|A21|WideOr3~0_combout ),
+ .datac(!\bcd|A21|WideOr2~0_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~1_sumout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A25|WideOr1~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A25|WideOr1~0 .extended_lut = "off";
+defparam \bcd|A25|WideOr1~0 .lut_mask = 64'h1C1C1C1C50505050;
+defparam \bcd|A25|WideOr1~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X83_Y9_N6
+cyclonev_lcell_comb \bcd|A25|WideOr2~0 (
+// Equation(s):
+// \bcd|A25|WideOr2~0_combout = ( \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~1_sumout & ( (\bcd|A21|WideOr3~0_combout & ((!\bcd|A21|WideOr1~0_combout ) # (!\bcd|A21|WideOr2~0_combout ))) ) ) # (
+// !\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~1_sumout & ( (!\bcd|A21|WideOr1~0_combout & (\bcd|A21|WideOr3~0_combout & !\bcd|A21|WideOr2~0_combout )) # (\bcd|A21|WideOr1~0_combout & (!\bcd|A21|WideOr3~0_combout
+// )) ) )
+
+ .dataa(!\bcd|A21|WideOr1~0_combout ),
+ .datab(!\bcd|A21|WideOr3~0_combout ),
+ .datac(!\bcd|A21|WideOr2~0_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~1_sumout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A25|WideOr2~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A25|WideOr2~0 .extended_lut = "off";
+defparam \bcd|A25|WideOr2~0 .lut_mask = 64'h6464646432323232;
+defparam \bcd|A25|WideOr2~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X83_Y9_N51
+cyclonev_lcell_comb \bcd|A25|WideOr3~0 (
+// Equation(s):
+// \bcd|A25|WideOr3~0_combout = ( \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~1_sumout & ( (!\bcd|A21|WideOr1~0_combout & !\bcd|A21|WideOr2~0_combout ) ) ) # (
+// !\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~1_sumout & ( !\bcd|A21|WideOr1~0_combout $ (((!\bcd|A21|WideOr3~0_combout ) # (!\bcd|A21|WideOr2~0_combout ))) ) )
+
+ .dataa(!\bcd|A21|WideOr1~0_combout ),
+ .datab(gnd),
+ .datac(!\bcd|A21|WideOr3~0_combout ),
+ .datad(!\bcd|A21|WideOr2~0_combout ),
+ .datae(gnd),
+ .dataf(!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~1_sumout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A25|WideOr3~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A25|WideOr3~0 .extended_lut = "off";
+defparam \bcd|A25|WideOr3~0 .lut_mask = 64'h555A555AAA00AA00;
+defparam \bcd|A25|WideOr3~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X83_Y9_N0
+cyclonev_lcell_comb \bcd|A29|WideOr3~0 (
+// Equation(s):
+// \bcd|A29|WideOr3~0_combout = ( \bcd|A25|WideOr3~0_combout & ( (!\bcd|A25|WideOr1~0_combout & (!\bcd|A25|WideOr2~0_combout $ (!\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~5_sumout ))) # (\bcd|A25|WideOr1~0_combout &
+// (!\bcd|A25|WideOr2~0_combout & !\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~5_sumout )) ) ) # ( !\bcd|A25|WideOr3~0_combout & ( (!\bcd|A25|WideOr1~0_combout & (!\bcd|A25|WideOr2~0_combout &
+// \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~5_sumout )) # (\bcd|A25|WideOr1~0_combout & ((!\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~5_sumout ))) ) )
+
+ .dataa(!\bcd|A25|WideOr1~0_combout ),
+ .datab(!\bcd|A25|WideOr2~0_combout ),
+ .datac(!\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~5_sumout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\bcd|A25|WideOr3~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A29|WideOr3~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A29|WideOr3~0 .extended_lut = "off";
+defparam \bcd|A29|WideOr3~0 .lut_mask = 64'h5858585868686868;
+defparam \bcd|A29|WideOr3~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X83_Y9_N3
+cyclonev_lcell_comb \bcd|A29|WideOr2~0 (
+// Equation(s):
+// \bcd|A29|WideOr2~0_combout = ( \bcd|A25|WideOr3~0_combout & ( (!\bcd|A25|WideOr1~0_combout & ((!\bcd|A25|WideOr2~0_combout ) # (\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~5_sumout ))) # (\bcd|A25|WideOr1~0_combout &
+// (!\bcd|A25|WideOr2~0_combout & \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~5_sumout )) ) ) # ( !\bcd|A25|WideOr3~0_combout & ( (\bcd|A25|WideOr1~0_combout &
+// !\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~5_sumout ) ) )
+
+ .dataa(!\bcd|A25|WideOr1~0_combout ),
+ .datab(!\bcd|A25|WideOr2~0_combout ),
+ .datac(!\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~5_sumout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\bcd|A25|WideOr3~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A29|WideOr2~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A29|WideOr2~0 .extended_lut = "off";
+defparam \bcd|A29|WideOr2~0 .lut_mask = 64'h505050508E8E8E8E;
+defparam \bcd|A29|WideOr2~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X83_Y9_N54
+cyclonev_lcell_comb \bcd|A29|WideOr1~0 (
+// Equation(s):
+// \bcd|A29|WideOr1~0_combout = ( \bcd|A25|WideOr3~0_combout & ( (\bcd|A25|WideOr1~0_combout & !\bcd|A25|WideOr2~0_combout ) ) ) # ( !\bcd|A25|WideOr3~0_combout & ( (!\bcd|A25|WideOr2~0_combout & (\bcd|A25|WideOr1~0_combout &
+// \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~5_sumout )) # (\bcd|A25|WideOr2~0_combout & ((!\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~5_sumout ))) ) )
+
+ .dataa(!\bcd|A25|WideOr1~0_combout ),
+ .datab(!\bcd|A25|WideOr2~0_combout ),
+ .datac(!\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~5_sumout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\bcd|A25|WideOr3~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A29|WideOr1~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A29|WideOr1~0 .extended_lut = "off";
+defparam \bcd|A29|WideOr1~0 .lut_mask = 64'h3434343444444444;
+defparam \bcd|A29|WideOr1~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X83_Y9_N36
+cyclonev_lcell_comb \h0|WideOr6~0 (
+// Equation(s):
+// \h0|WideOr6~0_combout = (!\bcd|A29|WideOr2~0_combout & (\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~1_sumout & (!\bcd|A29|WideOr3~0_combout $ (\bcd|A29|WideOr1~0_combout )))) # (\bcd|A29|WideOr2~0_combout &
+// (!\bcd|A29|WideOr3~0_combout & (!\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~1_sumout $ (\bcd|A29|WideOr1~0_combout ))))
+
+ .dataa(!\bcd|A29|WideOr3~0_combout ),
+ .datab(!\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~1_sumout ),
+ .datac(!\bcd|A29|WideOr2~0_combout ),
+ .datad(!\bcd|A29|WideOr1~0_combout ),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\h0|WideOr6~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \h0|WideOr6~0 .extended_lut = "off";
+defparam \h0|WideOr6~0 .lut_mask = 64'h2812281228122812;
+defparam \h0|WideOr6~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X83_Y9_N39
+cyclonev_lcell_comb \h0|WideOr5~0 (
+// Equation(s):
+// \h0|WideOr5~0_combout = ( \bcd|A29|WideOr2~0_combout & ( (!\bcd|A29|WideOr3~0_combout & (!\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~1_sumout $ (!\bcd|A29|WideOr1~0_combout ))) # (\bcd|A29|WideOr3~0_combout &
+// ((!\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~1_sumout ) # (\bcd|A29|WideOr1~0_combout ))) ) ) # ( !\bcd|A29|WideOr2~0_combout & ( (\bcd|A29|WideOr3~0_combout &
+// (\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~1_sumout & \bcd|A29|WideOr1~0_combout )) ) )
+
+ .dataa(!\bcd|A29|WideOr3~0_combout ),
+ .datab(gnd),
+ .datac(!\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~1_sumout ),
+ .datad(!\bcd|A29|WideOr1~0_combout ),
+ .datae(gnd),
+ .dataf(!\bcd|A29|WideOr2~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\h0|WideOr5~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \h0|WideOr5~0 .extended_lut = "off";
+defparam \h0|WideOr5~0 .lut_mask = 64'h000500055AF55AF5;
+defparam \h0|WideOr5~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X83_Y9_N42
+cyclonev_lcell_comb \h0|WideOr4~0 (
+// Equation(s):
+// \h0|WideOr4~0_combout = (!\bcd|A29|WideOr2~0_combout & (\bcd|A29|WideOr3~0_combout & (!\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~1_sumout & !\bcd|A29|WideOr1~0_combout ))) # (\bcd|A29|WideOr2~0_combout &
+// (\bcd|A29|WideOr1~0_combout & ((!\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~1_sumout ) # (\bcd|A29|WideOr3~0_combout ))))
+
+ .dataa(!\bcd|A29|WideOr3~0_combout ),
+ .datab(!\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~1_sumout ),
+ .datac(!\bcd|A29|WideOr2~0_combout ),
+ .datad(!\bcd|A29|WideOr1~0_combout ),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\h0|WideOr4~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \h0|WideOr4~0 .extended_lut = "off";
+defparam \h0|WideOr4~0 .lut_mask = 64'h400D400D400D400D;
+defparam \h0|WideOr4~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X83_Y9_N24
+cyclonev_lcell_comb \h0|WideOr3~0 (
+// Equation(s):
+// \h0|WideOr3~0_combout = (!\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~1_sumout & ((!\bcd|A29|WideOr3~0_combout & (\bcd|A29|WideOr2~0_combout & !\bcd|A29|WideOr1~0_combout )) # (\bcd|A29|WideOr3~0_combout &
+// (!\bcd|A29|WideOr2~0_combout & \bcd|A29|WideOr1~0_combout )))) # (\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~1_sumout & (!\bcd|A29|WideOr3~0_combout $ ((\bcd|A29|WideOr2~0_combout ))))
+
+ .dataa(!\bcd|A29|WideOr3~0_combout ),
+ .datab(!\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~1_sumout ),
+ .datac(!\bcd|A29|WideOr2~0_combout ),
+ .datad(!\bcd|A29|WideOr1~0_combout ),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\h0|WideOr3~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \h0|WideOr3~0 .extended_lut = "off";
+defparam \h0|WideOr3~0 .lut_mask = 64'h2961296129612961;
+defparam \h0|WideOr3~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X83_Y9_N45
+cyclonev_lcell_comb \h0|WideOr2~0 (
+// Equation(s):
+// \h0|WideOr2~0_combout = ( \bcd|A29|WideOr2~0_combout & ( (!\bcd|A29|WideOr1~0_combout & ((!\bcd|A29|WideOr3~0_combout ) # (\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~1_sumout ))) ) ) # ( !\bcd|A29|WideOr2~0_combout & (
+// (\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~1_sumout & ((!\bcd|A29|WideOr3~0_combout ) # (!\bcd|A29|WideOr1~0_combout ))) ) )
+
+ .dataa(!\bcd|A29|WideOr3~0_combout ),
+ .datab(!\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~1_sumout ),
+ .datac(gnd),
+ .datad(!\bcd|A29|WideOr1~0_combout ),
+ .datae(gnd),
+ .dataf(!\bcd|A29|WideOr2~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\h0|WideOr2~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \h0|WideOr2~0 .extended_lut = "off";
+defparam \h0|WideOr2~0 .lut_mask = 64'h33223322BB00BB00;
+defparam \h0|WideOr2~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X83_Y9_N30
+cyclonev_lcell_comb \h0|WideOr1~0 (
+// Equation(s):
+// \h0|WideOr1~0_combout = ( \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~1_sumout & ( !\bcd|A29|WideOr1~0_combout $ (((!\bcd|A29|WideOr3~0_combout & \bcd|A29|WideOr2~0_combout ))) ) ) # (
+// !\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~1_sumout & ( (!\bcd|A29|WideOr1~0_combout & (\bcd|A29|WideOr3~0_combout & !\bcd|A29|WideOr2~0_combout )) ) )
+
+ .dataa(!\bcd|A29|WideOr1~0_combout ),
+ .datab(gnd),
+ .datac(!\bcd|A29|WideOr3~0_combout ),
+ .datad(!\bcd|A29|WideOr2~0_combout ),
+ .datae(gnd),
+ .dataf(!\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~1_sumout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\h0|WideOr1~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \h0|WideOr1~0 .extended_lut = "off";
+defparam \h0|WideOr1~0 .lut_mask = 64'h0A000A00AA5AAA5A;
+defparam \h0|WideOr1~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X83_Y9_N27
+cyclonev_lcell_comb \h0|WideOr0~0 (
+// Equation(s):
+// \h0|WideOr0~0_combout = ( \bcd|A29|WideOr2~0_combout & ( (!\bcd|A29|WideOr3~0_combout & ((!\bcd|A29|WideOr1~0_combout ) # (\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~1_sumout ))) # (\bcd|A29|WideOr3~0_combout &
+// ((!\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~1_sumout ) # (\bcd|A29|WideOr1~0_combout ))) ) ) # ( !\bcd|A29|WideOr2~0_combout & ( (\bcd|A29|WideOr1~0_combout ) # (\bcd|A29|WideOr3~0_combout ) ) )
+
+ .dataa(!\bcd|A29|WideOr3~0_combout ),
+ .datab(!\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~1_sumout ),
+ .datac(gnd),
+ .datad(!\bcd|A29|WideOr1~0_combout ),
+ .datae(gnd),
+ .dataf(!\bcd|A29|WideOr2~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\h0|WideOr0~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \h0|WideOr0~0 .extended_lut = "off";
+defparam \h0|WideOr0~0 .lut_mask = 64'h55FF55FFEE77EE77;
+defparam \h0|WideOr0~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X79_Y9_N0
+cyclonev_lcell_comb \bcd|A7|WideOr2~0 (
+// Equation(s):
+// \bcd|A7|WideOr2~0_combout = ( \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~29_sumout & ( \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~37_sumout & (
+// !\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~41_sumout $ (((\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~45_sumout &
+// \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~33_sumout ))) ) ) ) # ( !\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~29_sumout & (
+// \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~37_sumout & ( (!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~45_sumout &
+// (\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~33_sumout & ((!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~41_sumout )))) #
+// (\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~45_sumout & ((!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~33_sumout &
+// ((!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~41_sumout ))) # (\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~33_sumout &
+// ((!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~25_sumout ) # (\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~41_sumout ))))) ) ) ) # (
+// \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~29_sumout & ( !\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~37_sumout & (
+// (!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~45_sumout & (!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~33_sumout &
+// ((\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~41_sumout )))) # (\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~45_sumout &
+// (!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~41_sumout & ((\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~25_sumout ) #
+// (\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~33_sumout )))) ) ) ) # ( !\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~29_sumout & (
+// !\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~37_sumout & ( (!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~45_sumout &
+// (!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~33_sumout & \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~41_sumout )) #
+// (\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~45_sumout & (\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~33_sumout &
+// !\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~41_sumout )) ) ) )
+
+ .dataa(!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~45_sumout ),
+ .datab(!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~33_sumout ),
+ .datac(!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~25_sumout ),
+ .datad(!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~41_sumout ),
+ .datae(!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~29_sumout ),
+ .dataf(!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~37_sumout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A7|WideOr2~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A7|WideOr2~0 .extended_lut = "off";
+defparam \bcd|A7|WideOr2~0 .lut_mask = 64'h118815887611EE11;
+defparam \bcd|A7|WideOr2~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X79_Y9_N42
+cyclonev_lcell_comb \bcd|A7|WideOr3~0 (
+// Equation(s):
+// \bcd|A7|WideOr3~0_combout = ( \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~29_sumout & ( \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~37_sumout & (
+// (!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~45_sumout & (\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~33_sumout &
+// ((\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~41_sumout ) # (\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~25_sumout )))) #
+// (\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~45_sumout & (!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~33_sumout )) ) ) ) # (
+// !\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~29_sumout & ( \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~37_sumout & (
+// (!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~45_sumout & (!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~33_sumout &
+// ((!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~41_sumout )))) # (\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~45_sumout &
+// ((!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~33_sumout ) # ((!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~25_sumout &
+// !\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~41_sumout )))) ) ) ) # ( \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~29_sumout & (
+// !\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~37_sumout & ( (!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~45_sumout &
+// (!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~33_sumout $ (((!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~41_sumout ))))) #
+// (\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~45_sumout & ((!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~33_sumout &
+// (!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~25_sumout & !\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~41_sumout )) #
+// (\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~33_sumout & (\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~25_sumout &
+// \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~41_sumout )))) ) ) ) # ( !\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~29_sumout & (
+// !\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~37_sumout & ( (!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~33_sumout &
+// (!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~45_sumout $ (((!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~41_sumout ))))) #
+// (\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~33_sumout & (!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~45_sumout &
+// (\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~25_sumout & !\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~41_sumout ))) ) ) )
+
+ .dataa(!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~45_sumout ),
+ .datab(!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~33_sumout ),
+ .datac(!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~25_sumout ),
+ .datad(!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~41_sumout ),
+ .datae(!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~29_sumout ),
+ .dataf(!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~37_sumout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A7|WideOr3~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A7|WideOr3~0 .extended_lut = "off";
+defparam \bcd|A7|WideOr3~0 .lut_mask = 64'h46886289DC444666;
+defparam \bcd|A7|WideOr3~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X80_Y9_N36
+cyclonev_lcell_comb \bcd|A8|WideOr0~0 (
+// Equation(s):
+// \bcd|A8|WideOr0~0_combout = ( \bcd|A6|WideOr1~0_combout & ( (!\bcd|A6|WideOr2~0_combout ) # ((!\bcd|A6|WideOr3~0_combout & !\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~21_sumout )) ) ) # (
+// !\bcd|A6|WideOr1~0_combout & ( (\bcd|A6|WideOr2~0_combout & ((\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~21_sumout ) # (\bcd|A6|WideOr3~0_combout ))) ) )
+
+ .dataa(!\bcd|A6|WideOr3~0_combout ),
+ .datab(!\bcd|A6|WideOr2~0_combout ),
+ .datac(!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~21_sumout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\bcd|A6|WideOr1~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A8|WideOr0~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A8|WideOr0~0 .extended_lut = "off";
+defparam \bcd|A8|WideOr0~0 .lut_mask = 64'h13131313ECECECEC;
+defparam \bcd|A8|WideOr0~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X79_Y9_N30
+cyclonev_lcell_comb \bcd|A7|WideOr1~0 (
+// Equation(s):
+// \bcd|A7|WideOr1~0_combout = ( \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~29_sumout & ( \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~37_sumout & (
+// (\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~45_sumout & (\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~33_sumout &
+// !\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~41_sumout )) ) ) ) # ( !\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~29_sumout & (
+// \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~37_sumout & ( (!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~45_sumout &
+// (!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~33_sumout & (!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~25_sumout &
+// \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~41_sumout ))) # (\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~45_sumout &
+// (\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~33_sumout & (\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~25_sumout &
+// !\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~41_sumout ))) ) ) ) # ( \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~29_sumout & (
+// !\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~37_sumout & ( (!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~45_sumout &
+// (\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~33_sumout & \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~41_sumout )) ) ) ) # (
+// !\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~29_sumout & ( !\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~37_sumout & (
+// (\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~41_sumout & (!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~45_sumout $
+// (!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~33_sumout ))) ) ) )
+
+ .dataa(!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~45_sumout ),
+ .datab(!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~33_sumout ),
+ .datac(!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~25_sumout ),
+ .datad(!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~41_sumout ),
+ .datae(!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~29_sumout ),
+ .dataf(!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~37_sumout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A7|WideOr1~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A7|WideOr1~0 .extended_lut = "off";
+defparam \bcd|A7|WideOr1~0 .lut_mask = 64'h0066002201801100;
+defparam \bcd|A7|WideOr1~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X84_Y9_N30
+cyclonev_lcell_comb \bcd|A10|WideOr1~0 (
+// Equation(s):
+// \bcd|A10|WideOr1~0_combout = ( \bcd|A7|WideOr1~0_combout & ( !\bcd|A7|WideOr2~0_combout $ (((!\bcd|A7|WideOr3~0_combout & !\bcd|A8|WideOr0~0_combout ))) ) ) # ( !\bcd|A7|WideOr1~0_combout & ( (\bcd|A7|WideOr2~0_combout & (!\bcd|A7|WideOr3~0_combout
+// & !\bcd|A8|WideOr0~0_combout )) ) )
+
+ .dataa(gnd),
+ .datab(!\bcd|A7|WideOr2~0_combout ),
+ .datac(!\bcd|A7|WideOr3~0_combout ),
+ .datad(!\bcd|A8|WideOr0~0_combout ),
+ .datae(gnd),
+ .dataf(!\bcd|A7|WideOr1~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A10|WideOr1~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A10|WideOr1~0 .extended_lut = "off";
+defparam \bcd|A10|WideOr1~0 .lut_mask = 64'h300030003CCC3CCC;
+defparam \bcd|A10|WideOr1~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X80_Y9_N48
+cyclonev_lcell_comb \bcd|A11|WideOr0~0 (
+// Equation(s):
+// \bcd|A11|WideOr0~0_combout = ( \bcd|A8|WideOr1~0_combout & ( (!\bcd|A8|WideOr2~0_combout ) # ((!\bcd|A8|WideOr3~0_combout & !\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~17_sumout )) ) ) # (
+// !\bcd|A8|WideOr1~0_combout & ( (\bcd|A8|WideOr2~0_combout & ((\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~17_sumout ) # (\bcd|A8|WideOr3~0_combout ))) ) )
+
+ .dataa(!\bcd|A8|WideOr2~0_combout ),
+ .datab(!\bcd|A8|WideOr3~0_combout ),
+ .datac(!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~17_sumout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\bcd|A8|WideOr1~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A11|WideOr0~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A11|WideOr0~0 .extended_lut = "off";
+defparam \bcd|A11|WideOr0~0 .lut_mask = 64'h15151515EAEAEAEA;
+defparam \bcd|A11|WideOr0~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X84_Y9_N51
+cyclonev_lcell_comb \bcd|A10|WideOr3~0 (
+// Equation(s):
+// \bcd|A10|WideOr3~0_combout = ( \bcd|A7|WideOr3~0_combout & ( (!\bcd|A7|WideOr1~0_combout & (!\bcd|A7|WideOr2~0_combout $ (!\bcd|A8|WideOr0~0_combout ))) # (\bcd|A7|WideOr1~0_combout & (!\bcd|A7|WideOr2~0_combout & !\bcd|A8|WideOr0~0_combout )) ) ) #
+// ( !\bcd|A7|WideOr3~0_combout & ( (!\bcd|A7|WideOr1~0_combout & (!\bcd|A7|WideOr2~0_combout & \bcd|A8|WideOr0~0_combout )) # (\bcd|A7|WideOr1~0_combout & ((!\bcd|A8|WideOr0~0_combout ))) ) )
+
+ .dataa(!\bcd|A7|WideOr1~0_combout ),
+ .datab(gnd),
+ .datac(!\bcd|A7|WideOr2~0_combout ),
+ .datad(!\bcd|A8|WideOr0~0_combout ),
+ .datae(gnd),
+ .dataf(!\bcd|A7|WideOr3~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A10|WideOr3~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A10|WideOr3~0 .extended_lut = "off";
+defparam \bcd|A10|WideOr3~0 .lut_mask = 64'h55A055A05AA05AA0;
+defparam \bcd|A10|WideOr3~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X81_Y9_N39
+cyclonev_lcell_comb \bcd|A10|WideOr2~0 (
+// Equation(s):
+// \bcd|A10|WideOr2~0_combout = ( \bcd|A8|WideOr0~0_combout & ( (\bcd|A7|WideOr3~0_combout & ((!\bcd|A7|WideOr1~0_combout ) # (!\bcd|A7|WideOr2~0_combout ))) ) ) # ( !\bcd|A8|WideOr0~0_combout & ( (!\bcd|A7|WideOr1~0_combout & (\bcd|A7|WideOr3~0_combout
+// & !\bcd|A7|WideOr2~0_combout )) # (\bcd|A7|WideOr1~0_combout & (!\bcd|A7|WideOr3~0_combout )) ) )
+
+ .dataa(!\bcd|A7|WideOr1~0_combout ),
+ .datab(gnd),
+ .datac(!\bcd|A7|WideOr3~0_combout ),
+ .datad(!\bcd|A7|WideOr2~0_combout ),
+ .datae(gnd),
+ .dataf(!\bcd|A8|WideOr0~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A10|WideOr2~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A10|WideOr2~0 .extended_lut = "off";
+defparam \bcd|A10|WideOr2~0 .lut_mask = 64'h5A505A500F0A0F0A;
+defparam \bcd|A10|WideOr2~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X81_Y9_N18
+cyclonev_lcell_comb \bcd|A13|WideOr3~0 (
+// Equation(s):
+// \bcd|A13|WideOr3~0_combout = ( \bcd|A10|WideOr2~0_combout & ( (!\bcd|A11|WideOr0~0_combout & (!\bcd|A10|WideOr1~0_combout $ (!\bcd|A10|WideOr3~0_combout ))) ) ) # ( !\bcd|A10|WideOr2~0_combout & ( !\bcd|A10|WideOr1~0_combout $
+// (!\bcd|A11|WideOr0~0_combout ) ) )
+
+ .dataa(!\bcd|A10|WideOr1~0_combout ),
+ .datab(!\bcd|A11|WideOr0~0_combout ),
+ .datac(!\bcd|A10|WideOr3~0_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\bcd|A10|WideOr2~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A13|WideOr3~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A13|WideOr3~0 .extended_lut = "off";
+defparam \bcd|A13|WideOr3~0 .lut_mask = 64'h6666666648484848;
+defparam \bcd|A13|WideOr3~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X81_Y9_N36
+cyclonev_lcell_comb \bcd|A13|WideOr1~0 (
+// Equation(s):
+// \bcd|A13|WideOr1~0_combout = ( \bcd|A10|WideOr1~0_combout & ( !\bcd|A10|WideOr2~0_combout $ (((!\bcd|A10|WideOr3~0_combout & !\bcd|A11|WideOr0~0_combout ))) ) ) # ( !\bcd|A10|WideOr1~0_combout & ( (\bcd|A10|WideOr2~0_combout &
+// (!\bcd|A10|WideOr3~0_combout & !\bcd|A11|WideOr0~0_combout )) ) )
+
+ .dataa(gnd),
+ .datab(!\bcd|A10|WideOr2~0_combout ),
+ .datac(!\bcd|A10|WideOr3~0_combout ),
+ .datad(!\bcd|A11|WideOr0~0_combout ),
+ .datae(gnd),
+ .dataf(!\bcd|A10|WideOr1~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A13|WideOr1~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A13|WideOr1~0 .extended_lut = "off";
+defparam \bcd|A13|WideOr1~0 .lut_mask = 64'h300030003CCC3CCC;
+defparam \bcd|A13|WideOr1~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X81_Y9_N21
+cyclonev_lcell_comb \bcd|A13|WideOr2~0 (
+// Equation(s):
+// \bcd|A13|WideOr2~0_combout = ( \bcd|A10|WideOr2~0_combout & ( (!\bcd|A10|WideOr1~0_combout & (\bcd|A11|WideOr0~0_combout & \bcd|A10|WideOr3~0_combout )) # (\bcd|A10|WideOr1~0_combout & (!\bcd|A11|WideOr0~0_combout & !\bcd|A10|WideOr3~0_combout )) )
+// ) # ( !\bcd|A10|WideOr2~0_combout & ( !\bcd|A10|WideOr3~0_combout $ (((!\bcd|A10|WideOr1~0_combout ) # (\bcd|A11|WideOr0~0_combout ))) ) )
+
+ .dataa(!\bcd|A10|WideOr1~0_combout ),
+ .datab(!\bcd|A11|WideOr0~0_combout ),
+ .datac(gnd),
+ .datad(!\bcd|A10|WideOr3~0_combout ),
+ .datae(gnd),
+ .dataf(!\bcd|A10|WideOr2~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A13|WideOr2~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A13|WideOr2~0 .extended_lut = "off";
+defparam \bcd|A13|WideOr2~0 .lut_mask = 64'h44BB44BB44224422;
+defparam \bcd|A13|WideOr2~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X84_Y9_N33
+cyclonev_lcell_comb \bcd|A14|WideOr0~0 (
+// Equation(s):
+// \bcd|A14|WideOr0~0_combout = ( \bcd|A11|WideOr1~0_combout & ( (!\bcd|A11|WideOr2~0_combout ) # ((!\bcd|A11|WideOr3~0_combout & !\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~13_sumout )) ) ) # (
+// !\bcd|A11|WideOr1~0_combout & ( (\bcd|A11|WideOr2~0_combout & ((\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~13_sumout ) # (\bcd|A11|WideOr3~0_combout ))) ) )
+
+ .dataa(!\bcd|A11|WideOr2~0_combout ),
+ .datab(gnd),
+ .datac(!\bcd|A11|WideOr3~0_combout ),
+ .datad(!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~13_sumout ),
+ .datae(gnd),
+ .dataf(!\bcd|A11|WideOr1~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A14|WideOr0~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A14|WideOr0~0 .extended_lut = "off";
+defparam \bcd|A14|WideOr0~0 .lut_mask = 64'h05550555FAAAFAAA;
+defparam \bcd|A14|WideOr0~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X82_Y9_N36
+cyclonev_lcell_comb \bcd|A16|WideOr3~0 (
+// Equation(s):
+// \bcd|A16|WideOr3~0_combout = ( \bcd|A14|WideOr0~0_combout & ( (!\bcd|A13|WideOr1~0_combout & !\bcd|A13|WideOr2~0_combout ) ) ) # ( !\bcd|A14|WideOr0~0_combout & ( !\bcd|A13|WideOr1~0_combout $ (((!\bcd|A13|WideOr3~0_combout ) #
+// (!\bcd|A13|WideOr2~0_combout ))) ) )
+
+ .dataa(gnd),
+ .datab(!\bcd|A13|WideOr3~0_combout ),
+ .datac(!\bcd|A13|WideOr1~0_combout ),
+ .datad(!\bcd|A13|WideOr2~0_combout ),
+ .datae(gnd),
+ .dataf(!\bcd|A14|WideOr0~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A16|WideOr3~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A16|WideOr3~0 .extended_lut = "off";
+defparam \bcd|A16|WideOr3~0 .lut_mask = 64'h0F3C0F3CF000F000;
+defparam \bcd|A16|WideOr3~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X82_Y9_N9
+cyclonev_lcell_comb \bcd|A16|WideOr1~0 (
+// Equation(s):
+// \bcd|A16|WideOr1~0_combout = ( \bcd|A14|WideOr0~0_combout & ( (\bcd|A13|WideOr1~0_combout & !\bcd|A13|WideOr2~0_combout ) ) ) # ( !\bcd|A14|WideOr0~0_combout & ( (!\bcd|A13|WideOr3~0_combout & ((\bcd|A13|WideOr2~0_combout ))) #
+// (\bcd|A13|WideOr3~0_combout & (\bcd|A13|WideOr1~0_combout & !\bcd|A13|WideOr2~0_combout )) ) )
+
+ .dataa(!\bcd|A13|WideOr1~0_combout ),
+ .datab(gnd),
+ .datac(!\bcd|A13|WideOr3~0_combout ),
+ .datad(!\bcd|A13|WideOr2~0_combout ),
+ .datae(gnd),
+ .dataf(!\bcd|A14|WideOr0~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A16|WideOr1~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A16|WideOr1~0 .extended_lut = "off";
+defparam \bcd|A16|WideOr1~0 .lut_mask = 64'h05F005F055005500;
+defparam \bcd|A16|WideOr1~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X82_Y9_N39
+cyclonev_lcell_comb \bcd|A16|WideOr2~0 (
+// Equation(s):
+// \bcd|A16|WideOr2~0_combout = ( \bcd|A13|WideOr1~0_combout & ( (!\bcd|A14|WideOr0~0_combout & (!\bcd|A13|WideOr3~0_combout )) # (\bcd|A14|WideOr0~0_combout & (\bcd|A13|WideOr3~0_combout & !\bcd|A13|WideOr2~0_combout )) ) ) # (
+// !\bcd|A13|WideOr1~0_combout & ( (\bcd|A13|WideOr3~0_combout & ((!\bcd|A13|WideOr2~0_combout ) # (\bcd|A14|WideOr0~0_combout ))) ) )
+
+ .dataa(!\bcd|A14|WideOr0~0_combout ),
+ .datab(!\bcd|A13|WideOr3~0_combout ),
+ .datac(gnd),
+ .datad(!\bcd|A13|WideOr2~0_combout ),
+ .datae(gnd),
+ .dataf(!\bcd|A13|WideOr1~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A16|WideOr2~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A16|WideOr2~0 .extended_lut = "off";
+defparam \bcd|A16|WideOr2~0 .lut_mask = 64'h3311331199889988;
+defparam \bcd|A16|WideOr2~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X82_Y9_N33
+cyclonev_lcell_comb \bcd|A17|WideOr0~0 (
+// Equation(s):
+// \bcd|A17|WideOr0~0_combout = ( \bcd|A14|WideOr3~0_combout & ( !\bcd|A14|WideOr2~0_combout $ (!\bcd|A14|WideOr1~0_combout ) ) ) # ( !\bcd|A14|WideOr3~0_combout & ( !\bcd|A14|WideOr1~0_combout $
+// (((!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~9_sumout ) # (!\bcd|A14|WideOr2~0_combout ))) ) )
+
+ .dataa(gnd),
+ .datab(!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~9_sumout ),
+ .datac(!\bcd|A14|WideOr2~0_combout ),
+ .datad(!\bcd|A14|WideOr1~0_combout ),
+ .datae(gnd),
+ .dataf(!\bcd|A14|WideOr3~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A17|WideOr0~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A17|WideOr0~0 .extended_lut = "off";
+defparam \bcd|A17|WideOr0~0 .lut_mask = 64'h03FC03FC0FF00FF0;
+defparam \bcd|A17|WideOr0~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X82_Y9_N21
+cyclonev_lcell_comb \bcd|A20|WideOr3~0 (
+// Equation(s):
+// \bcd|A20|WideOr3~0_combout = ( \bcd|A17|WideOr0~0_combout & ( (!\bcd|A16|WideOr1~0_combout & !\bcd|A16|WideOr2~0_combout ) ) ) # ( !\bcd|A17|WideOr0~0_combout & ( !\bcd|A16|WideOr1~0_combout $ (((!\bcd|A16|WideOr3~0_combout ) #
+// (!\bcd|A16|WideOr2~0_combout ))) ) )
+
+ .dataa(!\bcd|A16|WideOr3~0_combout ),
+ .datab(gnd),
+ .datac(!\bcd|A16|WideOr1~0_combout ),
+ .datad(!\bcd|A16|WideOr2~0_combout ),
+ .datae(gnd),
+ .dataf(!\bcd|A17|WideOr0~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A20|WideOr3~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A20|WideOr3~0 .extended_lut = "off";
+defparam \bcd|A20|WideOr3~0 .lut_mask = 64'h0F5A0F5AF000F000;
+defparam \bcd|A20|WideOr3~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X82_Y9_N18
+cyclonev_lcell_comb \bcd|A20|WideOr2~0 (
+// Equation(s):
+// \bcd|A20|WideOr2~0_combout = ( \bcd|A17|WideOr0~0_combout & ( (\bcd|A16|WideOr3~0_combout & ((!\bcd|A16|WideOr1~0_combout ) # (!\bcd|A16|WideOr2~0_combout ))) ) ) # ( !\bcd|A17|WideOr0~0_combout & ( (!\bcd|A16|WideOr3~0_combout &
+// (\bcd|A16|WideOr1~0_combout )) # (\bcd|A16|WideOr3~0_combout & (!\bcd|A16|WideOr1~0_combout & !\bcd|A16|WideOr2~0_combout )) ) )
+
+ .dataa(!\bcd|A16|WideOr3~0_combout ),
+ .datab(!\bcd|A16|WideOr1~0_combout ),
+ .datac(gnd),
+ .datad(!\bcd|A16|WideOr2~0_combout ),
+ .datae(gnd),
+ .dataf(!\bcd|A17|WideOr0~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A20|WideOr2~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A20|WideOr2~0 .extended_lut = "off";
+defparam \bcd|A20|WideOr2~0 .lut_mask = 64'h6622662255445544;
+defparam \bcd|A20|WideOr2~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X82_Y9_N12
+cyclonev_lcell_comb \bcd|A20|WideOr1~0 (
+// Equation(s):
+// \bcd|A20|WideOr1~0_combout = ( \bcd|A17|WideOr0~0_combout & ( (\bcd|A16|WideOr1~0_combout & !\bcd|A16|WideOr2~0_combout ) ) ) # ( !\bcd|A17|WideOr0~0_combout & ( (!\bcd|A16|WideOr3~0_combout & ((\bcd|A16|WideOr2~0_combout ))) #
+// (\bcd|A16|WideOr3~0_combout & (\bcd|A16|WideOr1~0_combout & !\bcd|A16|WideOr2~0_combout )) ) )
+
+ .dataa(gnd),
+ .datab(!\bcd|A16|WideOr1~0_combout ),
+ .datac(!\bcd|A16|WideOr3~0_combout ),
+ .datad(!\bcd|A16|WideOr2~0_combout ),
+ .datae(gnd),
+ .dataf(!\bcd|A17|WideOr0~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A20|WideOr1~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A20|WideOr1~0 .extended_lut = "off";
+defparam \bcd|A20|WideOr1~0 .lut_mask = 64'h03F003F033003300;
+defparam \bcd|A20|WideOr1~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X82_Y9_N51
+cyclonev_lcell_comb \bcd|A21|WideOr0~0 (
+// Equation(s):
+// \bcd|A21|WideOr0~0_combout = ( \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~5_sumout & ( !\bcd|A17|WideOr2~0_combout $ (!\bcd|A17|WideOr1~0_combout ) ) ) # (
+// !\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~5_sumout & ( !\bcd|A17|WideOr1~0_combout $ (((!\bcd|A17|WideOr3~0_combout ) # (!\bcd|A17|WideOr2~0_combout ))) ) )
+
+ .dataa(!\bcd|A17|WideOr3~0_combout ),
+ .datab(gnd),
+ .datac(!\bcd|A17|WideOr2~0_combout ),
+ .datad(!\bcd|A17|WideOr1~0_combout ),
+ .datae(gnd),
+ .dataf(!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~5_sumout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A21|WideOr0~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A21|WideOr0~0 .extended_lut = "off";
+defparam \bcd|A21|WideOr0~0 .lut_mask = 64'h05FA05FA0FF00FF0;
+defparam \bcd|A21|WideOr0~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X83_Y9_N15
+cyclonev_lcell_comb \bcd|A24|WideOr3~0 (
+// Equation(s):
+// \bcd|A24|WideOr3~0_combout = ( \bcd|A21|WideOr0~0_combout & ( (!\bcd|A20|WideOr2~0_combout & !\bcd|A20|WideOr1~0_combout ) ) ) # ( !\bcd|A21|WideOr0~0_combout & ( !\bcd|A20|WideOr1~0_combout $ (((!\bcd|A20|WideOr3~0_combout ) #
+// (!\bcd|A20|WideOr2~0_combout ))) ) )
+
+ .dataa(!\bcd|A20|WideOr3~0_combout ),
+ .datab(gnd),
+ .datac(!\bcd|A20|WideOr2~0_combout ),
+ .datad(!\bcd|A20|WideOr1~0_combout ),
+ .datae(gnd),
+ .dataf(!\bcd|A21|WideOr0~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A24|WideOr3~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A24|WideOr3~0 .extended_lut = "off";
+defparam \bcd|A24|WideOr3~0 .lut_mask = 64'h05FA05FAF000F000;
+defparam \bcd|A24|WideOr3~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X83_Y9_N9
+cyclonev_lcell_comb \bcd|A25|WideOr0~0 (
+// Equation(s):
+// \bcd|A25|WideOr0~0_combout = ( \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~1_sumout & ( !\bcd|A21|WideOr1~0_combout $ (!\bcd|A21|WideOr2~0_combout ) ) ) # (
+// !\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~1_sumout & ( !\bcd|A21|WideOr1~0_combout $ (((!\bcd|A21|WideOr3~0_combout ) # (!\bcd|A21|WideOr2~0_combout ))) ) )
+
+ .dataa(!\bcd|A21|WideOr1~0_combout ),
+ .datab(!\bcd|A21|WideOr3~0_combout ),
+ .datac(gnd),
+ .datad(!\bcd|A21|WideOr2~0_combout ),
+ .datae(gnd),
+ .dataf(!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~1_sumout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A25|WideOr0~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A25|WideOr0~0 .extended_lut = "off";
+defparam \bcd|A25|WideOr0~0 .lut_mask = 64'h5566556655AA55AA;
+defparam \bcd|A25|WideOr0~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X82_Y9_N57
+cyclonev_lcell_comb \bcd|A24|WideOr1~0 (
+// Equation(s):
+// \bcd|A24|WideOr1~0_combout = ( \bcd|A21|WideOr0~0_combout & ( (!\bcd|A20|WideOr2~0_combout & \bcd|A20|WideOr1~0_combout ) ) ) # ( !\bcd|A21|WideOr0~0_combout & ( (!\bcd|A20|WideOr3~0_combout & (\bcd|A20|WideOr2~0_combout )) #
+// (\bcd|A20|WideOr3~0_combout & (!\bcd|A20|WideOr2~0_combout & \bcd|A20|WideOr1~0_combout )) ) )
+
+ .dataa(!\bcd|A20|WideOr3~0_combout ),
+ .datab(!\bcd|A20|WideOr2~0_combout ),
+ .datac(!\bcd|A20|WideOr1~0_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\bcd|A21|WideOr0~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A24|WideOr1~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A24|WideOr1~0 .extended_lut = "off";
+defparam \bcd|A24|WideOr1~0 .lut_mask = 64'h262626260C0C0C0C;
+defparam \bcd|A24|WideOr1~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X82_Y9_N54
+cyclonev_lcell_comb \bcd|A24|WideOr2~0 (
+// Equation(s):
+// \bcd|A24|WideOr2~0_combout = ( \bcd|A20|WideOr1~0_combout & ( (!\bcd|A20|WideOr3~0_combout & ((!\bcd|A21|WideOr0~0_combout ))) # (\bcd|A20|WideOr3~0_combout & (!\bcd|A20|WideOr2~0_combout & \bcd|A21|WideOr0~0_combout )) ) ) # (
+// !\bcd|A20|WideOr1~0_combout & ( (\bcd|A20|WideOr3~0_combout & ((!\bcd|A20|WideOr2~0_combout ) # (\bcd|A21|WideOr0~0_combout ))) ) )
+
+ .dataa(!\bcd|A20|WideOr3~0_combout ),
+ .datab(!\bcd|A20|WideOr2~0_combout ),
+ .datac(!\bcd|A21|WideOr0~0_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\bcd|A20|WideOr1~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A24|WideOr2~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A24|WideOr2~0 .extended_lut = "off";
+defparam \bcd|A24|WideOr2~0 .lut_mask = 64'h45454545A4A4A4A4;
+defparam \bcd|A24|WideOr2~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X83_Y9_N21
+cyclonev_lcell_comb \bcd|A28|WideOr1~0 (
+// Equation(s):
+// \bcd|A28|WideOr1~0_combout = ( \bcd|A24|WideOr2~0_combout & ( (!\bcd|A24|WideOr3~0_combout & !\bcd|A25|WideOr0~0_combout ) ) ) # ( !\bcd|A24|WideOr2~0_combout & ( (\bcd|A24|WideOr1~0_combout & ((\bcd|A25|WideOr0~0_combout ) #
+// (\bcd|A24|WideOr3~0_combout ))) ) )
+
+ .dataa(gnd),
+ .datab(!\bcd|A24|WideOr3~0_combout ),
+ .datac(!\bcd|A25|WideOr0~0_combout ),
+ .datad(!\bcd|A24|WideOr1~0_combout ),
+ .datae(gnd),
+ .dataf(!\bcd|A24|WideOr2~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A28|WideOr1~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A28|WideOr1~0 .extended_lut = "off";
+defparam \bcd|A28|WideOr1~0 .lut_mask = 64'h003F003FC0C0C0C0;
+defparam \bcd|A28|WideOr1~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X83_Y9_N33
+cyclonev_lcell_comb \bcd|A28|WideOr3~0 (
+// Equation(s):
+// \bcd|A28|WideOr3~0_combout = ( \bcd|A24|WideOr2~0_combout & ( (!\bcd|A25|WideOr0~0_combout & (!\bcd|A24|WideOr3~0_combout $ (!\bcd|A24|WideOr1~0_combout ))) ) ) # ( !\bcd|A24|WideOr2~0_combout & ( !\bcd|A25|WideOr0~0_combout $
+// (!\bcd|A24|WideOr1~0_combout ) ) )
+
+ .dataa(gnd),
+ .datab(!\bcd|A25|WideOr0~0_combout ),
+ .datac(!\bcd|A24|WideOr3~0_combout ),
+ .datad(!\bcd|A24|WideOr1~0_combout ),
+ .datae(gnd),
+ .dataf(!\bcd|A24|WideOr2~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A28|WideOr3~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A28|WideOr3~0 .extended_lut = "off";
+defparam \bcd|A28|WideOr3~0 .lut_mask = 64'h33CC33CC0CC00CC0;
+defparam \bcd|A28|WideOr3~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X83_Y9_N57
+cyclonev_lcell_comb \bcd|A29|WideOr0~0 (
+// Equation(s):
+// \bcd|A29|WideOr0~0_combout = ( \bcd|A25|WideOr3~0_combout & ( !\bcd|A25|WideOr1~0_combout $ (!\bcd|A25|WideOr2~0_combout ) ) ) # ( !\bcd|A25|WideOr3~0_combout & ( !\bcd|A25|WideOr1~0_combout $ (((!\bcd|A25|WideOr2~0_combout ) #
+// (!\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~5_sumout ))) ) )
+
+ .dataa(!\bcd|A25|WideOr1~0_combout ),
+ .datab(!\bcd|A25|WideOr2~0_combout ),
+ .datac(!\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~5_sumout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\bcd|A25|WideOr3~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A29|WideOr0~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A29|WideOr0~0 .extended_lut = "off";
+defparam \bcd|A29|WideOr0~0 .lut_mask = 64'h5656565666666666;
+defparam \bcd|A29|WideOr0~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X83_Y9_N18
+cyclonev_lcell_comb \bcd|A28|WideOr2~0 (
+// Equation(s):
+// \bcd|A28|WideOr2~0_combout = ( \bcd|A25|WideOr0~0_combout & ( (\bcd|A24|WideOr3~0_combout & ((!\bcd|A24|WideOr2~0_combout ) # (!\bcd|A24|WideOr1~0_combout ))) ) ) # ( !\bcd|A25|WideOr0~0_combout & ( (!\bcd|A24|WideOr3~0_combout &
+// ((\bcd|A24|WideOr1~0_combout ))) # (\bcd|A24|WideOr3~0_combout & (!\bcd|A24|WideOr2~0_combout & !\bcd|A24|WideOr1~0_combout )) ) )
+
+ .dataa(!\bcd|A24|WideOr2~0_combout ),
+ .datab(!\bcd|A24|WideOr3~0_combout ),
+ .datac(gnd),
+ .datad(!\bcd|A24|WideOr1~0_combout ),
+ .datae(gnd),
+ .dataf(!\bcd|A25|WideOr0~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A28|WideOr2~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A28|WideOr2~0 .extended_lut = "off";
+defparam \bcd|A28|WideOr2~0 .lut_mask = 64'h22CC22CC33223322;
+defparam \bcd|A28|WideOr2~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X84_Y9_N21
+cyclonev_lcell_comb \h1|WideOr6~0 (
+// Equation(s):
+// \h1|WideOr6~0_combout = ( \bcd|A29|WideOr0~0_combout & ( \bcd|A28|WideOr2~0_combout & ( (!\bcd|A28|WideOr1~0_combout ) # (\bcd|A28|WideOr3~0_combout ) ) ) ) # ( !\bcd|A29|WideOr0~0_combout & ( \bcd|A28|WideOr2~0_combout & (
+// (\bcd|A28|WideOr3~0_combout ) # (\bcd|A28|WideOr1~0_combout ) ) ) ) # ( \bcd|A29|WideOr0~0_combout & ( !\bcd|A28|WideOr2~0_combout & ( !\bcd|A28|WideOr1~0_combout $ (!\bcd|A28|WideOr3~0_combout ) ) ) ) # ( !\bcd|A29|WideOr0~0_combout & (
+// !\bcd|A28|WideOr2~0_combout ) )
+
+ .dataa(!\bcd|A28|WideOr1~0_combout ),
+ .datab(gnd),
+ .datac(!\bcd|A28|WideOr3~0_combout ),
+ .datad(gnd),
+ .datae(!\bcd|A29|WideOr0~0_combout ),
+ .dataf(!\bcd|A28|WideOr2~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\h1|WideOr6~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \h1|WideOr6~0 .extended_lut = "off";
+defparam \h1|WideOr6~0 .lut_mask = 64'hFFFF5A5A5F5FAFAF;
+defparam \h1|WideOr6~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X84_Y9_N0
+cyclonev_lcell_comb \h1|WideOr5~0 (
+// Equation(s):
+// \h1|WideOr5~0_combout = ( \bcd|A28|WideOr2~0_combout & ( (!\bcd|A28|WideOr1~0_combout & (!\bcd|A29|WideOr0~0_combout $ (!\bcd|A28|WideOr3~0_combout ))) # (\bcd|A28|WideOr1~0_combout & ((!\bcd|A29|WideOr0~0_combout ) # (\bcd|A28|WideOr3~0_combout )))
+// ) ) # ( !\bcd|A28|WideOr2~0_combout & ( (\bcd|A28|WideOr1~0_combout & (\bcd|A29|WideOr0~0_combout & \bcd|A28|WideOr3~0_combout )) ) )
+
+ .dataa(!\bcd|A28|WideOr1~0_combout ),
+ .datab(!\bcd|A29|WideOr0~0_combout ),
+ .datac(gnd),
+ .datad(!\bcd|A28|WideOr3~0_combout ),
+ .datae(gnd),
+ .dataf(!\bcd|A28|WideOr2~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\h1|WideOr5~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \h1|WideOr5~0 .extended_lut = "off";
+defparam \h1|WideOr5~0 .lut_mask = 64'h0011001166DD66DD;
+defparam \h1|WideOr5~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X84_Y9_N9
+cyclonev_lcell_comb \h1|WideOr4~0 (
+// Equation(s):
+// \h1|WideOr4~0_combout = ( \bcd|A29|WideOr0~0_combout & ( \bcd|A28|WideOr2~0_combout & ( (\bcd|A28|WideOr1~0_combout & \bcd|A28|WideOr3~0_combout ) ) ) ) # ( !\bcd|A29|WideOr0~0_combout & ( \bcd|A28|WideOr2~0_combout & ( \bcd|A28|WideOr1~0_combout )
+// ) ) # ( !\bcd|A29|WideOr0~0_combout & ( !\bcd|A28|WideOr2~0_combout & ( (!\bcd|A28|WideOr1~0_combout & \bcd|A28|WideOr3~0_combout ) ) ) )
+
+ .dataa(!\bcd|A28|WideOr1~0_combout ),
+ .datab(gnd),
+ .datac(!\bcd|A28|WideOr3~0_combout ),
+ .datad(gnd),
+ .datae(!\bcd|A29|WideOr0~0_combout ),
+ .dataf(!\bcd|A28|WideOr2~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\h1|WideOr4~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \h1|WideOr4~0 .extended_lut = "off";
+defparam \h1|WideOr4~0 .lut_mask = 64'h0A0A000055550505;
+defparam \h1|WideOr4~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X84_Y9_N15
+cyclonev_lcell_comb \h1|WideOr3~0 (
+// Equation(s):
+// \h1|WideOr3~0_combout = ( \bcd|A29|WideOr0~0_combout & ( \bcd|A28|WideOr2~0_combout & ( !\bcd|A28|WideOr3~0_combout ) ) ) # ( !\bcd|A29|WideOr0~0_combout & ( \bcd|A28|WideOr2~0_combout & ( (\bcd|A28|WideOr3~0_combout ) # (\bcd|A28|WideOr1~0_combout
+// ) ) ) ) # ( \bcd|A29|WideOr0~0_combout & ( !\bcd|A28|WideOr2~0_combout & ( \bcd|A28|WideOr3~0_combout ) ) ) # ( !\bcd|A29|WideOr0~0_combout & ( !\bcd|A28|WideOr2~0_combout & ( (!\bcd|A28|WideOr1~0_combout ) # (!\bcd|A28|WideOr3~0_combout ) ) ) )
+
+ .dataa(!\bcd|A28|WideOr1~0_combout ),
+ .datab(gnd),
+ .datac(!\bcd|A28|WideOr3~0_combout ),
+ .datad(gnd),
+ .datae(!\bcd|A29|WideOr0~0_combout ),
+ .dataf(!\bcd|A28|WideOr2~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\h1|WideOr3~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \h1|WideOr3~0 .extended_lut = "off";
+defparam \h1|WideOr3~0 .lut_mask = 64'hFAFA0F0F5F5FF0F0;
+defparam \h1|WideOr3~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X84_Y9_N42
+cyclonev_lcell_comb \h1|WideOr2~0 (
+// Equation(s):
+// \h1|WideOr2~0_combout = ( \bcd|A28|WideOr2~0_combout & ( ((!\bcd|A29|WideOr0~0_combout & \bcd|A28|WideOr3~0_combout )) # (\bcd|A28|WideOr1~0_combout ) ) ) # ( !\bcd|A28|WideOr2~0_combout & ( (!\bcd|A29|WideOr0~0_combout ) #
+// ((\bcd|A28|WideOr1~0_combout & \bcd|A28|WideOr3~0_combout )) ) )
+
+ .dataa(!\bcd|A28|WideOr1~0_combout ),
+ .datab(!\bcd|A29|WideOr0~0_combout ),
+ .datac(gnd),
+ .datad(!\bcd|A28|WideOr3~0_combout ),
+ .datae(gnd),
+ .dataf(!\bcd|A28|WideOr2~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\h1|WideOr2~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \h1|WideOr2~0 .extended_lut = "off";
+defparam \h1|WideOr2~0 .lut_mask = 64'hCCDDCCDD55DD55DD;
+defparam \h1|WideOr2~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X84_Y9_N45
+cyclonev_lcell_comb \h1|WideOr1~0 (
+// Equation(s):
+// \h1|WideOr1~0_combout = ( \bcd|A28|WideOr2~0_combout & ( (!\bcd|A29|WideOr0~0_combout ) # (!\bcd|A28|WideOr1~0_combout $ (\bcd|A28|WideOr3~0_combout )) ) ) # ( !\bcd|A28|WideOr2~0_combout & ( ((!\bcd|A29|WideOr0~0_combout &
+// !\bcd|A28|WideOr3~0_combout )) # (\bcd|A28|WideOr1~0_combout ) ) )
+
+ .dataa(!\bcd|A28|WideOr1~0_combout ),
+ .datab(!\bcd|A29|WideOr0~0_combout ),
+ .datac(!\bcd|A28|WideOr3~0_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\bcd|A28|WideOr2~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\h1|WideOr1~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \h1|WideOr1~0 .extended_lut = "off";
+defparam \h1|WideOr1~0 .lut_mask = 64'hD5D5D5D5EDEDEDED;
+defparam \h1|WideOr1~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X84_Y9_N3
+cyclonev_lcell_comb \h1|WideOr0~0 (
+// Equation(s):
+// \h1|WideOr0~0_combout = ( \bcd|A28|WideOr2~0_combout & ( (!\bcd|A28|WideOr1~0_combout & ((!\bcd|A29|WideOr0~0_combout ) # (!\bcd|A28|WideOr3~0_combout ))) # (\bcd|A28|WideOr1~0_combout & ((\bcd|A28|WideOr3~0_combout ) # (\bcd|A29|WideOr0~0_combout )))
+// ) ) # ( !\bcd|A28|WideOr2~0_combout & ( (\bcd|A28|WideOr3~0_combout ) # (\bcd|A28|WideOr1~0_combout ) ) )
+
+ .dataa(!\bcd|A28|WideOr1~0_combout ),
+ .datab(gnd),
+ .datac(!\bcd|A29|WideOr0~0_combout ),
+ .datad(!\bcd|A28|WideOr3~0_combout ),
+ .datae(gnd),
+ .dataf(!\bcd|A28|WideOr2~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\h1|WideOr0~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \h1|WideOr0~0 .extended_lut = "off";
+defparam \h1|WideOr0~0 .lut_mask = 64'h55FF55FFAFF5AFF5;
+defparam \h1|WideOr0~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y9_N48
+cyclonev_lcell_comb \bcd|A1|WideOr0~0 (
+// Equation(s):
+// \bcd|A1|WideOr0~0_combout = ( \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~37_sumout & ( \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~45_sumout ) ) # (
+// !\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~37_sumout & ( (\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~41_sumout &
+// \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~45_sumout ) ) )
+
+ .dataa(gnd),
+ .datab(!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~41_sumout ),
+ .datac(!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~45_sumout ),
+ .datad(gnd),
+ .datae(!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~37_sumout ),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A1|WideOr0~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A1|WideOr0~0 .extended_lut = "off";
+defparam \bcd|A1|WideOr0~0 .lut_mask = 64'h03030F0F03030F0F;
+defparam \bcd|A1|WideOr0~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X80_Y9_N3
+cyclonev_lcell_comb \bcd|A2|WideOr0~0 (
+// Equation(s):
+// \bcd|A2|WideOr0~0_combout = ( \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~33_sumout & ( !\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~41_sumout $
+// (((!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~45_sumout ) # (\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~37_sumout ))) ) ) # (
+// !\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~33_sumout & ( (!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~45_sumout &
+// (\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~37_sumout & \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~41_sumout )) #
+// (\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~45_sumout & (!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~37_sumout &
+// !\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~41_sumout )) ) )
+
+ .dataa(!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~45_sumout ),
+ .datab(!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~37_sumout ),
+ .datac(!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~41_sumout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~33_sumout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A2|WideOr0~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A2|WideOr0~0 .extended_lut = "off";
+defparam \bcd|A2|WideOr0~0 .lut_mask = 64'h424242424B4B4B4B;
+defparam \bcd|A2|WideOr0~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X80_Y9_N24
+cyclonev_lcell_comb \bcd|A6|WideOr0~0 (
+// Equation(s):
+// \bcd|A6|WideOr0~0_combout = ( \bcd|A4|WideOr2~0_combout & ( !\bcd|A4|WideOr1~0_combout $ (((!\bcd|A4|WideOr3~0_combout & !\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~25_sumout ))) ) ) # (
+// !\bcd|A4|WideOr2~0_combout & ( \bcd|A4|WideOr1~0_combout ) )
+
+ .dataa(!\bcd|A4|WideOr1~0_combout ),
+ .datab(!\bcd|A4|WideOr3~0_combout ),
+ .datac(!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~25_sumout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\bcd|A4|WideOr2~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A6|WideOr0~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A6|WideOr0~0 .extended_lut = "off";
+defparam \bcd|A6|WideOr0~0 .lut_mask = 64'h555555556A6A6A6A;
+defparam \bcd|A6|WideOr0~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X80_Y9_N12
+cyclonev_lcell_comb \bcd|A4|WideOr0~0 (
+// Equation(s):
+// \bcd|A4|WideOr0~0_combout = !\bcd|A2|WideOr1~0_combout $ (((!\bcd|A2|WideOr2~0_combout ) # ((!\bcd|A2|WideOr3~0_combout & !\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~29_sumout ))))
+
+ .dataa(!\bcd|A2|WideOr3~0_combout ),
+ .datab(!\bcd|A2|WideOr2~0_combout ),
+ .datac(!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~29_sumout ),
+ .datad(!\bcd|A2|WideOr1~0_combout ),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A4|WideOr0~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A4|WideOr0~0 .extended_lut = "off";
+defparam \bcd|A4|WideOr0~0 .lut_mask = 64'h13EC13EC13EC13EC;
+defparam \bcd|A4|WideOr0~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X81_Y9_N12
+cyclonev_lcell_comb \bcd|A15|WideOr2~0 (
+// Equation(s):
+// \bcd|A15|WideOr2~0_combout = ( \bcd|A11|WideOr0~0_combout & ( \bcd|A4|WideOr0~0_combout & ( (!\bcd|A1|WideOr0~0_combout & (!\bcd|A2|WideOr0~0_combout & ((\bcd|A6|WideOr0~0_combout ) # (\bcd|A8|WideOr0~0_combout )))) # (\bcd|A1|WideOr0~0_combout &
+// (!\bcd|A2|WideOr0~0_combout $ (((\bcd|A6|WideOr0~0_combout ))))) ) ) ) # ( !\bcd|A11|WideOr0~0_combout & ( \bcd|A4|WideOr0~0_combout & ( (!\bcd|A1|WideOr0~0_combout & (!\bcd|A2|WideOr0~0_combout & ((\bcd|A6|WideOr0~0_combout ) #
+// (\bcd|A8|WideOr0~0_combout )))) # (\bcd|A1|WideOr0~0_combout & ((!\bcd|A2|WideOr0~0_combout & ((!\bcd|A8|WideOr0~0_combout ) # (!\bcd|A6|WideOr0~0_combout ))) # (\bcd|A2|WideOr0~0_combout & ((\bcd|A6|WideOr0~0_combout ))))) ) ) ) # (
+// \bcd|A11|WideOr0~0_combout & ( !\bcd|A4|WideOr0~0_combout & ( (!\bcd|A1|WideOr0~0_combout & (\bcd|A2|WideOr0~0_combout & ((!\bcd|A6|WideOr0~0_combout )))) # (\bcd|A1|WideOr0~0_combout & (!\bcd|A2|WideOr0~0_combout & ((\bcd|A6|WideOr0~0_combout ) #
+// (\bcd|A8|WideOr0~0_combout )))) ) ) ) # ( !\bcd|A11|WideOr0~0_combout & ( !\bcd|A4|WideOr0~0_combout & ( (!\bcd|A1|WideOr0~0_combout & (\bcd|A2|WideOr0~0_combout & !\bcd|A6|WideOr0~0_combout )) # (\bcd|A1|WideOr0~0_combout &
+// (!\bcd|A2|WideOr0~0_combout & \bcd|A6|WideOr0~0_combout )) ) ) )
+
+ .dataa(!\bcd|A1|WideOr0~0_combout ),
+ .datab(!\bcd|A2|WideOr0~0_combout ),
+ .datac(!\bcd|A8|WideOr0~0_combout ),
+ .datad(!\bcd|A6|WideOr0~0_combout ),
+ .datae(!\bcd|A11|WideOr0~0_combout ),
+ .dataf(!\bcd|A4|WideOr0~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A15|WideOr2~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A15|WideOr2~0 .extended_lut = "off";
+defparam \bcd|A15|WideOr2~0 .lut_mask = 64'h224426444CD94C99;
+defparam \bcd|A15|WideOr2~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X82_Y9_N30
+cyclonev_lcell_comb \bcd|A16|WideOr0~0 (
+// Equation(s):
+// \bcd|A16|WideOr0~0_combout = ( \bcd|A13|WideOr3~0_combout & ( !\bcd|A13|WideOr1~0_combout $ (!\bcd|A13|WideOr2~0_combout ) ) ) # ( !\bcd|A13|WideOr3~0_combout & ( !\bcd|A13|WideOr1~0_combout $ (((!\bcd|A14|WideOr0~0_combout ) #
+// (!\bcd|A13|WideOr2~0_combout ))) ) )
+
+ .dataa(!\bcd|A14|WideOr0~0_combout ),
+ .datab(gnd),
+ .datac(!\bcd|A13|WideOr1~0_combout ),
+ .datad(!\bcd|A13|WideOr2~0_combout ),
+ .datae(gnd),
+ .dataf(!\bcd|A13|WideOr3~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A16|WideOr0~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A16|WideOr0~0 .extended_lut = "off";
+defparam \bcd|A16|WideOr0~0 .lut_mask = 64'h0F5A0F5A0FF00FF0;
+defparam \bcd|A16|WideOr0~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X81_Y9_N42
+cyclonev_lcell_comb \bcd|A15|WideOr3~0 (
+// Equation(s):
+// \bcd|A15|WideOr3~0_combout = ( \bcd|A11|WideOr0~0_combout & ( \bcd|A4|WideOr0~0_combout & ( (!\bcd|A1|WideOr0~0_combout & ((!\bcd|A8|WideOr0~0_combout & (!\bcd|A2|WideOr0~0_combout & !\bcd|A6|WideOr0~0_combout )) # (\bcd|A8|WideOr0~0_combout &
+// ((\bcd|A6|WideOr0~0_combout ))))) # (\bcd|A1|WideOr0~0_combout & (((!\bcd|A6|WideOr0~0_combout )))) ) ) ) # ( !\bcd|A11|WideOr0~0_combout & ( \bcd|A4|WideOr0~0_combout & ( (!\bcd|A1|WideOr0~0_combout & ((!\bcd|A2|WideOr0~0_combout &
+// (!\bcd|A8|WideOr0~0_combout & !\bcd|A6|WideOr0~0_combout )) # (\bcd|A2|WideOr0~0_combout & (\bcd|A8|WideOr0~0_combout & \bcd|A6|WideOr0~0_combout )))) # (\bcd|A1|WideOr0~0_combout & ((!\bcd|A6|WideOr0~0_combout ) # ((!\bcd|A2|WideOr0~0_combout &
+// !\bcd|A8|WideOr0~0_combout )))) ) ) ) # ( \bcd|A11|WideOr0~0_combout & ( !\bcd|A4|WideOr0~0_combout & ( (!\bcd|A1|WideOr0~0_combout & (!\bcd|A2|WideOr0~0_combout $ (((!\bcd|A6|WideOr0~0_combout ))))) # (\bcd|A1|WideOr0~0_combout &
+// ((!\bcd|A2|WideOr0~0_combout & (!\bcd|A8|WideOr0~0_combout & !\bcd|A6|WideOr0~0_combout )) # (\bcd|A2|WideOr0~0_combout & (\bcd|A8|WideOr0~0_combout & \bcd|A6|WideOr0~0_combout )))) ) ) ) # ( !\bcd|A11|WideOr0~0_combout & ( !\bcd|A4|WideOr0~0_combout
+// & ( (!\bcd|A6|WideOr0~0_combout & (!\bcd|A1|WideOr0~0_combout $ ((!\bcd|A2|WideOr0~0_combout )))) # (\bcd|A6|WideOr0~0_combout & (!\bcd|A1|WideOr0~0_combout & (!\bcd|A2|WideOr0~0_combout & \bcd|A8|WideOr0~0_combout ))) ) ) )
+
+ .dataa(!\bcd|A1|WideOr0~0_combout ),
+ .datab(!\bcd|A2|WideOr0~0_combout ),
+ .datac(!\bcd|A8|WideOr0~0_combout ),
+ .datad(!\bcd|A6|WideOr0~0_combout ),
+ .datae(!\bcd|A11|WideOr0~0_combout ),
+ .dataf(!\bcd|A4|WideOr0~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A15|WideOr3~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A15|WideOr3~0 .extended_lut = "off";
+defparam \bcd|A15|WideOr3~0 .lut_mask = 64'h66086289D542D50A;
+defparam \bcd|A15|WideOr3~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X81_Y9_N30
+cyclonev_lcell_comb \bcd|A15|WideOr1~0 (
+// Equation(s):
+// \bcd|A15|WideOr1~0_combout = ( \bcd|A11|WideOr0~0_combout & ( \bcd|A4|WideOr0~0_combout & ( (\bcd|A1|WideOr0~0_combout & (!\bcd|A2|WideOr0~0_combout & \bcd|A6|WideOr0~0_combout )) ) ) ) # ( !\bcd|A11|WideOr0~0_combout & ( \bcd|A4|WideOr0~0_combout
+// & ( (!\bcd|A1|WideOr0~0_combout & (\bcd|A2|WideOr0~0_combout & (!\bcd|A8|WideOr0~0_combout & !\bcd|A6|WideOr0~0_combout ))) # (\bcd|A1|WideOr0~0_combout & (!\bcd|A2|WideOr0~0_combout & (\bcd|A8|WideOr0~0_combout & \bcd|A6|WideOr0~0_combout ))) ) ) )
+// # ( \bcd|A11|WideOr0~0_combout & ( !\bcd|A4|WideOr0~0_combout & ( (\bcd|A2|WideOr0~0_combout & ((!\bcd|A1|WideOr0~0_combout & ((\bcd|A6|WideOr0~0_combout ))) # (\bcd|A1|WideOr0~0_combout & (!\bcd|A8|WideOr0~0_combout & !\bcd|A6|WideOr0~0_combout
+// )))) ) ) ) # ( !\bcd|A11|WideOr0~0_combout & ( !\bcd|A4|WideOr0~0_combout & ( (\bcd|A2|WideOr0~0_combout & ((!\bcd|A1|WideOr0~0_combout & ((\bcd|A6|WideOr0~0_combout ))) # (\bcd|A1|WideOr0~0_combout & (!\bcd|A8|WideOr0~0_combout &
+// !\bcd|A6|WideOr0~0_combout )))) ) ) )
+
+ .dataa(!\bcd|A1|WideOr0~0_combout ),
+ .datab(!\bcd|A2|WideOr0~0_combout ),
+ .datac(!\bcd|A8|WideOr0~0_combout ),
+ .datad(!\bcd|A6|WideOr0~0_combout ),
+ .datae(!\bcd|A11|WideOr0~0_combout ),
+ .dataf(!\bcd|A4|WideOr0~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A15|WideOr1~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A15|WideOr1~0 .extended_lut = "off";
+defparam \bcd|A15|WideOr1~0 .lut_mask = 64'h1022102220040044;
+defparam \bcd|A15|WideOr1~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X81_Y9_N24
+cyclonev_lcell_comb \bcd|A19|WideOr1~0 (
+// Equation(s):
+// \bcd|A19|WideOr1~0_combout = ( \bcd|A15|WideOr1~0_combout & ( !\bcd|A15|WideOr2~0_combout $ (((!\bcd|A16|WideOr0~0_combout & !\bcd|A15|WideOr3~0_combout ))) ) ) # ( !\bcd|A15|WideOr1~0_combout & ( (\bcd|A15|WideOr2~0_combout &
+// (!\bcd|A16|WideOr0~0_combout & !\bcd|A15|WideOr3~0_combout )) ) )
+
+ .dataa(gnd),
+ .datab(!\bcd|A15|WideOr2~0_combout ),
+ .datac(!\bcd|A16|WideOr0~0_combout ),
+ .datad(!\bcd|A15|WideOr3~0_combout ),
+ .datae(gnd),
+ .dataf(!\bcd|A15|WideOr1~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A19|WideOr1~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A19|WideOr1~0 .extended_lut = "off";
+defparam \bcd|A19|WideOr1~0 .lut_mask = 64'h300030003CCC3CCC;
+defparam \bcd|A19|WideOr1~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X81_Y9_N54
+cyclonev_lcell_comb \bcd|A19|WideOr3~0 (
+// Equation(s):
+// \bcd|A19|WideOr3~0_combout = ( \bcd|A15|WideOr2~0_combout & ( (!\bcd|A16|WideOr0~0_combout & (!\bcd|A15|WideOr1~0_combout $ (!\bcd|A15|WideOr3~0_combout ))) ) ) # ( !\bcd|A15|WideOr2~0_combout & ( !\bcd|A16|WideOr0~0_combout $
+// (!\bcd|A15|WideOr1~0_combout ) ) )
+
+ .dataa(!\bcd|A16|WideOr0~0_combout ),
+ .datab(!\bcd|A15|WideOr1~0_combout ),
+ .datac(gnd),
+ .datad(!\bcd|A15|WideOr3~0_combout ),
+ .datae(gnd),
+ .dataf(!\bcd|A15|WideOr2~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A19|WideOr3~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A19|WideOr3~0 .extended_lut = "off";
+defparam \bcd|A19|WideOr3~0 .lut_mask = 64'h6666666622882288;
+defparam \bcd|A19|WideOr3~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X81_Y9_N57
+cyclonev_lcell_comb \bcd|A19|WideOr2~0 (
+// Equation(s):
+// \bcd|A19|WideOr2~0_combout = ( \bcd|A15|WideOr2~0_combout & ( (!\bcd|A16|WideOr0~0_combout & (\bcd|A15|WideOr1~0_combout & !\bcd|A15|WideOr3~0_combout )) # (\bcd|A16|WideOr0~0_combout & (!\bcd|A15|WideOr1~0_combout & \bcd|A15|WideOr3~0_combout )) )
+// ) # ( !\bcd|A15|WideOr2~0_combout & ( !\bcd|A15|WideOr3~0_combout $ (((!\bcd|A15|WideOr1~0_combout ) # (\bcd|A16|WideOr0~0_combout ))) ) )
+
+ .dataa(!\bcd|A16|WideOr0~0_combout ),
+ .datab(!\bcd|A15|WideOr1~0_combout ),
+ .datac(!\bcd|A15|WideOr3~0_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\bcd|A15|WideOr2~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A19|WideOr2~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A19|WideOr2~0 .extended_lut = "off";
+defparam \bcd|A19|WideOr2~0 .lut_mask = 64'h2D2D2D2D24242424;
+defparam \bcd|A19|WideOr2~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X82_Y9_N15
+cyclonev_lcell_comb \bcd|A20|WideOr0~0 (
+// Equation(s):
+// \bcd|A20|WideOr0~0_combout = ( \bcd|A17|WideOr0~0_combout & ( !\bcd|A16|WideOr1~0_combout $ (!\bcd|A16|WideOr2~0_combout ) ) ) # ( !\bcd|A17|WideOr0~0_combout & ( !\bcd|A16|WideOr1~0_combout $ (((!\bcd|A16|WideOr3~0_combout ) #
+// (!\bcd|A16|WideOr2~0_combout ))) ) )
+
+ .dataa(!\bcd|A16|WideOr3~0_combout ),
+ .datab(!\bcd|A16|WideOr1~0_combout ),
+ .datac(!\bcd|A16|WideOr2~0_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\bcd|A17|WideOr0~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A20|WideOr0~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A20|WideOr0~0 .extended_lut = "off";
+defparam \bcd|A20|WideOr0~0 .lut_mask = 64'h363636363C3C3C3C;
+defparam \bcd|A20|WideOr0~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X85_Y9_N6
+cyclonev_lcell_comb \bcd|A23|WideOr2~0 (
+// Equation(s):
+// \bcd|A23|WideOr2~0_combout = ( \bcd|A19|WideOr2~0_combout & ( \bcd|A20|WideOr0~0_combout & ( (!\bcd|A19|WideOr1~0_combout & \bcd|A19|WideOr3~0_combout ) ) ) ) # ( !\bcd|A19|WideOr2~0_combout & ( \bcd|A20|WideOr0~0_combout & (
+// \bcd|A19|WideOr3~0_combout ) ) ) # ( \bcd|A19|WideOr2~0_combout & ( !\bcd|A20|WideOr0~0_combout & ( (\bcd|A19|WideOr1~0_combout & !\bcd|A19|WideOr3~0_combout ) ) ) ) # ( !\bcd|A19|WideOr2~0_combout & ( !\bcd|A20|WideOr0~0_combout & (
+// !\bcd|A19|WideOr1~0_combout $ (!\bcd|A19|WideOr3~0_combout ) ) ) )
+
+ .dataa(gnd),
+ .datab(!\bcd|A19|WideOr1~0_combout ),
+ .datac(!\bcd|A19|WideOr3~0_combout ),
+ .datad(gnd),
+ .datae(!\bcd|A19|WideOr2~0_combout ),
+ .dataf(!\bcd|A20|WideOr0~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A23|WideOr2~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A23|WideOr2~0 .extended_lut = "off";
+defparam \bcd|A23|WideOr2~0 .lut_mask = 64'h3C3C30300F0F0C0C;
+defparam \bcd|A23|WideOr2~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X85_Y9_N12
+cyclonev_lcell_comb \bcd|A23|WideOr3~0 (
+// Equation(s):
+// \bcd|A23|WideOr3~0_combout = ( !\bcd|A19|WideOr2~0_combout & ( \bcd|A20|WideOr0~0_combout & ( !\bcd|A19|WideOr1~0_combout ) ) ) # ( \bcd|A19|WideOr2~0_combout & ( !\bcd|A20|WideOr0~0_combout & ( !\bcd|A19|WideOr1~0_combout $
+// (!\bcd|A19|WideOr3~0_combout ) ) ) ) # ( !\bcd|A19|WideOr2~0_combout & ( !\bcd|A20|WideOr0~0_combout & ( \bcd|A19|WideOr1~0_combout ) ) )
+
+ .dataa(gnd),
+ .datab(!\bcd|A19|WideOr1~0_combout ),
+ .datac(!\bcd|A19|WideOr3~0_combout ),
+ .datad(gnd),
+ .datae(!\bcd|A19|WideOr2~0_combout ),
+ .dataf(!\bcd|A20|WideOr0~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A23|WideOr3~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A23|WideOr3~0 .extended_lut = "off";
+defparam \bcd|A23|WideOr3~0 .lut_mask = 64'h33333C3CCCCC0000;
+defparam \bcd|A23|WideOr3~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X82_Y9_N48
+cyclonev_lcell_comb \bcd|A24|WideOr0~0 (
+// Equation(s):
+// \bcd|A24|WideOr0~0_combout = ( \bcd|A20|WideOr1~0_combout & ( (!\bcd|A20|WideOr2~0_combout ) # ((!\bcd|A21|WideOr0~0_combout & !\bcd|A20|WideOr3~0_combout )) ) ) # ( !\bcd|A20|WideOr1~0_combout & ( (\bcd|A20|WideOr2~0_combout &
+// ((\bcd|A20|WideOr3~0_combout ) # (\bcd|A21|WideOr0~0_combout ))) ) )
+
+ .dataa(gnd),
+ .datab(!\bcd|A20|WideOr2~0_combout ),
+ .datac(!\bcd|A21|WideOr0~0_combout ),
+ .datad(!\bcd|A20|WideOr3~0_combout ),
+ .datae(gnd),
+ .dataf(!\bcd|A20|WideOr1~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A24|WideOr0~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A24|WideOr0~0 .extended_lut = "off";
+defparam \bcd|A24|WideOr0~0 .lut_mask = 64'h03330333FCCCFCCC;
+defparam \bcd|A24|WideOr0~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X85_Y9_N51
+cyclonev_lcell_comb \bcd|A23|WideOr1~0 (
+// Equation(s):
+// \bcd|A23|WideOr1~0_combout = ( !\bcd|A19|WideOr2~0_combout & ( \bcd|A20|WideOr0~0_combout & ( \bcd|A19|WideOr1~0_combout ) ) ) # ( \bcd|A19|WideOr2~0_combout & ( !\bcd|A20|WideOr0~0_combout & ( !\bcd|A19|WideOr3~0_combout ) ) ) # (
+// !\bcd|A19|WideOr2~0_combout & ( !\bcd|A20|WideOr0~0_combout & ( (\bcd|A19|WideOr3~0_combout & \bcd|A19|WideOr1~0_combout ) ) ) )
+
+ .dataa(!\bcd|A19|WideOr3~0_combout ),
+ .datab(gnd),
+ .datac(!\bcd|A19|WideOr1~0_combout ),
+ .datad(gnd),
+ .datae(!\bcd|A19|WideOr2~0_combout ),
+ .dataf(!\bcd|A20|WideOr0~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A23|WideOr1~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A23|WideOr1~0 .extended_lut = "off";
+defparam \bcd|A23|WideOr1~0 .lut_mask = 64'h0505AAAA0F0F0000;
+defparam \bcd|A23|WideOr1~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X87_Y11_N48
+cyclonev_lcell_comb \bcd|A27|WideOr1~0 (
+// Equation(s):
+// \bcd|A27|WideOr1~0_combout = ( \bcd|A23|WideOr1~0_combout & ( !\bcd|A23|WideOr2~0_combout $ (((!\bcd|A23|WideOr3~0_combout & !\bcd|A24|WideOr0~0_combout ))) ) ) # ( !\bcd|A23|WideOr1~0_combout & ( (\bcd|A23|WideOr2~0_combout &
+// (!\bcd|A23|WideOr3~0_combout & !\bcd|A24|WideOr0~0_combout )) ) )
+
+ .dataa(gnd),
+ .datab(!\bcd|A23|WideOr2~0_combout ),
+ .datac(!\bcd|A23|WideOr3~0_combout ),
+ .datad(!\bcd|A24|WideOr0~0_combout ),
+ .datae(gnd),
+ .dataf(!\bcd|A23|WideOr1~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A27|WideOr1~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A27|WideOr1~0 .extended_lut = "off";
+defparam \bcd|A27|WideOr1~0 .lut_mask = 64'h300030003CCC3CCC;
+defparam \bcd|A27|WideOr1~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X83_Y9_N12
+cyclonev_lcell_comb \bcd|A28|WideOr0~0 (
+// Equation(s):
+// \bcd|A28|WideOr0~0_combout = ( \bcd|A24|WideOr3~0_combout & ( !\bcd|A24|WideOr2~0_combout $ (!\bcd|A24|WideOr1~0_combout ) ) ) # ( !\bcd|A24|WideOr3~0_combout & ( !\bcd|A24|WideOr1~0_combout $ (((!\bcd|A25|WideOr0~0_combout ) #
+// (!\bcd|A24|WideOr2~0_combout ))) ) )
+
+ .dataa(gnd),
+ .datab(!\bcd|A25|WideOr0~0_combout ),
+ .datac(!\bcd|A24|WideOr2~0_combout ),
+ .datad(!\bcd|A24|WideOr1~0_combout ),
+ .datae(gnd),
+ .dataf(!\bcd|A24|WideOr3~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A28|WideOr0~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A28|WideOr0~0 .extended_lut = "off";
+defparam \bcd|A28|WideOr0~0 .lut_mask = 64'h03FC03FC0FF00FF0;
+defparam \bcd|A28|WideOr0~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X87_Y11_N57
+cyclonev_lcell_comb \bcd|A27|WideOr2~0 (
+// Equation(s):
+// \bcd|A27|WideOr2~0_combout = ( \bcd|A23|WideOr1~0_combout & ( (!\bcd|A23|WideOr3~0_combout & ((!\bcd|A24|WideOr0~0_combout ))) # (\bcd|A23|WideOr3~0_combout & (!\bcd|A23|WideOr2~0_combout & \bcd|A24|WideOr0~0_combout )) ) ) # (
+// !\bcd|A23|WideOr1~0_combout & ( (\bcd|A23|WideOr3~0_combout & ((!\bcd|A23|WideOr2~0_combout ) # (\bcd|A24|WideOr0~0_combout ))) ) )
+
+ .dataa(!\bcd|A23|WideOr3~0_combout ),
+ .datab(gnd),
+ .datac(!\bcd|A23|WideOr2~0_combout ),
+ .datad(!\bcd|A24|WideOr0~0_combout ),
+ .datae(gnd),
+ .dataf(!\bcd|A23|WideOr1~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A27|WideOr2~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A27|WideOr2~0 .extended_lut = "off";
+defparam \bcd|A27|WideOr2~0 .lut_mask = 64'h50555055AA50AA50;
+defparam \bcd|A27|WideOr2~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X87_Y11_N24
+cyclonev_lcell_comb \bcd|A27|WideOr3~0 (
+// Equation(s):
+// \bcd|A27|WideOr3~0_combout = ( \bcd|A23|WideOr1~0_combout & ( (!\bcd|A24|WideOr0~0_combout & ((!\bcd|A23|WideOr3~0_combout ) # (!\bcd|A23|WideOr2~0_combout ))) ) ) # ( !\bcd|A23|WideOr1~0_combout & ( (!\bcd|A23|WideOr2~0_combout &
+// ((\bcd|A24|WideOr0~0_combout ))) # (\bcd|A23|WideOr2~0_combout & (\bcd|A23|WideOr3~0_combout & !\bcd|A24|WideOr0~0_combout )) ) )
+
+ .dataa(!\bcd|A23|WideOr3~0_combout ),
+ .datab(!\bcd|A23|WideOr2~0_combout ),
+ .datac(!\bcd|A24|WideOr0~0_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\bcd|A23|WideOr1~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A27|WideOr3~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A27|WideOr3~0 .extended_lut = "off";
+defparam \bcd|A27|WideOr3~0 .lut_mask = 64'h1C1C1C1CE0E0E0E0;
+defparam \bcd|A27|WideOr3~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X87_Y11_N51
+cyclonev_lcell_comb \h2|WideOr6~0 (
+// Equation(s):
+// \h2|WideOr6~0_combout = ( \bcd|A27|WideOr3~0_combout & ( (!\bcd|A27|WideOr1~0_combout ) # ((!\bcd|A28|WideOr0~0_combout ) # (\bcd|A27|WideOr2~0_combout )) ) ) # ( !\bcd|A27|WideOr3~0_combout & ( (!\bcd|A27|WideOr1~0_combout &
+// (!\bcd|A28|WideOr0~0_combout $ (\bcd|A27|WideOr2~0_combout ))) # (\bcd|A27|WideOr1~0_combout & ((!\bcd|A28|WideOr0~0_combout ) # (!\bcd|A27|WideOr2~0_combout ))) ) )
+
+ .dataa(!\bcd|A27|WideOr1~0_combout ),
+ .datab(gnd),
+ .datac(!\bcd|A28|WideOr0~0_combout ),
+ .datad(!\bcd|A27|WideOr2~0_combout ),
+ .datae(gnd),
+ .dataf(!\bcd|A27|WideOr3~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\h2|WideOr6~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \h2|WideOr6~0 .extended_lut = "off";
+defparam \h2|WideOr6~0 .lut_mask = 64'hF55AF55AFAFFFAFF;
+defparam \h2|WideOr6~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X87_Y11_N30
+cyclonev_lcell_comb \h2|WideOr5~0 (
+// Equation(s):
+// \h2|WideOr5~0_combout = ( \bcd|A28|WideOr0~0_combout & ( (!\bcd|A27|WideOr3~0_combout & (!\bcd|A27|WideOr1~0_combout & \bcd|A27|WideOr2~0_combout )) # (\bcd|A27|WideOr3~0_combout & (\bcd|A27|WideOr1~0_combout )) ) ) # ( !\bcd|A28|WideOr0~0_combout &
+// ( (\bcd|A27|WideOr2~0_combout & ((\bcd|A27|WideOr1~0_combout ) # (\bcd|A27|WideOr3~0_combout ))) ) )
+
+ .dataa(!\bcd|A27|WideOr3~0_combout ),
+ .datab(gnd),
+ .datac(!\bcd|A27|WideOr1~0_combout ),
+ .datad(!\bcd|A27|WideOr2~0_combout ),
+ .datae(gnd),
+ .dataf(!\bcd|A28|WideOr0~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\h2|WideOr5~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \h2|WideOr5~0 .extended_lut = "off";
+defparam \h2|WideOr5~0 .lut_mask = 64'h005F005F05A505A5;
+defparam \h2|WideOr5~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X87_Y11_N33
+cyclonev_lcell_comb \h2|WideOr4~0 (
+// Equation(s):
+// \h2|WideOr4~0_combout = ( \bcd|A27|WideOr1~0_combout & ( (\bcd|A27|WideOr2~0_combout & ((!\bcd|A28|WideOr0~0_combout ) # (\bcd|A27|WideOr3~0_combout ))) ) ) # ( !\bcd|A27|WideOr1~0_combout & ( (\bcd|A27|WideOr3~0_combout &
+// (!\bcd|A28|WideOr0~0_combout & !\bcd|A27|WideOr2~0_combout )) ) )
+
+ .dataa(!\bcd|A27|WideOr3~0_combout ),
+ .datab(!\bcd|A28|WideOr0~0_combout ),
+ .datac(gnd),
+ .datad(!\bcd|A27|WideOr2~0_combout ),
+ .datae(gnd),
+ .dataf(!\bcd|A27|WideOr1~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\h2|WideOr4~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \h2|WideOr4~0 .extended_lut = "off";
+defparam \h2|WideOr4~0 .lut_mask = 64'h4400440000DD00DD;
+defparam \h2|WideOr4~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X87_Y11_N36
+cyclonev_lcell_comb \h2|WideOr3~0 (
+// Equation(s):
+// \h2|WideOr3~0_combout = ( \bcd|A28|WideOr0~0_combout & ( !\bcd|A27|WideOr3~0_combout $ (!\bcd|A27|WideOr2~0_combout ) ) ) # ( !\bcd|A28|WideOr0~0_combout & ( (!\bcd|A27|WideOr3~0_combout & ((!\bcd|A27|WideOr2~0_combout ) # (\bcd|A27|WideOr1~0_combout
+// ))) # (\bcd|A27|WideOr3~0_combout & ((!\bcd|A27|WideOr1~0_combout ) # (\bcd|A27|WideOr2~0_combout ))) ) )
+
+ .dataa(!\bcd|A27|WideOr3~0_combout ),
+ .datab(gnd),
+ .datac(!\bcd|A27|WideOr1~0_combout ),
+ .datad(!\bcd|A27|WideOr2~0_combout ),
+ .datae(gnd),
+ .dataf(!\bcd|A28|WideOr0~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\h2|WideOr3~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \h2|WideOr3~0 .extended_lut = "off";
+defparam \h2|WideOr3~0 .lut_mask = 64'hFA5FFA5F55AA55AA;
+defparam \h2|WideOr3~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X87_Y11_N39
+cyclonev_lcell_comb \h2|WideOr2~0 (
+// Equation(s):
+// \h2|WideOr2~0_combout = ( \bcd|A27|WideOr1~0_combout & ( ((!\bcd|A28|WideOr0~0_combout ) # (\bcd|A27|WideOr2~0_combout )) # (\bcd|A27|WideOr3~0_combout ) ) ) # ( !\bcd|A27|WideOr1~0_combout & ( (!\bcd|A28|WideOr0~0_combout &
+// ((!\bcd|A27|WideOr2~0_combout ) # (\bcd|A27|WideOr3~0_combout ))) ) )
+
+ .dataa(!\bcd|A27|WideOr3~0_combout ),
+ .datab(!\bcd|A28|WideOr0~0_combout ),
+ .datac(gnd),
+ .datad(!\bcd|A27|WideOr2~0_combout ),
+ .datae(gnd),
+ .dataf(!\bcd|A27|WideOr1~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\h2|WideOr2~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \h2|WideOr2~0 .extended_lut = "off";
+defparam \h2|WideOr2~0 .lut_mask = 64'hCC44CC44DDFFDDFF;
+defparam \h2|WideOr2~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X87_Y11_N42
+cyclonev_lcell_comb \h2|WideOr1~0 (
+// Equation(s):
+// \h2|WideOr1~0_combout = ( \bcd|A28|WideOr0~0_combout & ( !\bcd|A27|WideOr1~0_combout $ (((!\bcd|A27|WideOr2~0_combout ) # (\bcd|A27|WideOr3~0_combout ))) ) ) # ( !\bcd|A28|WideOr0~0_combout & ( (!\bcd|A27|WideOr3~0_combout ) #
+// ((\bcd|A27|WideOr2~0_combout ) # (\bcd|A27|WideOr1~0_combout )) ) )
+
+ .dataa(!\bcd|A27|WideOr3~0_combout ),
+ .datab(gnd),
+ .datac(!\bcd|A27|WideOr1~0_combout ),
+ .datad(!\bcd|A27|WideOr2~0_combout ),
+ .datae(gnd),
+ .dataf(!\bcd|A28|WideOr0~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\h2|WideOr1~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \h2|WideOr1~0 .extended_lut = "off";
+defparam \h2|WideOr1~0 .lut_mask = 64'hAFFFAFFF0FA50FA5;
+defparam \h2|WideOr1~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X87_Y11_N45
+cyclonev_lcell_comb \h2|WideOr0~0 (
+// Equation(s):
+// \h2|WideOr0~0_combout = ( \bcd|A27|WideOr1~0_combout & ( ((!\bcd|A27|WideOr2~0_combout ) # (\bcd|A28|WideOr0~0_combout )) # (\bcd|A27|WideOr3~0_combout ) ) ) # ( !\bcd|A27|WideOr1~0_combout & ( (!\bcd|A27|WideOr3~0_combout &
+// ((\bcd|A27|WideOr2~0_combout ))) # (\bcd|A27|WideOr3~0_combout & ((!\bcd|A28|WideOr0~0_combout ) # (!\bcd|A27|WideOr2~0_combout ))) ) )
+
+ .dataa(!\bcd|A27|WideOr3~0_combout ),
+ .datab(!\bcd|A28|WideOr0~0_combout ),
+ .datac(gnd),
+ .datad(!\bcd|A27|WideOr2~0_combout ),
+ .datae(gnd),
+ .dataf(!\bcd|A27|WideOr1~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\h2|WideOr0~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \h2|WideOr0~0 .extended_lut = "off";
+defparam \h2|WideOr0~0 .lut_mask = 64'h55EE55EEFF77FF77;
+defparam \h2|WideOr0~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X79_Y9_N12
+cyclonev_lcell_comb \bcd|A7|WideOr0~0 (
+// Equation(s):
+// \bcd|A7|WideOr0~0_combout = ( \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~29_sumout & ( \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~37_sumout & (
+// !\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~45_sumout $ (!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~41_sumout ) ) ) ) # (
+// !\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~29_sumout & ( \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~37_sumout & (
+// (!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~45_sumout & (\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~41_sumout &
+// ((\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~25_sumout ) # (\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~33_sumout )))) #
+// (\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~45_sumout & (((!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~41_sumout )))) ) ) ) # (
+// \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~29_sumout & ( !\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~37_sumout & (
+// (\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~45_sumout & !\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~41_sumout ) ) ) ) # (
+// !\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~29_sumout & ( !\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~37_sumout & (
+// (\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~45_sumout & ((!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~33_sumout ) #
+// (!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~41_sumout ))) ) ) )
+
+ .dataa(!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~45_sumout ),
+ .datab(!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~33_sumout ),
+ .datac(!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~25_sumout ),
+ .datad(!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~41_sumout ),
+ .datae(!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~29_sumout ),
+ .dataf(!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~37_sumout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A7|WideOr0~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A7|WideOr0~0 .extended_lut = "off";
+defparam \bcd|A7|WideOr0~0 .lut_mask = 64'h55445500552A55AA;
+defparam \bcd|A7|WideOr0~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X77_Y9_N24
+cyclonev_lcell_comb \bcd|A5|WideOr0~0 (
+// Equation(s):
+// \bcd|A5|WideOr0~0_combout = ( \bcd|A2|WideOr0~0_combout & ( \bcd|A4|WideOr0~0_combout & ( \bcd|A1|WideOr0~0_combout ) ) ) # ( !\bcd|A2|WideOr0~0_combout & ( \bcd|A4|WideOr0~0_combout & ( \bcd|A1|WideOr0~0_combout ) ) ) # ( \bcd|A2|WideOr0~0_combout
+// & ( !\bcd|A4|WideOr0~0_combout & ( \bcd|A1|WideOr0~0_combout ) ) )
+
+ .dataa(gnd),
+ .datab(!\bcd|A1|WideOr0~0_combout ),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(!\bcd|A2|WideOr0~0_combout ),
+ .dataf(!\bcd|A4|WideOr0~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A5|WideOr0~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A5|WideOr0~0 .extended_lut = "off";
+defparam \bcd|A5|WideOr0~0 .lut_mask = 64'h0000333333333333;
+defparam \bcd|A5|WideOr0~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X84_Y9_N48
+cyclonev_lcell_comb \bcd|A10|WideOr0~0 (
+// Equation(s):
+// \bcd|A10|WideOr0~0_combout = ( \bcd|A7|WideOr1~0_combout & ( (!\bcd|A7|WideOr2~0_combout ) # ((!\bcd|A7|WideOr3~0_combout & !\bcd|A8|WideOr0~0_combout )) ) ) # ( !\bcd|A7|WideOr1~0_combout & ( (\bcd|A7|WideOr2~0_combout & ((\bcd|A8|WideOr0~0_combout
+// ) # (\bcd|A7|WideOr3~0_combout ))) ) )
+
+ .dataa(gnd),
+ .datab(!\bcd|A7|WideOr2~0_combout ),
+ .datac(!\bcd|A7|WideOr3~0_combout ),
+ .datad(!\bcd|A8|WideOr0~0_combout ),
+ .datae(gnd),
+ .dataf(!\bcd|A7|WideOr1~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A10|WideOr0~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A10|WideOr0~0 .extended_lut = "off";
+defparam \bcd|A10|WideOr0~0 .lut_mask = 64'h03330333FCCCFCCC;
+defparam \bcd|A10|WideOr0~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X82_Y11_N3
+cyclonev_lcell_comb \bcd|A12|WideOr0~0 (
+// Equation(s):
+// \bcd|A12|WideOr0~0_combout = ( \bcd|A10|WideOr0~0_combout & ( \bcd|A5|WideOr0~0_combout ) ) # ( !\bcd|A10|WideOr0~0_combout & ( (\bcd|A7|WideOr0~0_combout & \bcd|A5|WideOr0~0_combout ) ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\bcd|A7|WideOr0~0_combout ),
+ .datad(!\bcd|A5|WideOr0~0_combout ),
+ .datae(gnd),
+ .dataf(!\bcd|A10|WideOr0~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A12|WideOr0~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A12|WideOr0~0 .extended_lut = "off";
+defparam \bcd|A12|WideOr0~0 .lut_mask = 64'h000F000F00FF00FF;
+defparam \bcd|A12|WideOr0~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X81_Y9_N48
+cyclonev_lcell_comb \bcd|A15|WideOr0~0 (
+// Equation(s):
+// \bcd|A15|WideOr0~0_combout = ( \bcd|A11|WideOr0~0_combout & ( \bcd|A4|WideOr0~0_combout & ( !\bcd|A1|WideOr0~0_combout $ (!\bcd|A2|WideOr0~0_combout ) ) ) ) # ( !\bcd|A11|WideOr0~0_combout & ( \bcd|A4|WideOr0~0_combout & (
+// (!\bcd|A1|WideOr0~0_combout & (\bcd|A2|WideOr0~0_combout & ((\bcd|A6|WideOr0~0_combout ) # (\bcd|A8|WideOr0~0_combout )))) # (\bcd|A1|WideOr0~0_combout & (!\bcd|A2|WideOr0~0_combout )) ) ) ) # ( \bcd|A11|WideOr0~0_combout & (
+// !\bcd|A4|WideOr0~0_combout & ( (\bcd|A1|WideOr0~0_combout & ((!\bcd|A2|WideOr0~0_combout ) # ((!\bcd|A8|WideOr0~0_combout & !\bcd|A6|WideOr0~0_combout )))) ) ) ) # ( !\bcd|A11|WideOr0~0_combout & ( !\bcd|A4|WideOr0~0_combout & (
+// (\bcd|A1|WideOr0~0_combout & ((!\bcd|A2|WideOr0~0_combout ) # ((!\bcd|A8|WideOr0~0_combout & !\bcd|A6|WideOr0~0_combout )))) ) ) )
+
+ .dataa(!\bcd|A1|WideOr0~0_combout ),
+ .datab(!\bcd|A2|WideOr0~0_combout ),
+ .datac(!\bcd|A8|WideOr0~0_combout ),
+ .datad(!\bcd|A6|WideOr0~0_combout ),
+ .datae(!\bcd|A11|WideOr0~0_combout ),
+ .dataf(!\bcd|A4|WideOr0~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A15|WideOr0~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A15|WideOr0~0 .extended_lut = "off";
+defparam \bcd|A15|WideOr0~0 .lut_mask = 64'h5444544446666666;
+defparam \bcd|A15|WideOr0~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X81_Y9_N6
+cyclonev_lcell_comb \bcd|A23|WideOr0~0 (
+// Equation(s):
+// \bcd|A23|WideOr0~0_combout = ( \bcd|A20|WideOr0~0_combout & ( !\bcd|A19|WideOr1~0_combout $ (!\bcd|A19|WideOr2~0_combout ) ) ) # ( !\bcd|A20|WideOr0~0_combout & ( !\bcd|A19|WideOr1~0_combout $ (((!\bcd|A19|WideOr3~0_combout ) #
+// (!\bcd|A19|WideOr2~0_combout ))) ) )
+
+ .dataa(!\bcd|A19|WideOr3~0_combout ),
+ .datab(gnd),
+ .datac(!\bcd|A19|WideOr1~0_combout ),
+ .datad(!\bcd|A19|WideOr2~0_combout ),
+ .datae(gnd),
+ .dataf(!\bcd|A20|WideOr0~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A23|WideOr0~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A23|WideOr0~0 .extended_lut = "off";
+defparam \bcd|A23|WideOr0~0 .lut_mask = 64'h0F5A0F5A0FF00FF0;
+defparam \bcd|A23|WideOr0~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X81_Y9_N9
+cyclonev_lcell_comb \bcd|A19|WideOr0~0 (
+// Equation(s):
+// \bcd|A19|WideOr0~0_combout = ( \bcd|A15|WideOr3~0_combout & ( !\bcd|A15|WideOr2~0_combout $ (!\bcd|A15|WideOr1~0_combout ) ) ) # ( !\bcd|A15|WideOr3~0_combout & ( !\bcd|A15|WideOr1~0_combout $ (((!\bcd|A15|WideOr2~0_combout ) #
+// (!\bcd|A16|WideOr0~0_combout ))) ) )
+
+ .dataa(gnd),
+ .datab(!\bcd|A15|WideOr2~0_combout ),
+ .datac(!\bcd|A15|WideOr1~0_combout ),
+ .datad(!\bcd|A16|WideOr0~0_combout ),
+ .datae(gnd),
+ .dataf(!\bcd|A15|WideOr3~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A19|WideOr0~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A19|WideOr0~0 .extended_lut = "off";
+defparam \bcd|A19|WideOr0~0 .lut_mask = 64'h0F3C0F3C3C3C3C3C;
+defparam \bcd|A19|WideOr0~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X82_Y11_N48
+cyclonev_lcell_comb \bcd|A26|Decoder0~2 (
+// Equation(s):
+// \bcd|A26|Decoder0~2_combout = ( \bcd|A19|WideOr0~0_combout & ( (!\bcd|A12|WideOr0~0_combout & (!\bcd|A15|WideOr0~0_combout & !\bcd|A23|WideOr0~0_combout )) ) ) # ( !\bcd|A19|WideOr0~0_combout & ( (\bcd|A12|WideOr0~0_combout &
+// (\bcd|A15|WideOr0~0_combout & !\bcd|A23|WideOr0~0_combout )) ) )
+
+ .dataa(!\bcd|A12|WideOr0~0_combout ),
+ .datab(!\bcd|A15|WideOr0~0_combout ),
+ .datac(!\bcd|A23|WideOr0~0_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\bcd|A19|WideOr0~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A26|Decoder0~2_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A26|Decoder0~2 .extended_lut = "off";
+defparam \bcd|A26|Decoder0~2 .lut_mask = 64'h1010101080808080;
+defparam \bcd|A26|Decoder0~2 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X82_Y11_N6
+cyclonev_lcell_comb \bcd|A26|Decoder0~0 (
+// Equation(s):
+// \bcd|A26|Decoder0~0_combout = ( \bcd|A19|WideOr0~0_combout & ( (!\bcd|A12|WideOr0~0_combout & (!\bcd|A15|WideOr0~0_combout & \bcd|A23|WideOr0~0_combout )) ) ) # ( !\bcd|A19|WideOr0~0_combout & ( (\bcd|A12|WideOr0~0_combout &
+// (\bcd|A15|WideOr0~0_combout & \bcd|A23|WideOr0~0_combout )) ) )
+
+ .dataa(!\bcd|A12|WideOr0~0_combout ),
+ .datab(!\bcd|A15|WideOr0~0_combout ),
+ .datac(!\bcd|A23|WideOr0~0_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\bcd|A19|WideOr0~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A26|Decoder0~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A26|Decoder0~0 .extended_lut = "off";
+defparam \bcd|A26|Decoder0~0 .lut_mask = 64'h0101010108080808;
+defparam \bcd|A26|Decoder0~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X82_Y11_N54
+cyclonev_lcell_comb \bcd|A26|WideOr2 (
+// Equation(s):
+// \bcd|A26|WideOr2~combout = ( \bcd|A12|WideOr0~0_combout & ( !\bcd|A26|Decoder0~0_combout & ( (!\bcd|A26|Decoder0~2_combout & (((\bcd|A19|WideOr0~0_combout ) # (\bcd|A23|WideOr0~0_combout )) # (\bcd|A15|WideOr0~0_combout ))) ) ) ) # (
+// !\bcd|A12|WideOr0~0_combout & ( !\bcd|A26|Decoder0~0_combout & ( (!\bcd|A26|Decoder0~2_combout & ((!\bcd|A15|WideOr0~0_combout ) # ((!\bcd|A23|WideOr0~0_combout ) # (!\bcd|A19|WideOr0~0_combout )))) ) ) )
+
+ .dataa(!\bcd|A26|Decoder0~2_combout ),
+ .datab(!\bcd|A15|WideOr0~0_combout ),
+ .datac(!\bcd|A23|WideOr0~0_combout ),
+ .datad(!\bcd|A19|WideOr0~0_combout ),
+ .datae(!\bcd|A12|WideOr0~0_combout ),
+ .dataf(!\bcd|A26|Decoder0~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A26|WideOr2~combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A26|WideOr2 .extended_lut = "off";
+defparam \bcd|A26|WideOr2 .lut_mask = 64'hAAA82AAA00000000;
+defparam \bcd|A26|WideOr2 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X87_Y11_N15
+cyclonev_lcell_comb \bcd|A27|WideOr0~0 (
+// Equation(s):
+// \bcd|A27|WideOr0~0_combout = ( \bcd|A23|WideOr1~0_combout & ( (!\bcd|A23|WideOr2~0_combout ) # ((!\bcd|A23|WideOr3~0_combout & !\bcd|A24|WideOr0~0_combout )) ) ) # ( !\bcd|A23|WideOr1~0_combout & ( (\bcd|A23|WideOr2~0_combout &
+// ((\bcd|A24|WideOr0~0_combout ) # (\bcd|A23|WideOr3~0_combout ))) ) )
+
+ .dataa(!\bcd|A23|WideOr3~0_combout ),
+ .datab(gnd),
+ .datac(!\bcd|A23|WideOr2~0_combout ),
+ .datad(!\bcd|A24|WideOr0~0_combout ),
+ .datae(gnd),
+ .dataf(!\bcd|A23|WideOr1~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A27|WideOr0~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A27|WideOr0~0 .extended_lut = "off";
+defparam \bcd|A27|WideOr0~0 .lut_mask = 64'h050F050FFAF0FAF0;
+defparam \bcd|A27|WideOr0~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X82_Y11_N51
+cyclonev_lcell_comb \bcd|A26|Decoder0~3 (
+// Equation(s):
+// \bcd|A26|Decoder0~3_combout = ( \bcd|A19|WideOr0~0_combout & ( (\bcd|A12|WideOr0~0_combout & (\bcd|A15|WideOr0~0_combout & !\bcd|A23|WideOr0~0_combout )) ) ) # ( !\bcd|A19|WideOr0~0_combout & ( (!\bcd|A12|WideOr0~0_combout &
+// (\bcd|A15|WideOr0~0_combout & !\bcd|A23|WideOr0~0_combout )) ) )
+
+ .dataa(!\bcd|A12|WideOr0~0_combout ),
+ .datab(!\bcd|A15|WideOr0~0_combout ),
+ .datac(gnd),
+ .datad(!\bcd|A23|WideOr0~0_combout ),
+ .datae(gnd),
+ .dataf(!\bcd|A19|WideOr0~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A26|Decoder0~3_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A26|Decoder0~3 .extended_lut = "off";
+defparam \bcd|A26|Decoder0~3 .lut_mask = 64'h2200220011001100;
+defparam \bcd|A26|Decoder0~3 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X82_Y11_N0
+cyclonev_lcell_comb \bcd|A26|WideOr1 (
+// Equation(s):
+// \bcd|A26|WideOr1~combout = ( !\bcd|A26|Decoder0~3_combout & ( (!\bcd|A12|WideOr0~0_combout ) # (((!\bcd|A23|WideOr0~0_combout ) # (\bcd|A15|WideOr0~0_combout )) # (\bcd|A19|WideOr0~0_combout )) ) )
+
+ .dataa(!\bcd|A12|WideOr0~0_combout ),
+ .datab(!\bcd|A19|WideOr0~0_combout ),
+ .datac(!\bcd|A23|WideOr0~0_combout ),
+ .datad(!\bcd|A15|WideOr0~0_combout ),
+ .datae(gnd),
+ .dataf(!\bcd|A26|Decoder0~3_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A26|WideOr1~combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A26|WideOr1 .extended_lut = "off";
+defparam \bcd|A26|WideOr1 .lut_mask = 64'hFBFFFBFF00000000;
+defparam \bcd|A26|WideOr1 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X82_Y11_N39
+cyclonev_lcell_comb \bcd|A26|Decoder0~1 (
+// Equation(s):
+// \bcd|A26|Decoder0~1_combout = ( \bcd|A19|WideOr0~0_combout & ( (\bcd|A12|WideOr0~0_combout & (!\bcd|A15|WideOr0~0_combout & \bcd|A23|WideOr0~0_combout )) ) ) # ( !\bcd|A19|WideOr0~0_combout & ( (!\bcd|A12|WideOr0~0_combout &
+// (!\bcd|A15|WideOr0~0_combout & \bcd|A23|WideOr0~0_combout )) ) )
+
+ .dataa(!\bcd|A12|WideOr0~0_combout ),
+ .datab(!\bcd|A15|WideOr0~0_combout ),
+ .datac(gnd),
+ .datad(!\bcd|A23|WideOr0~0_combout ),
+ .datae(gnd),
+ .dataf(!\bcd|A19|WideOr0~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A26|Decoder0~1_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A26|Decoder0~1 .extended_lut = "off";
+defparam \bcd|A26|Decoder0~1 .lut_mask = 64'h0088008800440044;
+defparam \bcd|A26|Decoder0~1 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X82_Y11_N30
+cyclonev_lcell_comb \bcd|A26|WideOr3~0 (
+// Equation(s):
+// \bcd|A26|WideOr3~0_combout = ( \bcd|A15|WideOr0~0_combout & ( !\bcd|A26|Decoder0~0_combout & ( (!\bcd|A26|Decoder0~1_combout & (((!\bcd|A19|WideOr0~0_combout ) # (\bcd|A23|WideOr0~0_combout )) # (\bcd|A12|WideOr0~0_combout ))) ) ) ) # (
+// !\bcd|A15|WideOr0~0_combout & ( !\bcd|A26|Decoder0~0_combout & ( (!\bcd|A26|Decoder0~1_combout & ((!\bcd|A12|WideOr0~0_combout ) # ((\bcd|A19|WideOr0~0_combout ) # (\bcd|A23|WideOr0~0_combout )))) ) ) )
+
+ .dataa(!\bcd|A12|WideOr0~0_combout ),
+ .datab(!\bcd|A26|Decoder0~1_combout ),
+ .datac(!\bcd|A23|WideOr0~0_combout ),
+ .datad(!\bcd|A19|WideOr0~0_combout ),
+ .datae(!\bcd|A15|WideOr0~0_combout ),
+ .dataf(!\bcd|A26|Decoder0~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A26|WideOr3~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A26|WideOr3~0 .extended_lut = "off";
+defparam \bcd|A26|WideOr3~0 .lut_mask = 64'h8CCCCC4C00000000;
+defparam \bcd|A26|WideOr3~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X87_Y11_N21
+cyclonev_lcell_comb \h3|WideOr6~0 (
+// Equation(s):
+// \h3|WideOr6~0_combout = ( \bcd|A26|WideOr3~0_combout & ( (!\bcd|A26|WideOr2~combout & (!\bcd|A27|WideOr0~0_combout $ (\bcd|A26|WideOr1~combout ))) # (\bcd|A26|WideOr2~combout & ((!\bcd|A27|WideOr0~0_combout ) # (!\bcd|A26|WideOr1~combout ))) ) ) # (
+// !\bcd|A26|WideOr3~0_combout & ( (!\bcd|A26|WideOr2~combout ) # ((!\bcd|A27|WideOr0~0_combout ) # (\bcd|A26|WideOr1~combout )) ) )
+
+ .dataa(!\bcd|A26|WideOr2~combout ),
+ .datab(!\bcd|A27|WideOr0~0_combout ),
+ .datac(!\bcd|A26|WideOr1~combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\bcd|A26|WideOr3~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\h3|WideOr6~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \h3|WideOr6~0 .extended_lut = "off";
+defparam \h3|WideOr6~0 .lut_mask = 64'hEFEFEFEFD6D6D6D6;
+defparam \h3|WideOr6~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X87_Y11_N0
+cyclonev_lcell_comb \h3|WideOr5~0 (
+// Equation(s):
+// \h3|WideOr5~0_combout = ( \bcd|A26|WideOr1~combout & ( (!\bcd|A26|WideOr2~combout & (!\bcd|A27|WideOr0~0_combout $ (\bcd|A26|WideOr3~0_combout ))) ) ) # ( !\bcd|A26|WideOr1~combout & ( (!\bcd|A27|WideOr0~0_combout & (!\bcd|A26|WideOr2~combout )) #
+// (\bcd|A27|WideOr0~0_combout & ((!\bcd|A26|WideOr3~0_combout ))) ) )
+
+ .dataa(!\bcd|A26|WideOr2~combout ),
+ .datab(!\bcd|A27|WideOr0~0_combout ),
+ .datac(!\bcd|A26|WideOr3~0_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\bcd|A26|WideOr1~combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\h3|WideOr5~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \h3|WideOr5~0 .extended_lut = "off";
+defparam \h3|WideOr5~0 .lut_mask = 64'hB8B8B8B882828282;
+defparam \h3|WideOr5~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X87_Y11_N3
+cyclonev_lcell_comb \h3|WideOr4~0 (
+// Equation(s):
+// \h3|WideOr4~0_combout = ( \bcd|A26|WideOr3~0_combout & ( (!\bcd|A26|WideOr2~combout & (!\bcd|A27|WideOr0~0_combout & !\bcd|A26|WideOr1~combout )) ) ) # ( !\bcd|A26|WideOr3~0_combout & ( (!\bcd|A26|WideOr2~combout & ((!\bcd|A26|WideOr1~combout ))) #
+// (\bcd|A26|WideOr2~combout & (!\bcd|A27|WideOr0~0_combout & \bcd|A26|WideOr1~combout )) ) )
+
+ .dataa(!\bcd|A26|WideOr2~combout ),
+ .datab(!\bcd|A27|WideOr0~0_combout ),
+ .datac(!\bcd|A26|WideOr1~combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\bcd|A26|WideOr3~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\h3|WideOr4~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \h3|WideOr4~0 .extended_lut = "off";
+defparam \h3|WideOr4~0 .lut_mask = 64'hA4A4A4A480808080;
+defparam \h3|WideOr4~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X87_Y11_N6
+cyclonev_lcell_comb \h3|WideOr3~0 (
+// Equation(s):
+// \h3|WideOr3~0_combout = ( \bcd|A26|WideOr1~combout & ( (!\bcd|A26|WideOr2~combout & (!\bcd|A27|WideOr0~0_combout $ (\bcd|A26|WideOr3~0_combout ))) # (\bcd|A26|WideOr2~combout & ((!\bcd|A27|WideOr0~0_combout ) # (!\bcd|A26|WideOr3~0_combout ))) ) ) #
+// ( !\bcd|A26|WideOr1~combout & ( (!\bcd|A26|WideOr2~combout & ((!\bcd|A27|WideOr0~0_combout ) # (\bcd|A26|WideOr3~0_combout ))) # (\bcd|A26|WideOr2~combout & (!\bcd|A27|WideOr0~0_combout $ (!\bcd|A26|WideOr3~0_combout ))) ) )
+
+ .dataa(!\bcd|A26|WideOr2~combout ),
+ .datab(!\bcd|A27|WideOr0~0_combout ),
+ .datac(!\bcd|A26|WideOr3~0_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\bcd|A26|WideOr1~combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\h3|WideOr3~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \h3|WideOr3~0 .extended_lut = "off";
+defparam \h3|WideOr3~0 .lut_mask = 64'h9E9E9E9ED6D6D6D6;
+defparam \h3|WideOr3~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X87_Y11_N9
+cyclonev_lcell_comb \h3|WideOr2~0 (
+// Equation(s):
+// \h3|WideOr2~0_combout = ( \bcd|A26|WideOr3~0_combout & ( (!\bcd|A26|WideOr2~combout & ((!\bcd|A26|WideOr1~combout ))) # (\bcd|A26|WideOr2~combout & (!\bcd|A27|WideOr0~0_combout )) ) ) # ( !\bcd|A26|WideOr3~0_combout & ( (!\bcd|A27|WideOr0~0_combout )
+// # (!\bcd|A26|WideOr1~combout ) ) )
+
+ .dataa(!\bcd|A26|WideOr2~combout ),
+ .datab(!\bcd|A27|WideOr0~0_combout ),
+ .datac(!\bcd|A26|WideOr1~combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\bcd|A26|WideOr3~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\h3|WideOr2~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \h3|WideOr2~0 .extended_lut = "off";
+defparam \h3|WideOr2~0 .lut_mask = 64'hFCFCFCFCE4E4E4E4;
+defparam \h3|WideOr2~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X87_Y11_N12
+cyclonev_lcell_comb \h3|WideOr1~0 (
+// Equation(s):
+// \h3|WideOr1~0_combout = ( \bcd|A26|WideOr1~combout & ( (!\bcd|A27|WideOr0~0_combout & ((!\bcd|A26|WideOr2~combout ) # (\bcd|A26|WideOr3~0_combout ))) # (\bcd|A27|WideOr0~0_combout & (\bcd|A26|WideOr3~0_combout & !\bcd|A26|WideOr2~combout )) ) ) # (
+// !\bcd|A26|WideOr1~combout & ( (!\bcd|A27|WideOr0~0_combout ) # ((!\bcd|A26|WideOr3~0_combout ) # (\bcd|A26|WideOr2~combout )) ) )
+
+ .dataa(gnd),
+ .datab(!\bcd|A27|WideOr0~0_combout ),
+ .datac(!\bcd|A26|WideOr3~0_combout ),
+ .datad(!\bcd|A26|WideOr2~combout ),
+ .datae(gnd),
+ .dataf(!\bcd|A26|WideOr1~combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\h3|WideOr1~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \h3|WideOr1~0 .extended_lut = "off";
+defparam \h3|WideOr1~0 .lut_mask = 64'hFCFFFCFFCF0CCF0C;
+defparam \h3|WideOr1~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X87_Y11_N18
+cyclonev_lcell_comb \h3|WideOr0~0 (
+// Equation(s):
+// \h3|WideOr0~0_combout = ( \bcd|A26|WideOr1~combout & ( (!\bcd|A26|WideOr2~combout & ((!\bcd|A27|WideOr0~0_combout ) # (\bcd|A26|WideOr3~0_combout ))) # (\bcd|A26|WideOr2~combout & ((!\bcd|A26|WideOr3~0_combout ))) ) ) # ( !\bcd|A26|WideOr1~combout &
+// ( ((!\bcd|A26|WideOr3~0_combout ) # (\bcd|A27|WideOr0~0_combout )) # (\bcd|A26|WideOr2~combout ) ) )
+
+ .dataa(!\bcd|A26|WideOr2~combout ),
+ .datab(!\bcd|A27|WideOr0~0_combout ),
+ .datac(!\bcd|A26|WideOr3~0_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\bcd|A26|WideOr1~combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\h3|WideOr0~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \h3|WideOr0~0 .extended_lut = "off";
+defparam \h3|WideOr0~0 .lut_mask = 64'hF7F7F7F7DADADADA;
+defparam \h3|WideOr0~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X82_Y11_N36
+cyclonev_lcell_comb \bcd|A26|Decoder0~4 (
+// Equation(s):
+// \bcd|A26|Decoder0~4_combout = ( \bcd|A19|WideOr0~0_combout & ( (\bcd|A12|WideOr0~0_combout & (!\bcd|A15|WideOr0~0_combout & !\bcd|A23|WideOr0~0_combout )) ) ) # ( !\bcd|A19|WideOr0~0_combout & ( (!\bcd|A12|WideOr0~0_combout &
+// (!\bcd|A15|WideOr0~0_combout & !\bcd|A23|WideOr0~0_combout )) ) )
+
+ .dataa(!\bcd|A12|WideOr0~0_combout ),
+ .datab(!\bcd|A15|WideOr0~0_combout ),
+ .datac(!\bcd|A23|WideOr0~0_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\bcd|A19|WideOr0~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A26|Decoder0~4_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A26|Decoder0~4 .extended_lut = "off";
+defparam \bcd|A26|Decoder0~4 .lut_mask = 64'h8080808040404040;
+defparam \bcd|A26|Decoder0~4 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X82_Y11_N9
+cyclonev_lcell_comb \bcd|A22|WideOr0~0 (
+// Equation(s):
+// \bcd|A22|WideOr0~0_combout = ( \bcd|A19|WideOr0~0_combout & ( !\bcd|A12|WideOr0~0_combout ) ) # ( !\bcd|A19|WideOr0~0_combout & ( (!\bcd|A12|WideOr0~0_combout ) # (!\bcd|A15|WideOr0~0_combout ) ) )
+
+ .dataa(!\bcd|A12|WideOr0~0_combout ),
+ .datab(!\bcd|A15|WideOr0~0_combout ),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\bcd|A19|WideOr0~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A22|WideOr0~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A22|WideOr0~0 .extended_lut = "off";
+defparam \bcd|A22|WideOr0~0 .lut_mask = 64'hEEEEEEEEAAAAAAAA;
+defparam \bcd|A22|WideOr0~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X82_Y11_N24
+cyclonev_lcell_comb \h4|Decoder0~0 (
+// Equation(s):
+// \h4|Decoder0~0_combout = ( !\bcd|A26|Decoder0~4_combout & ( \bcd|A22|WideOr0~0_combout & ( (!\bcd|A26|Decoder0~2_combout & (!\bcd|A26|Decoder0~0_combout & (!\bcd|A26|Decoder0~3_combout & !\bcd|A26|Decoder0~1_combout ))) ) ) )
+
+ .dataa(!\bcd|A26|Decoder0~2_combout ),
+ .datab(!\bcd|A26|Decoder0~0_combout ),
+ .datac(!\bcd|A26|Decoder0~3_combout ),
+ .datad(!\bcd|A26|Decoder0~1_combout ),
+ .datae(!\bcd|A26|Decoder0~4_combout ),
+ .dataf(!\bcd|A22|WideOr0~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\h4|Decoder0~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \h4|Decoder0~0 .extended_lut = "off";
+defparam \h4|Decoder0~0 .lut_mask = 64'h0000000080000000;
+defparam \h4|Decoder0~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X81_Y9_N3
+cyclonev_lcell_comb \bcd|A13|WideOr0~0 (
+// Equation(s):
+// \bcd|A13|WideOr0~0_combout = ( \bcd|A11|WideOr0~0_combout & ( \bcd|A10|WideOr1~0_combout & ( !\bcd|A10|WideOr2~0_combout ) ) ) # ( !\bcd|A11|WideOr0~0_combout & ( \bcd|A10|WideOr1~0_combout & ( (!\bcd|A10|WideOr3~0_combout ) #
+// (!\bcd|A10|WideOr2~0_combout ) ) ) ) # ( \bcd|A11|WideOr0~0_combout & ( !\bcd|A10|WideOr1~0_combout & ( \bcd|A10|WideOr2~0_combout ) ) ) # ( !\bcd|A11|WideOr0~0_combout & ( !\bcd|A10|WideOr1~0_combout & ( (\bcd|A10|WideOr3~0_combout &
+// \bcd|A10|WideOr2~0_combout ) ) ) )
+
+ .dataa(!\bcd|A10|WideOr3~0_combout ),
+ .datab(!\bcd|A10|WideOr2~0_combout ),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(!\bcd|A11|WideOr0~0_combout ),
+ .dataf(!\bcd|A10|WideOr1~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A13|WideOr0~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A13|WideOr0~0 .extended_lut = "off";
+defparam \bcd|A13|WideOr0~0 .lut_mask = 64'h11113333EEEECCCC;
+defparam \bcd|A13|WideOr0~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X82_Y11_N18
+cyclonev_lcell_comb \h4|Decoder0~2 (
+// Equation(s):
+// \h4|Decoder0~2_combout = ( \bcd|A5|WideOr0~0_combout & ( \bcd|A10|WideOr0~0_combout & ( \bcd|A7|WideOr0~0_combout ) ) ) # ( \bcd|A5|WideOr0~0_combout & ( !\bcd|A10|WideOr0~0_combout & ( (\bcd|A7|WideOr0~0_combout & ((\bcd|A16|WideOr0~0_combout ) #
+// (\bcd|A13|WideOr0~0_combout ))) ) ) )
+
+ .dataa(gnd),
+ .datab(!\bcd|A13|WideOr0~0_combout ),
+ .datac(!\bcd|A16|WideOr0~0_combout ),
+ .datad(!\bcd|A7|WideOr0~0_combout ),
+ .datae(!\bcd|A5|WideOr0~0_combout ),
+ .dataf(!\bcd|A10|WideOr0~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\h4|Decoder0~2_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \h4|Decoder0~2 .extended_lut = "off";
+defparam \h4|Decoder0~2 .lut_mask = 64'h0000003F000000FF;
+defparam \h4|Decoder0~2 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X82_Y11_N42
+cyclonev_lcell_comb \bcd|A26|WideOr0 (
+// Equation(s):
+// \bcd|A26|WideOr0~combout = ( \bcd|A26|Decoder0~4_combout & ( \bcd|A26|Decoder0~0_combout ) ) # ( !\bcd|A26|Decoder0~4_combout & ( \bcd|A26|Decoder0~0_combout ) ) # ( \bcd|A26|Decoder0~4_combout & ( !\bcd|A26|Decoder0~0_combout ) ) # (
+// !\bcd|A26|Decoder0~4_combout & ( !\bcd|A26|Decoder0~0_combout & ( ((\bcd|A26|Decoder0~3_combout ) # (\bcd|A26|Decoder0~1_combout )) # (\bcd|A26|Decoder0~2_combout ) ) ) )
+
+ .dataa(!\bcd|A26|Decoder0~2_combout ),
+ .datab(!\bcd|A26|Decoder0~1_combout ),
+ .datac(!\bcd|A26|Decoder0~3_combout ),
+ .datad(gnd),
+ .datae(!\bcd|A26|Decoder0~4_combout ),
+ .dataf(!\bcd|A26|Decoder0~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A26|WideOr0~combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A26|WideOr0 .extended_lut = "off";
+defparam \bcd|A26|WideOr0 .lut_mask = 64'h7F7FFFFFFFFFFFFF;
+defparam \bcd|A26|WideOr0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X82_Y11_N12
+cyclonev_lcell_comb \h4|Decoder0~1 (
+// Equation(s):
+// \h4|Decoder0~1_combout = ( \bcd|A26|Decoder0~4_combout & ( \bcd|A22|WideOr0~0_combout ) ) # ( !\bcd|A26|Decoder0~4_combout & ( \bcd|A22|WideOr0~0_combout & ( (((\bcd|A26|Decoder0~1_combout ) # (\bcd|A26|Decoder0~3_combout )) #
+// (\bcd|A26|Decoder0~0_combout )) # (\bcd|A26|Decoder0~2_combout ) ) ) )
+
+ .dataa(!\bcd|A26|Decoder0~2_combout ),
+ .datab(!\bcd|A26|Decoder0~0_combout ),
+ .datac(!\bcd|A26|Decoder0~3_combout ),
+ .datad(!\bcd|A26|Decoder0~1_combout ),
+ .datae(!\bcd|A26|Decoder0~4_combout ),
+ .dataf(!\bcd|A22|WideOr0~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\h4|Decoder0~1_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \h4|Decoder0~1 .extended_lut = "off";
+defparam \h4|Decoder0~1 .lut_mask = 64'h000000007FFFFFFF;
+defparam \h4|Decoder0~1 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X1_Y73_N0
+cyclonev_lcell_comb \~QUARTUS_CREATED_GND~I (
+// Equation(s):
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\~QUARTUS_CREATED_GND~I_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \~QUARTUS_CREATED_GND~I .extended_lut = "off";
+defparam \~QUARTUS_CREATED_GND~I .lut_mask = 64'h0000000000000000;
+defparam \~QUARTUS_CREATED_GND~I .shared_arith = "off";
+// synopsys translate_on
+
+endmodule