summaryrefslogtreecommitdiffstats
path: root/part_3/ex15/simulation
diff options
context:
space:
mode:
Diffstat (limited to 'part_3/ex15/simulation')
-rwxr-xr-xpart_3/ex15/simulation/modelsim/do_files/tb_spi2dac.do17
-rwxr-xr-xpart_3/ex15/simulation/modelsim/ex10.sft1
-rwxr-xr-xpart_3/ex15/simulation/modelsim/ex10.vo10972
-rwxr-xr-xpart_3/ex15/simulation/modelsim/ex10_modelsim.xrf512
-rwxr-xr-xpart_3/ex15/simulation/modelsim/ex10_run_msim_rtl_verilog.do9
-rwxr-xr-xpart_3/ex15/simulation/modelsim/ex10_run_msim_rtl_verilog.do.bak9
-rwxr-xr-xpart_3/ex15/simulation/modelsim/modelsim.ini324
-rwxr-xr-xpart_3/ex15/simulation/modelsim/msim_transcript20
-rwxr-xr-xpart_3/ex15/simulation/modelsim/rtl_work/_info25
-rwxr-xr-xpart_3/ex15/simulation/modelsim/rtl_work/_vmake3
-rwxr-xr-xpart_3/ex15/simulation/modelsim/rtl_work/spi2dac/_primary.datbin0 -> 2199 bytes
-rwxr-xr-xpart_3/ex15/simulation/modelsim/rtl_work/spi2dac/_primary.dbsbin0 -> 2891 bytes
-rwxr-xr-xpart_3/ex15/simulation/modelsim/rtl_work/spi2dac/_primary.vhd30
-rwxr-xr-xpart_3/ex15/simulation/modelsim/rtl_work/spi2dac/verilog.prwbin0 -> 1223 bytes
-rwxr-xr-xpart_3/ex15/simulation/modelsim/rtl_work/spi2dac/verilog.psmbin0 -> 22632 bytes
-rwxr-xr-xpart_3/ex15/simulation/modelsim/vsim.wlfbin0 -> 106496 bytes
16 files changed, 11922 insertions, 0 deletions
diff --git a/part_3/ex15/simulation/modelsim/do_files/tb_spi2dac.do b/part_3/ex15/simulation/modelsim/do_files/tb_spi2dac.do
new file mode 100755
index 0000000..b12a7d7
--- /dev/null
+++ b/part_3/ex15/simulation/modelsim/do_files/tb_spi2dac.do
@@ -0,0 +1,17 @@
+add wave -position end sysclk
+add wave -position end -hexadecimal data_in
+add wave -position end load
+add wave -position end dac_sdi
+add wave -position end dac_cs
+add wave -position end dac_sck
+add wave -position end dac_ld
+force sysclk 1 0, 0 10ns -r 20ns
+force data_in 10'h23b
+force load 0
+run 200ns
+force load 1
+run 400ns
+force load 0
+run 20us
+
+
diff --git a/part_3/ex15/simulation/modelsim/ex10.sft b/part_3/ex15/simulation/modelsim/ex10.sft
new file mode 100755
index 0000000..f324fea
--- /dev/null
+++ b/part_3/ex15/simulation/modelsim/ex10.sft
@@ -0,0 +1 @@
+set tool_name "ModelSim-Altera (Verilog)"
diff --git a/part_3/ex15/simulation/modelsim/ex10.vo b/part_3/ex15/simulation/modelsim/ex10.vo
new file mode 100755
index 0000000..b032524
--- /dev/null
+++ b/part_3/ex15/simulation/modelsim/ex10.vo
@@ -0,0 +1,10972 @@
+// Copyright (C) 1991-2016 Altera Corporation. All rights reserved.
+// Your use of Altera Corporation's design tools, logic functions
+// and other software and tools, and its AMPP partner logic
+// functions, and any output files from any of the foregoing
+// (including device programming or simulation files), and any
+// associated documentation or information are expressly subject
+// to the terms and conditions of the Altera Program License
+// Subscription Agreement, the Altera Quartus Prime License Agreement,
+// the Altera MegaCore Function License Agreement, or other
+// applicable license agreement, including, without limitation,
+// that your use is for the sole purpose of programming logic
+// devices manufactured by Altera and sold by Altera or its
+// authorized distributors. Please refer to the applicable
+// agreement for further details.
+
+// VENDOR "Altera"
+// PROGRAM "Quartus Prime"
+// VERSION "Version 16.0.0 Build 211 04/27/2016 SJ Standard Edition"
+
+// DATE "12/02/2016 18:32:40"
+
+//
+// Device: Altera 5CSEMA5F31C6 Package FBGA896
+//
+
+//
+// This Verilog file should be used for ModelSim-Altera (Verilog) only
+//
+
+`timescale 1 ps/ 1 ps
+
+module ex15 (
+ CLOCK_50,
+ DAC_CS,
+ DAC_SDI,
+ DAC_LD,
+ DAC_SCK,
+ ADC_SDI,
+ ADC_SCK,
+ ADC_CS,
+ ADC_SDO,
+ PWM_OUT,
+ HEX0,
+ HEX1,
+ HEX2,
+ HEX3,
+ HEX4);
+input CLOCK_50;
+output DAC_CS;
+output DAC_SDI;
+output DAC_LD;
+output DAC_SCK;
+output ADC_SDI;
+output ADC_SCK;
+output ADC_CS;
+input ADC_SDO;
+output PWM_OUT;
+output [6:0] HEX0;
+output [6:0] HEX1;
+output [6:0] HEX2;
+output [6:0] HEX3;
+output [6:0] HEX4;
+
+// Design Ports Information
+// DAC_CS => Location: PIN_AD20, I/O Standard: 3.3-V LVTTL, Current Strength: 16mA
+// DAC_SDI => Location: PIN_AG18, I/O Standard: 3.3-V LVTTL, Current Strength: 16mA
+// DAC_LD => Location: PIN_AK21, I/O Standard: 3.3-V LVTTL, Current Strength: 16mA
+// DAC_SCK => Location: PIN_AF20, I/O Standard: 3.3-V LVTTL, Current Strength: 16mA
+// ADC_SDI => Location: PIN_AG21, I/O Standard: 3.3-V LVTTL, Current Strength: 16mA
+// ADC_SCK => Location: PIN_AF21, I/O Standard: 3.3-V LVTTL, Current Strength: 16mA
+// ADC_CS => Location: PIN_AG20, I/O Standard: 3.3-V LVTTL, Current Strength: 16mA
+// PWM_OUT => Location: PIN_AJ20, I/O Standard: 3.3-V LVTTL, Current Strength: 16mA
+// HEX0[0] => Location: PIN_AE26, I/O Standard: 3.3-V LVTTL, Current Strength: 16mA
+// HEX0[1] => Location: PIN_AE27, I/O Standard: 3.3-V LVTTL, Current Strength: 16mA
+// HEX0[2] => Location: PIN_AE28, I/O Standard: 3.3-V LVTTL, Current Strength: 16mA
+// HEX0[3] => Location: PIN_AG27, I/O Standard: 3.3-V LVTTL, Current Strength: 16mA
+// HEX0[4] => Location: PIN_AF28, I/O Standard: 3.3-V LVTTL, Current Strength: 16mA
+// HEX0[5] => Location: PIN_AG28, I/O Standard: 3.3-V LVTTL, Current Strength: 16mA
+// HEX0[6] => Location: PIN_AH28, I/O Standard: 3.3-V LVTTL, Current Strength: 16mA
+// HEX1[0] => Location: PIN_AJ29, I/O Standard: 3.3-V LVTTL, Current Strength: 16mA
+// HEX1[1] => Location: PIN_AH29, I/O Standard: 3.3-V LVTTL, Current Strength: 16mA
+// HEX1[2] => Location: PIN_AH30, I/O Standard: 3.3-V LVTTL, Current Strength: 16mA
+// HEX1[3] => Location: PIN_AG30, I/O Standard: 3.3-V LVTTL, Current Strength: 16mA
+// HEX1[4] => Location: PIN_AF29, I/O Standard: 3.3-V LVTTL, Current Strength: 16mA
+// HEX1[5] => Location: PIN_AF30, I/O Standard: 3.3-V LVTTL, Current Strength: 16mA
+// HEX1[6] => Location: PIN_AD27, I/O Standard: 3.3-V LVTTL, Current Strength: 16mA
+// HEX2[0] => Location: PIN_AB23, I/O Standard: 3.3-V LVTTL, Current Strength: 16mA
+// HEX2[1] => Location: PIN_AE29, I/O Standard: 3.3-V LVTTL, Current Strength: 16mA
+// HEX2[2] => Location: PIN_AD29, I/O Standard: 3.3-V LVTTL, Current Strength: 16mA
+// HEX2[3] => Location: PIN_AC28, I/O Standard: 3.3-V LVTTL, Current Strength: 16mA
+// HEX2[4] => Location: PIN_AD30, I/O Standard: 3.3-V LVTTL, Current Strength: 16mA
+// HEX2[5] => Location: PIN_AC29, I/O Standard: 3.3-V LVTTL, Current Strength: 16mA
+// HEX2[6] => Location: PIN_AC30, I/O Standard: 3.3-V LVTTL, Current Strength: 16mA
+// HEX3[0] => Location: PIN_AD26, I/O Standard: 3.3-V LVTTL, Current Strength: 16mA
+// HEX3[1] => Location: PIN_AC27, I/O Standard: 3.3-V LVTTL, Current Strength: 16mA
+// HEX3[2] => Location: PIN_AD25, I/O Standard: 3.3-V LVTTL, Current Strength: 16mA
+// HEX3[3] => Location: PIN_AC25, I/O Standard: 3.3-V LVTTL, Current Strength: 16mA
+// HEX3[4] => Location: PIN_AB28, I/O Standard: 3.3-V LVTTL, Current Strength: 16mA
+// HEX3[5] => Location: PIN_AB25, I/O Standard: 3.3-V LVTTL, Current Strength: 16mA
+// HEX3[6] => Location: PIN_AB22, I/O Standard: 3.3-V LVTTL, Current Strength: 16mA
+// HEX4[0] => Location: PIN_AA24, I/O Standard: 3.3-V LVTTL, Current Strength: 16mA
+// HEX4[1] => Location: PIN_Y23, I/O Standard: 3.3-V LVTTL, Current Strength: 16mA
+// HEX4[2] => Location: PIN_Y24, I/O Standard: 3.3-V LVTTL, Current Strength: 16mA
+// HEX4[3] => Location: PIN_W22, I/O Standard: 3.3-V LVTTL, Current Strength: 16mA
+// HEX4[4] => Location: PIN_W24, I/O Standard: 3.3-V LVTTL, Current Strength: 16mA
+// HEX4[5] => Location: PIN_V23, I/O Standard: 3.3-V LVTTL, Current Strength: 16mA
+// HEX4[6] => Location: PIN_W25, I/O Standard: 3.3-V LVTTL, Current Strength: 16mA
+// CLOCK_50 => Location: PIN_AF14, I/O Standard: 3.3-V LVTTL, Current Strength: Default
+// ADC_SDO => Location: PIN_AJ21, I/O Standard: 3.3-V LVTTL, Current Strength: Default
+
+
+wire gnd;
+wire vcc;
+wire unknown;
+
+assign gnd = 1'b0;
+assign vcc = 1'b1;
+assign unknown = 1'bx;
+
+tri1 devclrn;
+tri1 devpor;
+tri1 devoe;
+wire \~QUARTUS_CREATED_GND~I_combout ;
+wire \CLOCK_50~input_o ;
+wire \dac|clk_1MHz~0_combout ;
+wire \CLOCK_50~inputCLKENA0_outclk ;
+wire \SPI_ADC|ctr~1_combout ;
+wire \SPI_ADC|ctr[0]~DUPLICATE_q ;
+wire \SPI_ADC|ctr~2_combout ;
+wire \SPI_ADC|ctr[1]~DUPLICATE_q ;
+wire \SPI_ADC|ctr~0_combout ;
+wire \SPI_ADC|ctr[2]~DUPLICATE_q ;
+wire \SPI_ADC|Add0~1_combout ;
+wire \SPI_ADC|Add0~0_combout ;
+wire \dac|Equal0~0_combout ;
+wire \dac|clk_1MHz~q ;
+wire \dac|state~2_combout ;
+wire \dac|state~3_combout ;
+wire \dac|state~0_combout ;
+wire \dac|state[4]~feeder_combout ;
+wire \dac|state[4]~DUPLICATE_q ;
+wire \dac|state~1_combout ;
+wire \tick|Add0~9_sumout ;
+wire \tick|count[0]~1_combout ;
+wire \tick|Add0~10 ;
+wire \tick|Add0~13_sumout ;
+wire \tick|count[1]~2_combout ;
+wire \tick|count[1]~DUPLICATE_q ;
+wire \tick|Add0~14 ;
+wire \tick|Add0~17_sumout ;
+wire \tick|count[2]~3_combout ;
+wire \tick|count[2]~DUPLICATE_q ;
+wire \tick|Add0~18 ;
+wire \tick|Add0~33_sumout ;
+wire \tick|Add0~34 ;
+wire \tick|Add0~37_sumout ;
+wire \tick|Add0~38 ;
+wire \tick|Add0~41_sumout ;
+wire \tick|Add0~42 ;
+wire \tick|Add0~45_sumout ;
+wire \tick|Add0~46 ;
+wire \tick|Add0~21_sumout ;
+wire \tick|count[7]~4_combout ;
+wire \tick|count[7]~DUPLICATE_q ;
+wire \tick|Add0~22 ;
+wire \tick|Add0~25_sumout ;
+wire \tick|count[8]~5_combout ;
+wire \tick|Add0~26 ;
+wire \tick|Add0~1_sumout ;
+wire \tick|count[9]~0_combout ;
+wire \tick|count[9]~DUPLICATE_q ;
+wire \tick|Add0~2 ;
+wire \tick|Add0~5_sumout ;
+wire \tick|Add0~6 ;
+wire \tick|Add0~49_sumout ;
+wire \tick|count[11]~DUPLICATE_q ;
+wire \tick|Add0~50 ;
+wire \tick|Add0~29_sumout ;
+wire \tick|count[12]~6_combout ;
+wire \tick|count[4]~DUPLICATE_q ;
+wire \tick|count[3]~DUPLICATE_q ;
+wire \tick|count[6]~DUPLICATE_q ;
+wire \tick|Equal0~1_combout ;
+wire \tick|Equal0~0_combout ;
+wire \tick|count[13]~DUPLICATE_q ;
+wire \tick|Add0~30 ;
+wire \tick|Add0~53_sumout ;
+wire \tick|Add0~54 ;
+wire \tick|Add0~57_sumout ;
+wire \tick|Add0~58 ;
+wire \tick|Add0~61_sumout ;
+wire \tick|Equal0~2_combout ;
+wire \tick|Equal0~3_combout ;
+wire \tick|CLK_OUT~feeder_combout ;
+wire \tick|CLK_OUT~q ;
+wire \dac|sr_state.IDLE~0_combout ;
+wire \dac|sr_state.IDLE~q ;
+wire \dac|Selector2~0_combout ;
+wire \dac|sr_state.WAIT_CSB_HIGH~q ;
+wire \dac|sr_state.WAIT_CSB_FALL~0_combout ;
+wire \dac|sr_state.WAIT_CSB_FALL~q ;
+wire \dac|Selector3~0_combout ;
+wire \dac|state[2]~DUPLICATE_q ;
+wire \dac|WideNor0~combout ;
+wire \SPI_ADC|clk_1MHz~0_combout ;
+wire \SPI_ADC|clk_1MHz~q ;
+wire \ADC_SDO~input_o ;
+wire \SPI_ADC|state[1]~DUPLICATE_q ;
+wire \SPI_ADC|state[1]~1_combout ;
+wire \SPI_ADC|state[3]~DUPLICATE_q ;
+wire \SPI_ADC|state[3]~3_combout ;
+wire \SPI_ADC|state~0_combout ;
+wire \SPI_ADC|Selector4~0_combout ;
+wire \SPI_ADC|adc_cs~q ;
+wire \SPI_ADC|Selector2~0_combout ;
+wire \SPI_ADC|sr_state.WAIT_CSB_HIGH~q ;
+wire \SPI_ADC|Selector0~0_combout ;
+wire \SPI_ADC|sr_state.IDLE~q ;
+wire \SPI_ADC|Selector1~0_combout ;
+wire \SPI_ADC|sr_state.WAIT_CSB_FALL~q ;
+wire \SPI_ADC|adc_start~0_combout ;
+wire \SPI_ADC|adc_start~q ;
+wire \SPI_ADC|Selector5~0_combout ;
+wire \SPI_ADC|state[2]~2_combout ;
+wire \SPI_ADC|WideOr0~0_combout ;
+wire \SPI_ADC|shift_ena~q ;
+wire \SPI_ADC|always3~0_combout ;
+wire \SPI_ADC|Decoder0~0_combout ;
+wire \SPI_ADC|adc_done~q ;
+wire \fin_address|Add0~1_sumout ;
+wire \fin_address|address[0]~feeder_combout ;
+wire \SPI_ADC|shift_reg[1]~feeder_combout ;
+wire \fin_address|Add0~2 ;
+wire \fin_address|Add0~5_sumout ;
+wire \fin_address|address[1]~feeder_combout ;
+wire \SPI_ADC|shift_reg[1]~DUPLICATE_q ;
+wire \fin_address|Add0~6 ;
+wire \fin_address|Add0~9_sumout ;
+wire \fin_address|address[2]~feeder_combout ;
+wire \SPI_ADC|shift_reg[3]~feeder_combout ;
+wire \fin_address|Add0~10 ;
+wire \fin_address|Add0~13_sumout ;
+wire \fin_address|address[3]~feeder_combout ;
+wire \fin_address|Add0~14 ;
+wire \fin_address|Add0~17_sumout ;
+wire \fin_address|address[4]~feeder_combout ;
+wire \SPI_ADC|shift_reg[5]~feeder_combout ;
+wire \fin_address|Add0~18 ;
+wire \fin_address|Add0~21_sumout ;
+wire \fin_address|address[5]~feeder_combout ;
+wire \SPI_ADC|shift_reg[6]~feeder_combout ;
+wire \fin_address|Add0~22 ;
+wire \fin_address|Add0~25_sumout ;
+wire \fin_address|address[6]~feeder_combout ;
+wire \SPI_ADC|shift_reg[7]~feeder_combout ;
+wire \fin_address|Add0~26 ;
+wire \fin_address|Add0~29_sumout ;
+wire \fin_address|address[7]~feeder_combout ;
+wire \fin_address|Add0~30 ;
+wire \fin_address|Add0~33_sumout ;
+wire \fin_address|address[8]~feeder_combout ;
+wire \SPI_ADC|shift_reg[8]~DUPLICATE_q ;
+wire \fin_address|Add0~34 ;
+wire \fin_address|Add0~37_sumout ;
+wire \fin_address|address[9]~feeder_combout ;
+wire \dac|shift_reg[11]~feeder_combout ;
+wire \dac|shift_reg[10]~feeder_combout ;
+wire \dac|shift_reg[9]~feeder_combout ;
+wire \dac|shift_reg[8]~feeder_combout ;
+wire \dac|shift_reg[7]~feeder_combout ;
+wire \dac|shift_reg[6]~feeder_combout ;
+wire \dac|shift_reg[5]~feeder_combout ;
+wire \dac|shift_reg[4]~feeder_combout ;
+wire \dac|shift_reg[3]~feeder_combout ;
+wire \dac|shift_reg~4_combout ;
+wire \dac|always5~0_combout ;
+wire \dac|shift_reg~3_combout ;
+wire \dac|shift_reg~2_combout ;
+wire \dac|shift_reg~1_combout ;
+wire \dac|shift_reg~0_combout ;
+wire \dac|Equal2~0_combout ;
+wire \dac|dac_sck~combout ;
+wire \SPI_ADC|state[2]~DUPLICATE_q ;
+wire \SPI_ADC|Selector6~0_combout ;
+wire \SPI_ADC|adc_din~q ;
+wire \SPI_ADC|adc_sck~combout ;
+wire \p|count[0]~0_combout ;
+wire \p|count[0]~DUPLICATE_q ;
+wire \p|Add0~33_sumout ;
+wire \p|Add0~34 ;
+wire \p|Add0~29_sumout ;
+wire \p|Add0~30 ;
+wire \p|Add0~25_sumout ;
+wire \p|Add0~26 ;
+wire \p|Add0~21_sumout ;
+wire \p|Add0~22 ;
+wire \p|Add0~17_sumout ;
+wire \p|Add0~18 ;
+wire \p|Add0~13_sumout ;
+wire \p|Add0~14 ;
+wire \p|Add0~9_sumout ;
+wire \p|Add0~10 ;
+wire \p|Add0~5_sumout ;
+wire \p|d[7]~feeder_combout ;
+wire \p|LessThan0~1_combout ;
+wire \p|d[0]~feeder_combout ;
+wire \p|LessThan0~2_combout ;
+wire \p|LessThan0~3_combout ;
+wire \p|LessThan0~4_combout ;
+wire \p|Add0~6 ;
+wire \p|Add0~1_sumout ;
+wire \p|LessThan0~0_combout ;
+wire \p|LessThan0~5_combout ;
+wire \p|pwm_out~q ;
+wire \mult|lpm_mult_component|mult_core|romout[1][17]~5_combout ;
+wire \mult|lpm_mult_component|mult_core|romout[0][17]~4_combout ;
+wire \mult|lpm_mult_component|mult_core|romout[0][16]~3_combout ;
+wire \mult|lpm_mult_component|mult_core|romout[0][15]~2_combout ;
+wire \mult|lpm_mult_component|mult_core|romout[0][14]~1_combout ;
+wire \mult|lpm_mult_component|mult_core|romout[1][9]~0_combout ;
+wire \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~70_cout ;
+wire \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~66_cout ;
+wire \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~62_cout ;
+wire \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~58_cout ;
+wire \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~54_cout ;
+wire \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~10_cout ;
+wire \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~2 ;
+wire \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~6 ;
+wire \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~14 ;
+wire \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~18 ;
+wire \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~22 ;
+wire \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~26 ;
+wire \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~30 ;
+wire \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~34 ;
+wire \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~38 ;
+wire \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~42 ;
+wire \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~46 ;
+wire \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~49_sumout ;
+wire \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~45_sumout ;
+wire \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~41_sumout ;
+wire \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~37_sumout ;
+wire \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~33_sumout ;
+wire \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~29_sumout ;
+wire \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~25_sumout ;
+wire \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~21_sumout ;
+wire \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~17_sumout ;
+wire \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~13_sumout ;
+wire \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~2 ;
+wire \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~6 ;
+wire \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~10 ;
+wire \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~14 ;
+wire \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~18 ;
+wire \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~22 ;
+wire \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~26 ;
+wire \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~30 ;
+wire \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~34 ;
+wire \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~38 ;
+wire \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~41_sumout ;
+wire \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~37_sumout ;
+wire \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~33_sumout ;
+wire \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~42 ;
+wire \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~45_sumout ;
+wire \bcd|A2|WideOr1~0_combout ;
+wire \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~29_sumout ;
+wire \bcd|A2|WideOr3~0_combout ;
+wire \bcd|A2|WideOr2~0_combout ;
+wire \bcd|A4|WideOr2~0_combout ;
+wire \bcd|A4|WideOr1~0_combout ;
+wire \bcd|A4|WideOr3~0_combout ;
+wire \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~25_sumout ;
+wire \bcd|A6|WideOr1~0_combout ;
+wire \bcd|A6|WideOr2~0_combout ;
+wire \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~21_sumout ;
+wire \bcd|A6|WideOr3~0_combout ;
+wire \bcd|A8|WideOr3~0_combout ;
+wire \bcd|A8|WideOr2~0_combout ;
+wire \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~17_sumout ;
+wire \bcd|A8|WideOr1~0_combout ;
+wire \bcd|A11|WideOr3~0_combout ;
+wire \bcd|A11|WideOr1~0_combout ;
+wire \bcd|A11|WideOr2~0_combout ;
+wire \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~13_sumout ;
+wire \bcd|A14|WideOr1~0_combout ;
+wire \bcd|A14|WideOr3~0_combout ;
+wire \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~9_sumout ;
+wire \bcd|A14|WideOr2~0_combout ;
+wire \bcd|A17|WideOr1~0_combout ;
+wire \bcd|A17|WideOr3~0_combout ;
+wire \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~5_sumout ;
+wire \bcd|A17|WideOr2~0_combout ;
+wire \bcd|A21|WideOr3~0_combout ;
+wire \bcd|A21|WideOr1~0_combout ;
+wire \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~1_sumout ;
+wire \bcd|A21|WideOr2~0_combout ;
+wire \bcd|A25|WideOr3~0_combout ;
+wire \bcd|A25|WideOr1~0_combout ;
+wire \bcd|A25|WideOr2~0_combout ;
+wire \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~5_sumout ;
+wire \bcd|A29|WideOr1~0_combout ;
+wire \bcd|A29|WideOr2~0_combout ;
+wire \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~1_sumout ;
+wire \bcd|A29|WideOr3~0_combout ;
+wire \h0|WideOr6~0_combout ;
+wire \h0|WideOr5~0_combout ;
+wire \h0|WideOr4~0_combout ;
+wire \h0|WideOr3~0_combout ;
+wire \h0|WideOr2~0_combout ;
+wire \h0|WideOr1~0_combout ;
+wire \h0|WideOr0~0_combout ;
+wire \bcd|A25|WideOr0~0_combout ;
+wire \bcd|A17|WideOr0~0_combout ;
+wire \bcd|A14|WideOr0~0_combout ;
+wire \bcd|A7|WideOr2~0_combout ;
+wire \bcd|A7|WideOr3~0_combout ;
+wire \bcd|A8|WideOr0~0_combout ;
+wire \bcd|A7|WideOr1~0_combout ;
+wire \bcd|A10|WideOr2~0_combout ;
+wire \bcd|A11|WideOr0~0_combout ;
+wire \bcd|A10|WideOr1~0_combout ;
+wire \bcd|A10|WideOr3~0_combout ;
+wire \bcd|A13|WideOr1~0_combout ;
+wire \bcd|A13|WideOr2~0_combout ;
+wire \bcd|A13|WideOr3~0_combout ;
+wire \bcd|A16|WideOr1~0_combout ;
+wire \bcd|A16|WideOr3~0_combout ;
+wire \bcd|A16|WideOr2~0_combout ;
+wire \bcd|A20|WideOr3~0_combout ;
+wire \bcd|A20|WideOr2~0_combout ;
+wire \bcd|A21|WideOr0~0_combout ;
+wire \bcd|A20|WideOr1~0_combout ;
+wire \bcd|A24|WideOr1~0_combout ;
+wire \bcd|A24|WideOr2~0_combout ;
+wire \bcd|A24|WideOr3~0_combout ;
+wire \bcd|A28|WideOr3~0_combout ;
+wire \bcd|A28|WideOr2~0_combout ;
+wire \bcd|A29|WideOr0~0_combout ;
+wire \bcd|A28|WideOr1~0_combout ;
+wire \h1|WideOr6~0_combout ;
+wire \h1|WideOr5~0_combout ;
+wire \h1|WideOr4~0_combout ;
+wire \h1|WideOr3~0_combout ;
+wire \h1|WideOr2~0_combout ;
+wire \h1|WideOr1~0_combout ;
+wire \h1|WideOr0~0_combout ;
+wire \bcd|A6|WideOr0~0_combout ;
+wire \bcd|A1|WideOr0~0_combout ;
+wire \bcd|A2|WideOr0~0_combout ;
+wire \bcd|A4|WideOr0~0_combout ;
+wire \bcd|A15|WideOr1~0_combout ;
+wire \bcd|A16|WideOr0~0_combout ;
+wire \bcd|A15|WideOr3~0_combout ;
+wire \bcd|A15|WideOr2~0_combout ;
+wire \bcd|A19|WideOr1~0_combout ;
+wire \bcd|A20|WideOr0~0_combout ;
+wire \bcd|A19|WideOr2~0_combout ;
+wire \bcd|A19|WideOr3~0_combout ;
+wire \bcd|A23|WideOr2~0_combout ;
+wire \bcd|A23|WideOr3~0_combout ;
+wire \bcd|A23|WideOr1~0_combout ;
+wire \bcd|A24|WideOr0~0_combout ;
+wire \bcd|A27|WideOr3~0_combout ;
+wire \bcd|A28|WideOr0~0_combout ;
+wire \bcd|A27|WideOr2~0_combout ;
+wire \bcd|A27|WideOr1~0_combout ;
+wire \h2|WideOr6~0_combout ;
+wire \h2|WideOr5~0_combout ;
+wire \h2|WideOr4~0_combout ;
+wire \h2|WideOr3~0_combout ;
+wire \h2|WideOr2~0_combout ;
+wire \h2|WideOr1~0_combout ;
+wire \h2|WideOr0~0_combout ;
+wire \bcd|A27|WideOr0~0_combout ;
+wire \bcd|A23|WideOr0~0_combout ;
+wire \bcd|A19|WideOr0~0_combout ;
+wire \bcd|A15|WideOr0~0_combout ;
+wire \bcd|A10|WideOr0~0_combout ;
+wire \bcd|A7|WideOr0~0_combout ;
+wire \bcd|A5|WideOr0~0_combout ;
+wire \bcd|A12|WideOr0~0_combout ;
+wire \bcd|A26|Decoder0~0_combout ;
+wire \bcd|A26|Decoder0~2_combout ;
+wire \bcd|A26|WideOr2~combout ;
+wire \bcd|A26|Decoder0~1_combout ;
+wire \bcd|A26|WideOr3~0_combout ;
+wire \bcd|A26|Decoder0~3_combout ;
+wire \bcd|A26|WideOr1~combout ;
+wire \h3|WideOr6~0_combout ;
+wire \h3|WideOr5~0_combout ;
+wire \h3|WideOr4~0_combout ;
+wire \h3|WideOr3~0_combout ;
+wire \h3|WideOr2~0_combout ;
+wire \h3|WideOr1~0_combout ;
+wire \h3|WideOr0~0_combout ;
+wire \bcd|A26|Decoder0~4_combout ;
+wire \bcd|A22|WideOr0~0_combout ;
+wire \h4|Decoder0~0_combout ;
+wire \bcd|A13|WideOr0~0_combout ;
+wire \h4|Decoder0~2_combout ;
+wire \bcd|A26|WideOr0~combout ;
+wire \h4|Decoder0~1_combout ;
+wire [4:0] \dac|state ;
+wire [9:0] \rom|altsyncram_component|auto_generated|q_a ;
+wire [15:0] \tick|count ;
+wire [9:0] \p|d ;
+wire [15:0] \dac|shift_reg ;
+wire [4:0] \SPI_ADC|ctr ;
+wire [4:0] \SPI_ADC|state ;
+wire [9:0] \p|count ;
+wire [9:0] \SPI_ADC|data_from_adc ;
+wire [9:0] \SPI_ADC|shift_reg ;
+wire [9:0] \fin_address|address ;
+
+wire [9:0] \rom|altsyncram_component|auto_generated|ram_block1a0_PORTADATAOUT_bus ;
+
+assign \rom|altsyncram_component|auto_generated|q_a [0] = \rom|altsyncram_component|auto_generated|ram_block1a0_PORTADATAOUT_bus [0];
+assign \rom|altsyncram_component|auto_generated|q_a [1] = \rom|altsyncram_component|auto_generated|ram_block1a0_PORTADATAOUT_bus [1];
+assign \rom|altsyncram_component|auto_generated|q_a [2] = \rom|altsyncram_component|auto_generated|ram_block1a0_PORTADATAOUT_bus [2];
+assign \rom|altsyncram_component|auto_generated|q_a [3] = \rom|altsyncram_component|auto_generated|ram_block1a0_PORTADATAOUT_bus [3];
+assign \rom|altsyncram_component|auto_generated|q_a [4] = \rom|altsyncram_component|auto_generated|ram_block1a0_PORTADATAOUT_bus [4];
+assign \rom|altsyncram_component|auto_generated|q_a [5] = \rom|altsyncram_component|auto_generated|ram_block1a0_PORTADATAOUT_bus [5];
+assign \rom|altsyncram_component|auto_generated|q_a [6] = \rom|altsyncram_component|auto_generated|ram_block1a0_PORTADATAOUT_bus [6];
+assign \rom|altsyncram_component|auto_generated|q_a [7] = \rom|altsyncram_component|auto_generated|ram_block1a0_PORTADATAOUT_bus [7];
+assign \rom|altsyncram_component|auto_generated|q_a [8] = \rom|altsyncram_component|auto_generated|ram_block1a0_PORTADATAOUT_bus [8];
+assign \rom|altsyncram_component|auto_generated|q_a [9] = \rom|altsyncram_component|auto_generated|ram_block1a0_PORTADATAOUT_bus [9];
+
+// Location: IOOBUF_X82_Y0_N42
+cyclonev_io_obuf \DAC_CS~output (
+ .i(\dac|WideNor0~combout ),
+ .oe(vcc),
+ .dynamicterminationcontrol(gnd),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .parallelterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(DAC_CS),
+ .obar());
+// synopsys translate_off
+defparam \DAC_CS~output .bus_hold = "false";
+defparam \DAC_CS~output .open_drain_output = "false";
+defparam \DAC_CS~output .shift_series_termination_control = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X58_Y0_N76
+cyclonev_io_obuf \DAC_SDI~output (
+ .i(\dac|shift_reg [15]),
+ .oe(vcc),
+ .dynamicterminationcontrol(gnd),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .parallelterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(DAC_SDI),
+ .obar());
+// synopsys translate_off
+defparam \DAC_SDI~output .bus_hold = "false";
+defparam \DAC_SDI~output .open_drain_output = "false";
+defparam \DAC_SDI~output .shift_series_termination_control = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X68_Y0_N36
+cyclonev_io_obuf \DAC_LD~output (
+ .i(!\dac|Equal2~0_combout ),
+ .oe(vcc),
+ .dynamicterminationcontrol(gnd),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .parallelterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(DAC_LD),
+ .obar());
+// synopsys translate_off
+defparam \DAC_LD~output .bus_hold = "false";
+defparam \DAC_LD~output .open_drain_output = "false";
+defparam \DAC_LD~output .shift_series_termination_control = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X70_Y0_N2
+cyclonev_io_obuf \DAC_SCK~output (
+ .i(!\dac|dac_sck~combout ),
+ .oe(vcc),
+ .dynamicterminationcontrol(gnd),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .parallelterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(DAC_SCK),
+ .obar());
+// synopsys translate_off
+defparam \DAC_SCK~output .bus_hold = "false";
+defparam \DAC_SCK~output .open_drain_output = "false";
+defparam \DAC_SCK~output .shift_series_termination_control = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X54_Y0_N2
+cyclonev_io_obuf \ADC_SDI~output (
+ .i(\SPI_ADC|adc_din~q ),
+ .oe(vcc),
+ .dynamicterminationcontrol(gnd),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .parallelterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(ADC_SDI),
+ .obar());
+// synopsys translate_off
+defparam \ADC_SDI~output .bus_hold = "false";
+defparam \ADC_SDI~output .open_drain_output = "false";
+defparam \ADC_SDI~output .shift_series_termination_control = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X70_Y0_N19
+cyclonev_io_obuf \ADC_SCK~output (
+ .i(!\SPI_ADC|adc_sck~combout ),
+ .oe(vcc),
+ .dynamicterminationcontrol(gnd),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .parallelterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(ADC_SCK),
+ .obar());
+// synopsys translate_off
+defparam \ADC_SCK~output .bus_hold = "false";
+defparam \ADC_SCK~output .open_drain_output = "false";
+defparam \ADC_SCK~output .shift_series_termination_control = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X62_Y0_N19
+cyclonev_io_obuf \ADC_CS~output (
+ .i(!\SPI_ADC|adc_cs~q ),
+ .oe(vcc),
+ .dynamicterminationcontrol(gnd),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .parallelterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(ADC_CS),
+ .obar());
+// synopsys translate_off
+defparam \ADC_CS~output .bus_hold = "false";
+defparam \ADC_CS~output .open_drain_output = "false";
+defparam \ADC_CS~output .shift_series_termination_control = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X62_Y0_N36
+cyclonev_io_obuf \PWM_OUT~output (
+ .i(\p|pwm_out~q ),
+ .oe(vcc),
+ .dynamicterminationcontrol(gnd),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .parallelterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(PWM_OUT),
+ .obar());
+// synopsys translate_off
+defparam \PWM_OUT~output .bus_hold = "false";
+defparam \PWM_OUT~output .open_drain_output = "false";
+defparam \PWM_OUT~output .shift_series_termination_control = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X89_Y8_N39
+cyclonev_io_obuf \HEX0[0]~output (
+ .i(\h0|WideOr6~0_combout ),
+ .oe(vcc),
+ .dynamicterminationcontrol(gnd),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .parallelterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(HEX0[0]),
+ .obar());
+// synopsys translate_off
+defparam \HEX0[0]~output .bus_hold = "false";
+defparam \HEX0[0]~output .open_drain_output = "false";
+defparam \HEX0[0]~output .shift_series_termination_control = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X89_Y11_N79
+cyclonev_io_obuf \HEX0[1]~output (
+ .i(\h0|WideOr5~0_combout ),
+ .oe(vcc),
+ .dynamicterminationcontrol(gnd),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .parallelterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(HEX0[1]),
+ .obar());
+// synopsys translate_off
+defparam \HEX0[1]~output .bus_hold = "false";
+defparam \HEX0[1]~output .open_drain_output = "false";
+defparam \HEX0[1]~output .shift_series_termination_control = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X89_Y11_N96
+cyclonev_io_obuf \HEX0[2]~output (
+ .i(\h0|WideOr4~0_combout ),
+ .oe(vcc),
+ .dynamicterminationcontrol(gnd),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .parallelterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(HEX0[2]),
+ .obar());
+// synopsys translate_off
+defparam \HEX0[2]~output .bus_hold = "false";
+defparam \HEX0[2]~output .open_drain_output = "false";
+defparam \HEX0[2]~output .shift_series_termination_control = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X89_Y4_N79
+cyclonev_io_obuf \HEX0[3]~output (
+ .i(\h0|WideOr3~0_combout ),
+ .oe(vcc),
+ .dynamicterminationcontrol(gnd),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .parallelterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(HEX0[3]),
+ .obar());
+// synopsys translate_off
+defparam \HEX0[3]~output .bus_hold = "false";
+defparam \HEX0[3]~output .open_drain_output = "false";
+defparam \HEX0[3]~output .shift_series_termination_control = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X89_Y13_N56
+cyclonev_io_obuf \HEX0[4]~output (
+ .i(\h0|WideOr2~0_combout ),
+ .oe(vcc),
+ .dynamicterminationcontrol(gnd),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .parallelterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(HEX0[4]),
+ .obar());
+// synopsys translate_off
+defparam \HEX0[4]~output .bus_hold = "false";
+defparam \HEX0[4]~output .open_drain_output = "false";
+defparam \HEX0[4]~output .shift_series_termination_control = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X89_Y13_N39
+cyclonev_io_obuf \HEX0[5]~output (
+ .i(\h0|WideOr1~0_combout ),
+ .oe(vcc),
+ .dynamicterminationcontrol(gnd),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .parallelterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(HEX0[5]),
+ .obar());
+// synopsys translate_off
+defparam \HEX0[5]~output .bus_hold = "false";
+defparam \HEX0[5]~output .open_drain_output = "false";
+defparam \HEX0[5]~output .shift_series_termination_control = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X89_Y4_N96
+cyclonev_io_obuf \HEX0[6]~output (
+ .i(!\h0|WideOr0~0_combout ),
+ .oe(vcc),
+ .dynamicterminationcontrol(gnd),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .parallelterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(HEX0[6]),
+ .obar());
+// synopsys translate_off
+defparam \HEX0[6]~output .bus_hold = "false";
+defparam \HEX0[6]~output .open_drain_output = "false";
+defparam \HEX0[6]~output .shift_series_termination_control = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X89_Y6_N39
+cyclonev_io_obuf \HEX1[0]~output (
+ .i(!\h1|WideOr6~0_combout ),
+ .oe(vcc),
+ .dynamicterminationcontrol(gnd),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .parallelterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(HEX1[0]),
+ .obar());
+// synopsys translate_off
+defparam \HEX1[0]~output .bus_hold = "false";
+defparam \HEX1[0]~output .open_drain_output = "false";
+defparam \HEX1[0]~output .shift_series_termination_control = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X89_Y6_N56
+cyclonev_io_obuf \HEX1[1]~output (
+ .i(\h1|WideOr5~0_combout ),
+ .oe(vcc),
+ .dynamicterminationcontrol(gnd),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .parallelterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(HEX1[1]),
+ .obar());
+// synopsys translate_off
+defparam \HEX1[1]~output .bus_hold = "false";
+defparam \HEX1[1]~output .open_drain_output = "false";
+defparam \HEX1[1]~output .shift_series_termination_control = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X89_Y16_N39
+cyclonev_io_obuf \HEX1[2]~output (
+ .i(\h1|WideOr4~0_combout ),
+ .oe(vcc),
+ .dynamicterminationcontrol(gnd),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .parallelterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(HEX1[2]),
+ .obar());
+// synopsys translate_off
+defparam \HEX1[2]~output .bus_hold = "false";
+defparam \HEX1[2]~output .open_drain_output = "false";
+defparam \HEX1[2]~output .shift_series_termination_control = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X89_Y16_N56
+cyclonev_io_obuf \HEX1[3]~output (
+ .i(!\h1|WideOr3~0_combout ),
+ .oe(vcc),
+ .dynamicterminationcontrol(gnd),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .parallelterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(HEX1[3]),
+ .obar());
+// synopsys translate_off
+defparam \HEX1[3]~output .bus_hold = "false";
+defparam \HEX1[3]~output .open_drain_output = "false";
+defparam \HEX1[3]~output .shift_series_termination_control = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X89_Y15_N39
+cyclonev_io_obuf \HEX1[4]~output (
+ .i(!\h1|WideOr2~0_combout ),
+ .oe(vcc),
+ .dynamicterminationcontrol(gnd),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .parallelterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(HEX1[4]),
+ .obar());
+// synopsys translate_off
+defparam \HEX1[4]~output .bus_hold = "false";
+defparam \HEX1[4]~output .open_drain_output = "false";
+defparam \HEX1[4]~output .shift_series_termination_control = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X89_Y15_N56
+cyclonev_io_obuf \HEX1[5]~output (
+ .i(!\h1|WideOr1~0_combout ),
+ .oe(vcc),
+ .dynamicterminationcontrol(gnd),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .parallelterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(HEX1[5]),
+ .obar());
+// synopsys translate_off
+defparam \HEX1[5]~output .bus_hold = "false";
+defparam \HEX1[5]~output .open_drain_output = "false";
+defparam \HEX1[5]~output .shift_series_termination_control = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X89_Y8_N56
+cyclonev_io_obuf \HEX1[6]~output (
+ .i(!\h1|WideOr0~0_combout ),
+ .oe(vcc),
+ .dynamicterminationcontrol(gnd),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .parallelterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(HEX1[6]),
+ .obar());
+// synopsys translate_off
+defparam \HEX1[6]~output .bus_hold = "false";
+defparam \HEX1[6]~output .open_drain_output = "false";
+defparam \HEX1[6]~output .shift_series_termination_control = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X89_Y9_N22
+cyclonev_io_obuf \HEX2[0]~output (
+ .i(!\h2|WideOr6~0_combout ),
+ .oe(vcc),
+ .dynamicterminationcontrol(gnd),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .parallelterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(HEX2[0]),
+ .obar());
+// synopsys translate_off
+defparam \HEX2[0]~output .bus_hold = "false";
+defparam \HEX2[0]~output .open_drain_output = "false";
+defparam \HEX2[0]~output .shift_series_termination_control = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X89_Y23_N39
+cyclonev_io_obuf \HEX2[1]~output (
+ .i(\h2|WideOr5~0_combout ),
+ .oe(vcc),
+ .dynamicterminationcontrol(gnd),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .parallelterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(HEX2[1]),
+ .obar());
+// synopsys translate_off
+defparam \HEX2[1]~output .bus_hold = "false";
+defparam \HEX2[1]~output .open_drain_output = "false";
+defparam \HEX2[1]~output .shift_series_termination_control = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X89_Y23_N56
+cyclonev_io_obuf \HEX2[2]~output (
+ .i(\h2|WideOr4~0_combout ),
+ .oe(vcc),
+ .dynamicterminationcontrol(gnd),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .parallelterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(HEX2[2]),
+ .obar());
+// synopsys translate_off
+defparam \HEX2[2]~output .bus_hold = "false";
+defparam \HEX2[2]~output .open_drain_output = "false";
+defparam \HEX2[2]~output .shift_series_termination_control = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X89_Y20_N79
+cyclonev_io_obuf \HEX2[3]~output (
+ .i(!\h2|WideOr3~0_combout ),
+ .oe(vcc),
+ .dynamicterminationcontrol(gnd),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .parallelterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(HEX2[3]),
+ .obar());
+// synopsys translate_off
+defparam \HEX2[3]~output .bus_hold = "false";
+defparam \HEX2[3]~output .open_drain_output = "false";
+defparam \HEX2[3]~output .shift_series_termination_control = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X89_Y25_N39
+cyclonev_io_obuf \HEX2[4]~output (
+ .i(!\h2|WideOr2~0_combout ),
+ .oe(vcc),
+ .dynamicterminationcontrol(gnd),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .parallelterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(HEX2[4]),
+ .obar());
+// synopsys translate_off
+defparam \HEX2[4]~output .bus_hold = "false";
+defparam \HEX2[4]~output .open_drain_output = "false";
+defparam \HEX2[4]~output .shift_series_termination_control = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X89_Y20_N96
+cyclonev_io_obuf \HEX2[5]~output (
+ .i(!\h2|WideOr1~0_combout ),
+ .oe(vcc),
+ .dynamicterminationcontrol(gnd),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .parallelterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(HEX2[5]),
+ .obar());
+// synopsys translate_off
+defparam \HEX2[5]~output .bus_hold = "false";
+defparam \HEX2[5]~output .open_drain_output = "false";
+defparam \HEX2[5]~output .shift_series_termination_control = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X89_Y25_N56
+cyclonev_io_obuf \HEX2[6]~output (
+ .i(!\h2|WideOr0~0_combout ),
+ .oe(vcc),
+ .dynamicterminationcontrol(gnd),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .parallelterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(HEX2[6]),
+ .obar());
+// synopsys translate_off
+defparam \HEX2[6]~output .bus_hold = "false";
+defparam \HEX2[6]~output .open_drain_output = "false";
+defparam \HEX2[6]~output .shift_series_termination_control = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X89_Y16_N5
+cyclonev_io_obuf \HEX3[0]~output (
+ .i(!\h3|WideOr6~0_combout ),
+ .oe(vcc),
+ .dynamicterminationcontrol(gnd),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .parallelterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(HEX3[0]),
+ .obar());
+// synopsys translate_off
+defparam \HEX3[0]~output .bus_hold = "false";
+defparam \HEX3[0]~output .open_drain_output = "false";
+defparam \HEX3[0]~output .shift_series_termination_control = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X89_Y16_N22
+cyclonev_io_obuf \HEX3[1]~output (
+ .i(\h3|WideOr5~0_combout ),
+ .oe(vcc),
+ .dynamicterminationcontrol(gnd),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .parallelterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(HEX3[1]),
+ .obar());
+// synopsys translate_off
+defparam \HEX3[1]~output .bus_hold = "false";
+defparam \HEX3[1]~output .open_drain_output = "false";
+defparam \HEX3[1]~output .shift_series_termination_control = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X89_Y4_N45
+cyclonev_io_obuf \HEX3[2]~output (
+ .i(\h3|WideOr4~0_combout ),
+ .oe(vcc),
+ .dynamicterminationcontrol(gnd),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .parallelterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(HEX3[2]),
+ .obar());
+// synopsys translate_off
+defparam \HEX3[2]~output .bus_hold = "false";
+defparam \HEX3[2]~output .open_drain_output = "false";
+defparam \HEX3[2]~output .shift_series_termination_control = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X89_Y4_N62
+cyclonev_io_obuf \HEX3[3]~output (
+ .i(!\h3|WideOr3~0_combout ),
+ .oe(vcc),
+ .dynamicterminationcontrol(gnd),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .parallelterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(HEX3[3]),
+ .obar());
+// synopsys translate_off
+defparam \HEX3[3]~output .bus_hold = "false";
+defparam \HEX3[3]~output .open_drain_output = "false";
+defparam \HEX3[3]~output .shift_series_termination_control = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X89_Y21_N39
+cyclonev_io_obuf \HEX3[4]~output (
+ .i(!\h3|WideOr2~0_combout ),
+ .oe(vcc),
+ .dynamicterminationcontrol(gnd),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .parallelterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(HEX3[4]),
+ .obar());
+// synopsys translate_off
+defparam \HEX3[4]~output .bus_hold = "false";
+defparam \HEX3[4]~output .open_drain_output = "false";
+defparam \HEX3[4]~output .shift_series_termination_control = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X89_Y11_N62
+cyclonev_io_obuf \HEX3[5]~output (
+ .i(!\h3|WideOr1~0_combout ),
+ .oe(vcc),
+ .dynamicterminationcontrol(gnd),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .parallelterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(HEX3[5]),
+ .obar());
+// synopsys translate_off
+defparam \HEX3[5]~output .bus_hold = "false";
+defparam \HEX3[5]~output .open_drain_output = "false";
+defparam \HEX3[5]~output .shift_series_termination_control = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X89_Y9_N5
+cyclonev_io_obuf \HEX3[6]~output (
+ .i(!\h3|WideOr0~0_combout ),
+ .oe(vcc),
+ .dynamicterminationcontrol(gnd),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .parallelterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(HEX3[6]),
+ .obar());
+// synopsys translate_off
+defparam \HEX3[6]~output .bus_hold = "false";
+defparam \HEX3[6]~output .open_drain_output = "false";
+defparam \HEX3[6]~output .shift_series_termination_control = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X89_Y11_N45
+cyclonev_io_obuf \HEX4[0]~output (
+ .i(\h4|Decoder0~0_combout ),
+ .oe(vcc),
+ .dynamicterminationcontrol(gnd),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .parallelterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(HEX4[0]),
+ .obar());
+// synopsys translate_off
+defparam \HEX4[0]~output .bus_hold = "false";
+defparam \HEX4[0]~output .open_drain_output = "false";
+defparam \HEX4[0]~output .shift_series_termination_control = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X89_Y13_N5
+cyclonev_io_obuf \HEX4[1]~output (
+ .i(gnd),
+ .oe(vcc),
+ .dynamicterminationcontrol(gnd),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .parallelterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(HEX4[1]),
+ .obar());
+// synopsys translate_off
+defparam \HEX4[1]~output .bus_hold = "false";
+defparam \HEX4[1]~output .open_drain_output = "false";
+defparam \HEX4[1]~output .shift_series_termination_control = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X89_Y13_N22
+cyclonev_io_obuf \HEX4[2]~output (
+ .i(\h4|Decoder0~2_combout ),
+ .oe(vcc),
+ .dynamicterminationcontrol(gnd),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .parallelterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(HEX4[2]),
+ .obar());
+// synopsys translate_off
+defparam \HEX4[2]~output .bus_hold = "false";
+defparam \HEX4[2]~output .open_drain_output = "false";
+defparam \HEX4[2]~output .shift_series_termination_control = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X89_Y8_N22
+cyclonev_io_obuf \HEX4[3]~output (
+ .i(\h4|Decoder0~0_combout ),
+ .oe(vcc),
+ .dynamicterminationcontrol(gnd),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .parallelterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(HEX4[3]),
+ .obar());
+// synopsys translate_off
+defparam \HEX4[3]~output .bus_hold = "false";
+defparam \HEX4[3]~output .open_drain_output = "false";
+defparam \HEX4[3]~output .shift_series_termination_control = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X89_Y15_N22
+cyclonev_io_obuf \HEX4[4]~output (
+ .i(!\bcd|A26|WideOr0~combout ),
+ .oe(vcc),
+ .dynamicterminationcontrol(gnd),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .parallelterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(HEX4[4]),
+ .obar());
+// synopsys translate_off
+defparam \HEX4[4]~output .bus_hold = "false";
+defparam \HEX4[4]~output .open_drain_output = "false";
+defparam \HEX4[4]~output .shift_series_termination_control = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X89_Y15_N5
+cyclonev_io_obuf \HEX4[5]~output (
+ .i(!\h4|Decoder0~1_combout ),
+ .oe(vcc),
+ .dynamicterminationcontrol(gnd),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .parallelterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(HEX4[5]),
+ .obar());
+// synopsys translate_off
+defparam \HEX4[5]~output .bus_hold = "false";
+defparam \HEX4[5]~output .open_drain_output = "false";
+defparam \HEX4[5]~output .shift_series_termination_control = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X89_Y20_N45
+cyclonev_io_obuf \HEX4[6]~output (
+ .i(\bcd|A22|WideOr0~0_combout ),
+ .oe(vcc),
+ .dynamicterminationcontrol(gnd),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .parallelterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(HEX4[6]),
+ .obar());
+// synopsys translate_off
+defparam \HEX4[6]~output .bus_hold = "false";
+defparam \HEX4[6]~output .open_drain_output = "false";
+defparam \HEX4[6]~output .shift_series_termination_control = "false";
+// synopsys translate_on
+
+// Location: IOIBUF_X32_Y0_N1
+cyclonev_io_ibuf \CLOCK_50~input (
+ .i(CLOCK_50),
+ .ibar(gnd),
+ .dynamicterminationcontrol(gnd),
+ .o(\CLOCK_50~input_o ));
+// synopsys translate_off
+defparam \CLOCK_50~input .bus_hold = "false";
+defparam \CLOCK_50~input .simulate_z_as = "z";
+// synopsys translate_on
+
+// Location: LABCELL_X74_Y6_N57
+cyclonev_lcell_comb \dac|clk_1MHz~0 (
+// Equation(s):
+// \dac|clk_1MHz~0_combout = !\dac|clk_1MHz~q
+
+ .dataa(gnd),
+ .datab(!\dac|clk_1MHz~q ),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\dac|clk_1MHz~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \dac|clk_1MHz~0 .extended_lut = "off";
+defparam \dac|clk_1MHz~0 .lut_mask = 64'hCCCCCCCCCCCCCCCC;
+defparam \dac|clk_1MHz~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: CLKCTRL_G6
+cyclonev_clkena \CLOCK_50~inputCLKENA0 (
+ .inclk(\CLOCK_50~input_o ),
+ .ena(vcc),
+ .outclk(\CLOCK_50~inputCLKENA0_outclk ),
+ .enaout());
+// synopsys translate_off
+defparam \CLOCK_50~inputCLKENA0 .clock_type = "global clock";
+defparam \CLOCK_50~inputCLKENA0 .disable_mode = "low";
+defparam \CLOCK_50~inputCLKENA0 .ena_register_mode = "always enabled";
+defparam \CLOCK_50~inputCLKENA0 .ena_register_power_up = "high";
+defparam \CLOCK_50~inputCLKENA0 .test_syn = "high";
+// synopsys translate_on
+
+// Location: FF_X73_Y6_N44
+dffeas \SPI_ADC|ctr[0] (
+ .clk(\CLOCK_50~inputCLKENA0_outclk ),
+ .d(\SPI_ADC|ctr~1_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\SPI_ADC|ctr [0]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \SPI_ADC|ctr[0] .is_wysiwyg = "true";
+defparam \SPI_ADC|ctr[0] .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X73_Y6_N42
+cyclonev_lcell_comb \SPI_ADC|ctr~1 (
+// Equation(s):
+// \SPI_ADC|ctr~1_combout = ( !\SPI_ADC|ctr [0] & ( !\dac|Equal0~0_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(!\SPI_ADC|ctr [0]),
+ .dataf(!\dac|Equal0~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\SPI_ADC|ctr~1_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \SPI_ADC|ctr~1 .extended_lut = "off";
+defparam \SPI_ADC|ctr~1 .lut_mask = 64'hFFFF000000000000;
+defparam \SPI_ADC|ctr~1 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X73_Y6_N43
+dffeas \SPI_ADC|ctr[0]~DUPLICATE (
+ .clk(\CLOCK_50~inputCLKENA0_outclk ),
+ .d(\SPI_ADC|ctr~1_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\SPI_ADC|ctr[0]~DUPLICATE_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \SPI_ADC|ctr[0]~DUPLICATE .is_wysiwyg = "true";
+defparam \SPI_ADC|ctr[0]~DUPLICATE .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X73_Y6_N57
+cyclonev_lcell_comb \SPI_ADC|ctr~2 (
+// Equation(s):
+// \SPI_ADC|ctr~2_combout = ( \SPI_ADC|ctr [1] & ( \SPI_ADC|ctr[0]~DUPLICATE_q & ( !\dac|Equal0~0_combout ) ) ) # ( !\SPI_ADC|ctr [1] & ( !\SPI_ADC|ctr[0]~DUPLICATE_q & ( !\dac|Equal0~0_combout ) ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(!\dac|Equal0~0_combout ),
+ .datae(!\SPI_ADC|ctr [1]),
+ .dataf(!\SPI_ADC|ctr[0]~DUPLICATE_q ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\SPI_ADC|ctr~2_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \SPI_ADC|ctr~2 .extended_lut = "off";
+defparam \SPI_ADC|ctr~2 .lut_mask = 64'hFF0000000000FF00;
+defparam \SPI_ADC|ctr~2 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X73_Y6_N58
+dffeas \SPI_ADC|ctr[1] (
+ .clk(\CLOCK_50~inputCLKENA0_outclk ),
+ .d(\SPI_ADC|ctr~2_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\SPI_ADC|ctr [1]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \SPI_ADC|ctr[1] .is_wysiwyg = "true";
+defparam \SPI_ADC|ctr[1] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X73_Y6_N59
+dffeas \SPI_ADC|ctr[1]~DUPLICATE (
+ .clk(\CLOCK_50~inputCLKENA0_outclk ),
+ .d(\SPI_ADC|ctr~2_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\SPI_ADC|ctr[1]~DUPLICATE_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \SPI_ADC|ctr[1]~DUPLICATE .is_wysiwyg = "true";
+defparam \SPI_ADC|ctr[1]~DUPLICATE .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X73_Y6_N31
+dffeas \SPI_ADC|ctr[2] (
+ .clk(\CLOCK_50~inputCLKENA0_outclk ),
+ .d(\SPI_ADC|ctr~0_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\SPI_ADC|ctr [2]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \SPI_ADC|ctr[2] .is_wysiwyg = "true";
+defparam \SPI_ADC|ctr[2] .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X73_Y6_N30
+cyclonev_lcell_comb \SPI_ADC|ctr~0 (
+// Equation(s):
+// \SPI_ADC|ctr~0_combout = ( \SPI_ADC|ctr [2] & ( \SPI_ADC|ctr[0]~DUPLICATE_q & ( !\dac|Equal0~0_combout ) ) ) # ( \SPI_ADC|ctr [2] & ( !\SPI_ADC|ctr[0]~DUPLICATE_q & ( (\SPI_ADC|ctr[1]~DUPLICATE_q & !\dac|Equal0~0_combout ) ) ) ) # ( !\SPI_ADC|ctr [2]
+// & ( !\SPI_ADC|ctr[0]~DUPLICATE_q & ( (!\SPI_ADC|ctr[1]~DUPLICATE_q & !\dac|Equal0~0_combout ) ) ) )
+
+ .dataa(gnd),
+ .datab(!\SPI_ADC|ctr[1]~DUPLICATE_q ),
+ .datac(!\dac|Equal0~0_combout ),
+ .datad(gnd),
+ .datae(!\SPI_ADC|ctr [2]),
+ .dataf(!\SPI_ADC|ctr[0]~DUPLICATE_q ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\SPI_ADC|ctr~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \SPI_ADC|ctr~0 .extended_lut = "off";
+defparam \SPI_ADC|ctr~0 .lut_mask = 64'hC0C030300000F0F0;
+defparam \SPI_ADC|ctr~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X73_Y6_N32
+dffeas \SPI_ADC|ctr[2]~DUPLICATE (
+ .clk(\CLOCK_50~inputCLKENA0_outclk ),
+ .d(\SPI_ADC|ctr~0_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\SPI_ADC|ctr[2]~DUPLICATE_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \SPI_ADC|ctr[2]~DUPLICATE .is_wysiwyg = "true";
+defparam \SPI_ADC|ctr[2]~DUPLICATE .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X73_Y6_N27
+cyclonev_lcell_comb \SPI_ADC|Add0~1 (
+// Equation(s):
+// \SPI_ADC|Add0~1_combout = ( \SPI_ADC|ctr [2] & ( \SPI_ADC|ctr [3] ) ) # ( !\SPI_ADC|ctr [2] & ( !\SPI_ADC|ctr [3] $ (((\SPI_ADC|ctr[1]~DUPLICATE_q ) # (\SPI_ADC|ctr [0]))) ) )
+
+ .dataa(gnd),
+ .datab(!\SPI_ADC|ctr [0]),
+ .datac(!\SPI_ADC|ctr[1]~DUPLICATE_q ),
+ .datad(!\SPI_ADC|ctr [3]),
+ .datae(gnd),
+ .dataf(!\SPI_ADC|ctr [2]),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\SPI_ADC|Add0~1_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \SPI_ADC|Add0~1 .extended_lut = "off";
+defparam \SPI_ADC|Add0~1 .lut_mask = 64'hC03FC03F00FF00FF;
+defparam \SPI_ADC|Add0~1 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X73_Y6_N29
+dffeas \SPI_ADC|ctr[3] (
+ .clk(\CLOCK_50~inputCLKENA0_outclk ),
+ .d(\SPI_ADC|Add0~1_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\SPI_ADC|ctr [3]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \SPI_ADC|ctr[3] .is_wysiwyg = "true";
+defparam \SPI_ADC|ctr[3] .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X73_Y6_N24
+cyclonev_lcell_comb \SPI_ADC|Add0~0 (
+// Equation(s):
+// \SPI_ADC|Add0~0_combout = ( \SPI_ADC|ctr [2] & ( \SPI_ADC|ctr [4] ) ) # ( !\SPI_ADC|ctr [2] & ( !\SPI_ADC|ctr [4] $ ((((\SPI_ADC|ctr[1]~DUPLICATE_q ) # (\SPI_ADC|ctr [0])) # (\SPI_ADC|ctr [3]))) ) )
+
+ .dataa(!\SPI_ADC|ctr [3]),
+ .datab(!\SPI_ADC|ctr [0]),
+ .datac(!\SPI_ADC|ctr[1]~DUPLICATE_q ),
+ .datad(!\SPI_ADC|ctr [4]),
+ .datae(gnd),
+ .dataf(!\SPI_ADC|ctr [2]),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\SPI_ADC|Add0~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \SPI_ADC|Add0~0 .extended_lut = "off";
+defparam \SPI_ADC|Add0~0 .lut_mask = 64'h807F807F00FF00FF;
+defparam \SPI_ADC|Add0~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X73_Y6_N25
+dffeas \SPI_ADC|ctr[4] (
+ .clk(\CLOCK_50~inputCLKENA0_outclk ),
+ .d(\SPI_ADC|Add0~0_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\SPI_ADC|ctr [4]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \SPI_ADC|ctr[4] .is_wysiwyg = "true";
+defparam \SPI_ADC|ctr[4] .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X74_Y6_N27
+cyclonev_lcell_comb \dac|Equal0~0 (
+// Equation(s):
+// \dac|Equal0~0_combout = ( !\SPI_ADC|ctr [3] & ( (!\SPI_ADC|ctr [1] & (!\SPI_ADC|ctr[2]~DUPLICATE_q & (!\SPI_ADC|ctr [0] & !\SPI_ADC|ctr [4]))) ) )
+
+ .dataa(!\SPI_ADC|ctr [1]),
+ .datab(!\SPI_ADC|ctr[2]~DUPLICATE_q ),
+ .datac(!\SPI_ADC|ctr [0]),
+ .datad(!\SPI_ADC|ctr [4]),
+ .datae(gnd),
+ .dataf(!\SPI_ADC|ctr [3]),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\dac|Equal0~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \dac|Equal0~0 .extended_lut = "off";
+defparam \dac|Equal0~0 .lut_mask = 64'h8000800000000000;
+defparam \dac|Equal0~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X74_Y6_N32
+dffeas \dac|clk_1MHz (
+ .clk(\CLOCK_50~input_o ),
+ .d(gnd),
+ .asdata(\dac|clk_1MHz~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(\dac|Equal0~0_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\dac|clk_1MHz~q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \dac|clk_1MHz .is_wysiwyg = "true";
+defparam \dac|clk_1MHz .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X72_Y6_N3
+cyclonev_lcell_comb \dac|state~2 (
+// Equation(s):
+// \dac|state~2_combout = ( \dac|state [2] & ( (!\dac|state [0]) # (!\dac|state [1]) ) ) # ( !\dac|state [2] & ( (\dac|state [0] & \dac|state [1]) ) )
+
+ .dataa(!\dac|state [0]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(!\dac|state [1]),
+ .datae(gnd),
+ .dataf(!\dac|state [2]),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\dac|state~2_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \dac|state~2 .extended_lut = "off";
+defparam \dac|state~2 .lut_mask = 64'h00550055FFAAFFAA;
+defparam \dac|state~2 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X72_Y6_N8
+dffeas \dac|state[2] (
+ .clk(\dac|clk_1MHz~q ),
+ .d(gnd),
+ .asdata(\dac|state~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\dac|state [2]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \dac|state[2] .is_wysiwyg = "true";
+defparam \dac|state[2] .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X72_Y6_N21
+cyclonev_lcell_comb \dac|state~3 (
+// Equation(s):
+// \dac|state~3_combout = ( \dac|state [1] & ( !\dac|state [3] $ (((!\dac|state [2]) # (!\dac|state [0]))) ) ) # ( !\dac|state [1] & ( \dac|state [3] ) )
+
+ .dataa(!\dac|state [3]),
+ .datab(gnd),
+ .datac(!\dac|state [2]),
+ .datad(!\dac|state [0]),
+ .datae(gnd),
+ .dataf(!\dac|state [1]),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\dac|state~3_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \dac|state~3 .extended_lut = "off";
+defparam \dac|state~3 .lut_mask = 64'h55555555555A555A;
+defparam \dac|state~3 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X72_Y6_N29
+dffeas \dac|state[3] (
+ .clk(\dac|clk_1MHz~q ),
+ .d(gnd),
+ .asdata(\dac|state~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\dac|state [3]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \dac|state[3] .is_wysiwyg = "true";
+defparam \dac|state[3] .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X72_Y6_N12
+cyclonev_lcell_comb \dac|state~0 (
+// Equation(s):
+// \dac|state~0_combout = ( \dac|state [1] & ( !\dac|state[4]~DUPLICATE_q $ (((!\dac|state [2]) # ((!\dac|state [0]) # (!\dac|state [3])))) ) ) # ( !\dac|state [1] & ( (\dac|state[4]~DUPLICATE_q & (((!\dac|state [0]) # (\dac|state [3])) # (\dac|state
+// [2]))) ) )
+
+ .dataa(!\dac|state[4]~DUPLICATE_q ),
+ .datab(!\dac|state [2]),
+ .datac(!\dac|state [0]),
+ .datad(!\dac|state [3]),
+ .datae(gnd),
+ .dataf(!\dac|state [1]),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\dac|state~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \dac|state~0 .extended_lut = "off";
+defparam \dac|state~0 .lut_mask = 64'h5155515555565556;
+defparam \dac|state~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X72_Y6_N36
+cyclonev_lcell_comb \dac|state[4]~feeder (
+// Equation(s):
+// \dac|state[4]~feeder_combout = \dac|state~0_combout
+
+ .dataa(gnd),
+ .datab(!\dac|state~0_combout ),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\dac|state[4]~feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \dac|state[4]~feeder .extended_lut = "off";
+defparam \dac|state[4]~feeder .lut_mask = 64'h3333333333333333;
+defparam \dac|state[4]~feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X72_Y6_N37
+dffeas \dac|state[4]~DUPLICATE (
+ .clk(\dac|clk_1MHz~q ),
+ .d(\dac|state[4]~feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\dac|state[4]~DUPLICATE_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \dac|state[4]~DUPLICATE .is_wysiwyg = "true";
+defparam \dac|state[4]~DUPLICATE .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X72_Y6_N27
+cyclonev_lcell_comb \dac|state~1 (
+// Equation(s):
+// \dac|state~1_combout = ( \dac|state[4]~DUPLICATE_q & ( (!\dac|state [0] & (\dac|state [1])) # (\dac|state [0] & (!\dac|state [1] & ((\dac|state [3]) # (\dac|state [2])))) ) ) # ( !\dac|state[4]~DUPLICATE_q & ( !\dac|state [0] $ (!\dac|state [1]) ) )
+
+ .dataa(!\dac|state [0]),
+ .datab(!\dac|state [1]),
+ .datac(!\dac|state [2]),
+ .datad(!\dac|state [3]),
+ .datae(gnd),
+ .dataf(!\dac|state[4]~DUPLICATE_q ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\dac|state~1_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \dac|state~1 .extended_lut = "off";
+defparam \dac|state~1 .lut_mask = 64'h6666666626662666;
+defparam \dac|state~1 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X72_Y6_N5
+dffeas \dac|state[1] (
+ .clk(\dac|clk_1MHz~q ),
+ .d(gnd),
+ .asdata(\dac|state~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\dac|state [1]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \dac|state[1] .is_wysiwyg = "true";
+defparam \dac|state[1] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X81_Y6_N49
+dffeas \tick|count[9] (
+ .clk(\CLOCK_50~inputCLKENA0_outclk ),
+ .d(\tick|count[9]~0_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\tick|count [9]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \tick|count[9] .is_wysiwyg = "true";
+defparam \tick|count[9] .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X82_Y6_N0
+cyclonev_lcell_comb \tick|Add0~9 (
+// Equation(s):
+// \tick|Add0~9_sumout = SUM(( !\tick|count [0] ) + ( VCC ) + ( !VCC ))
+// \tick|Add0~10 = CARRY(( !\tick|count [0] ) + ( VCC ) + ( !VCC ))
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(!\tick|count [0]),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\tick|Add0~9_sumout ),
+ .cout(\tick|Add0~10 ),
+ .shareout());
+// synopsys translate_off
+defparam \tick|Add0~9 .extended_lut = "off";
+defparam \tick|Add0~9 .lut_mask = 64'h000000000000FF00;
+defparam \tick|Add0~9 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X82_Y6_N54
+cyclonev_lcell_comb \tick|count[0]~1 (
+// Equation(s):
+// \tick|count[0]~1_combout = !\tick|Add0~9_sumout
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(!\tick|Add0~9_sumout ),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\tick|count[0]~1_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \tick|count[0]~1 .extended_lut = "off";
+defparam \tick|count[0]~1 .lut_mask = 64'hFF00FF00FF00FF00;
+defparam \tick|count[0]~1 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X82_Y6_N56
+dffeas \tick|count[0] (
+ .clk(\CLOCK_50~inputCLKENA0_outclk ),
+ .d(\tick|count[0]~1_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\tick|count [0]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \tick|count[0] .is_wysiwyg = "true";
+defparam \tick|count[0] .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X82_Y6_N3
+cyclonev_lcell_comb \tick|Add0~13 (
+// Equation(s):
+// \tick|Add0~13_sumout = SUM(( !\tick|count[1]~DUPLICATE_q ) + ( VCC ) + ( \tick|Add0~10 ))
+// \tick|Add0~14 = CARRY(( !\tick|count[1]~DUPLICATE_q ) + ( VCC ) + ( \tick|Add0~10 ))
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(!\tick|count[1]~DUPLICATE_q ),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(\tick|Add0~10 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\tick|Add0~13_sumout ),
+ .cout(\tick|Add0~14 ),
+ .shareout());
+// synopsys translate_off
+defparam \tick|Add0~13 .extended_lut = "off";
+defparam \tick|Add0~13 .lut_mask = 64'h000000000000FF00;
+defparam \tick|Add0~13 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X81_Y6_N57
+cyclonev_lcell_comb \tick|count[1]~2 (
+// Equation(s):
+// \tick|count[1]~2_combout = ( !\tick|Add0~13_sumout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(!\tick|Add0~13_sumout ),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\tick|count[1]~2_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \tick|count[1]~2 .extended_lut = "off";
+defparam \tick|count[1]~2 .lut_mask = 64'hFFFF0000FFFF0000;
+defparam \tick|count[1]~2 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X81_Y6_N58
+dffeas \tick|count[1]~DUPLICATE (
+ .clk(\CLOCK_50~inputCLKENA0_outclk ),
+ .d(\tick|count[1]~2_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\tick|count[1]~DUPLICATE_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \tick|count[1]~DUPLICATE .is_wysiwyg = "true";
+defparam \tick|count[1]~DUPLICATE .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X82_Y6_N6
+cyclonev_lcell_comb \tick|Add0~17 (
+// Equation(s):
+// \tick|Add0~17_sumout = SUM(( !\tick|count[2]~DUPLICATE_q ) + ( VCC ) + ( \tick|Add0~14 ))
+// \tick|Add0~18 = CARRY(( !\tick|count[2]~DUPLICATE_q ) + ( VCC ) + ( \tick|Add0~14 ))
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(!\tick|count[2]~DUPLICATE_q ),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(\tick|Add0~14 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\tick|Add0~17_sumout ),
+ .cout(\tick|Add0~18 ),
+ .shareout());
+// synopsys translate_off
+defparam \tick|Add0~17 .extended_lut = "off";
+defparam \tick|Add0~17 .lut_mask = 64'h000000000000FF00;
+defparam \tick|Add0~17 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X81_Y6_N21
+cyclonev_lcell_comb \tick|count[2]~3 (
+// Equation(s):
+// \tick|count[2]~3_combout = ( !\tick|Add0~17_sumout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\tick|Add0~17_sumout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\tick|count[2]~3_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \tick|count[2]~3 .extended_lut = "off";
+defparam \tick|count[2]~3 .lut_mask = 64'hFFFFFFFF00000000;
+defparam \tick|count[2]~3 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X81_Y6_N22
+dffeas \tick|count[2]~DUPLICATE (
+ .clk(\CLOCK_50~inputCLKENA0_outclk ),
+ .d(\tick|count[2]~3_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\tick|count[2]~DUPLICATE_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \tick|count[2]~DUPLICATE .is_wysiwyg = "true";
+defparam \tick|count[2]~DUPLICATE .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X82_Y6_N9
+cyclonev_lcell_comb \tick|Add0~33 (
+// Equation(s):
+// \tick|Add0~33_sumout = SUM(( \tick|count [3] ) + ( VCC ) + ( \tick|Add0~18 ))
+// \tick|Add0~34 = CARRY(( \tick|count [3] ) + ( VCC ) + ( \tick|Add0~18 ))
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(!\tick|count [3]),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(\tick|Add0~18 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\tick|Add0~33_sumout ),
+ .cout(\tick|Add0~34 ),
+ .shareout());
+// synopsys translate_off
+defparam \tick|Add0~33 .extended_lut = "off";
+defparam \tick|Add0~33 .lut_mask = 64'h00000000000000FF;
+defparam \tick|Add0~33 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X82_Y6_N10
+dffeas \tick|count[3] (
+ .clk(\CLOCK_50~inputCLKENA0_outclk ),
+ .d(\tick|Add0~33_sumout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\tick|Equal0~3_combout ),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\tick|count [3]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \tick|count[3] .is_wysiwyg = "true";
+defparam \tick|count[3] .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X82_Y6_N12
+cyclonev_lcell_comb \tick|Add0~37 (
+// Equation(s):
+// \tick|Add0~37_sumout = SUM(( \tick|count [4] ) + ( VCC ) + ( \tick|Add0~34 ))
+// \tick|Add0~38 = CARRY(( \tick|count [4] ) + ( VCC ) + ( \tick|Add0~34 ))
+
+ .dataa(gnd),
+ .datab(!\tick|count [4]),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(\tick|Add0~34 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\tick|Add0~37_sumout ),
+ .cout(\tick|Add0~38 ),
+ .shareout());
+// synopsys translate_off
+defparam \tick|Add0~37 .extended_lut = "off";
+defparam \tick|Add0~37 .lut_mask = 64'h0000000000003333;
+defparam \tick|Add0~37 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X82_Y6_N14
+dffeas \tick|count[4] (
+ .clk(\CLOCK_50~inputCLKENA0_outclk ),
+ .d(\tick|Add0~37_sumout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\tick|Equal0~3_combout ),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\tick|count [4]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \tick|count[4] .is_wysiwyg = "true";
+defparam \tick|count[4] .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X82_Y6_N15
+cyclonev_lcell_comb \tick|Add0~41 (
+// Equation(s):
+// \tick|Add0~41_sumout = SUM(( \tick|count [5] ) + ( VCC ) + ( \tick|Add0~38 ))
+// \tick|Add0~42 = CARRY(( \tick|count [5] ) + ( VCC ) + ( \tick|Add0~38 ))
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\tick|count [5]),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(\tick|Add0~38 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\tick|Add0~41_sumout ),
+ .cout(\tick|Add0~42 ),
+ .shareout());
+// synopsys translate_off
+defparam \tick|Add0~41 .extended_lut = "off";
+defparam \tick|Add0~41 .lut_mask = 64'h0000000000000F0F;
+defparam \tick|Add0~41 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X82_Y6_N17
+dffeas \tick|count[5] (
+ .clk(\CLOCK_50~inputCLKENA0_outclk ),
+ .d(\tick|Add0~41_sumout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\tick|Equal0~3_combout ),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\tick|count [5]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \tick|count[5] .is_wysiwyg = "true";
+defparam \tick|count[5] .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X82_Y6_N18
+cyclonev_lcell_comb \tick|Add0~45 (
+// Equation(s):
+// \tick|Add0~45_sumout = SUM(( \tick|count [6] ) + ( VCC ) + ( \tick|Add0~42 ))
+// \tick|Add0~46 = CARRY(( \tick|count [6] ) + ( VCC ) + ( \tick|Add0~42 ))
+
+ .dataa(!\tick|count [6]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(\tick|Add0~42 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\tick|Add0~45_sumout ),
+ .cout(\tick|Add0~46 ),
+ .shareout());
+// synopsys translate_off
+defparam \tick|Add0~45 .extended_lut = "off";
+defparam \tick|Add0~45 .lut_mask = 64'h0000000000005555;
+defparam \tick|Add0~45 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X82_Y6_N20
+dffeas \tick|count[6] (
+ .clk(\CLOCK_50~inputCLKENA0_outclk ),
+ .d(\tick|Add0~45_sumout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\tick|Equal0~3_combout ),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\tick|count [6]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \tick|count[6] .is_wysiwyg = "true";
+defparam \tick|count[6] .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X82_Y6_N21
+cyclonev_lcell_comb \tick|Add0~21 (
+// Equation(s):
+// \tick|Add0~21_sumout = SUM(( !\tick|count[7]~DUPLICATE_q ) + ( VCC ) + ( \tick|Add0~46 ))
+// \tick|Add0~22 = CARRY(( !\tick|count[7]~DUPLICATE_q ) + ( VCC ) + ( \tick|Add0~46 ))
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(!\tick|count[7]~DUPLICATE_q ),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(\tick|Add0~46 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\tick|Add0~21_sumout ),
+ .cout(\tick|Add0~22 ),
+ .shareout());
+// synopsys translate_off
+defparam \tick|Add0~21 .extended_lut = "off";
+defparam \tick|Add0~21 .lut_mask = 64'h000000000000FF00;
+defparam \tick|Add0~21 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X81_Y6_N33
+cyclonev_lcell_comb \tick|count[7]~4 (
+// Equation(s):
+// \tick|count[7]~4_combout = ( !\tick|Add0~21_sumout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(!\tick|Add0~21_sumout ),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\tick|count[7]~4_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \tick|count[7]~4 .extended_lut = "off";
+defparam \tick|count[7]~4 .lut_mask = 64'hFFFF0000FFFF0000;
+defparam \tick|count[7]~4 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X81_Y6_N34
+dffeas \tick|count[7]~DUPLICATE (
+ .clk(\CLOCK_50~inputCLKENA0_outclk ),
+ .d(\tick|count[7]~4_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\tick|count[7]~DUPLICATE_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \tick|count[7]~DUPLICATE .is_wysiwyg = "true";
+defparam \tick|count[7]~DUPLICATE .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X82_Y6_N24
+cyclonev_lcell_comb \tick|Add0~25 (
+// Equation(s):
+// \tick|Add0~25_sumout = SUM(( !\tick|count [8] ) + ( VCC ) + ( \tick|Add0~22 ))
+// \tick|Add0~26 = CARRY(( !\tick|count [8] ) + ( VCC ) + ( \tick|Add0~22 ))
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(!\tick|count [8]),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(\tick|Add0~22 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\tick|Add0~25_sumout ),
+ .cout(\tick|Add0~26 ),
+ .shareout());
+// synopsys translate_off
+defparam \tick|Add0~25 .extended_lut = "off";
+defparam \tick|Add0~25 .lut_mask = 64'h000000000000FF00;
+defparam \tick|Add0~25 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X81_Y6_N42
+cyclonev_lcell_comb \tick|count[8]~5 (
+// Equation(s):
+// \tick|count[8]~5_combout = ( !\tick|Add0~25_sumout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\tick|Add0~25_sumout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\tick|count[8]~5_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \tick|count[8]~5 .extended_lut = "off";
+defparam \tick|count[8]~5 .lut_mask = 64'hFFFFFFFF00000000;
+defparam \tick|count[8]~5 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X81_Y6_N43
+dffeas \tick|count[8] (
+ .clk(\CLOCK_50~inputCLKENA0_outclk ),
+ .d(\tick|count[8]~5_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\tick|count [8]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \tick|count[8] .is_wysiwyg = "true";
+defparam \tick|count[8] .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X82_Y6_N27
+cyclonev_lcell_comb \tick|Add0~1 (
+// Equation(s):
+// \tick|Add0~1_sumout = SUM(( !\tick|count [9] ) + ( VCC ) + ( \tick|Add0~26 ))
+// \tick|Add0~2 = CARRY(( !\tick|count [9] ) + ( VCC ) + ( \tick|Add0~26 ))
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(!\tick|count [9]),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(\tick|Add0~26 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\tick|Add0~1_sumout ),
+ .cout(\tick|Add0~2 ),
+ .shareout());
+// synopsys translate_off
+defparam \tick|Add0~1 .extended_lut = "off";
+defparam \tick|Add0~1 .lut_mask = 64'h000000000000FF00;
+defparam \tick|Add0~1 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X81_Y6_N48
+cyclonev_lcell_comb \tick|count[9]~0 (
+// Equation(s):
+// \tick|count[9]~0_combout = ( !\tick|Add0~1_sumout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\tick|Add0~1_sumout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\tick|count[9]~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \tick|count[9]~0 .extended_lut = "off";
+defparam \tick|count[9]~0 .lut_mask = 64'hFFFFFFFF00000000;
+defparam \tick|count[9]~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X81_Y6_N50
+dffeas \tick|count[9]~DUPLICATE (
+ .clk(\CLOCK_50~inputCLKENA0_outclk ),
+ .d(\tick|count[9]~0_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\tick|count[9]~DUPLICATE_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \tick|count[9]~DUPLICATE .is_wysiwyg = "true";
+defparam \tick|count[9]~DUPLICATE .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X82_Y6_N30
+cyclonev_lcell_comb \tick|Add0~5 (
+// Equation(s):
+// \tick|Add0~5_sumout = SUM(( \tick|count [10] ) + ( VCC ) + ( \tick|Add0~2 ))
+// \tick|Add0~6 = CARRY(( \tick|count [10] ) + ( VCC ) + ( \tick|Add0~2 ))
+
+ .dataa(gnd),
+ .datab(!\tick|count [10]),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(\tick|Add0~2 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\tick|Add0~5_sumout ),
+ .cout(\tick|Add0~6 ),
+ .shareout());
+// synopsys translate_off
+defparam \tick|Add0~5 .extended_lut = "off";
+defparam \tick|Add0~5 .lut_mask = 64'h0000000000003333;
+defparam \tick|Add0~5 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X82_Y6_N32
+dffeas \tick|count[10] (
+ .clk(\CLOCK_50~inputCLKENA0_outclk ),
+ .d(\tick|Add0~5_sumout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\tick|Equal0~3_combout ),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\tick|count [10]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \tick|count[10] .is_wysiwyg = "true";
+defparam \tick|count[10] .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X82_Y6_N33
+cyclonev_lcell_comb \tick|Add0~49 (
+// Equation(s):
+// \tick|Add0~49_sumout = SUM(( \tick|count[11]~DUPLICATE_q ) + ( VCC ) + ( \tick|Add0~6 ))
+// \tick|Add0~50 = CARRY(( \tick|count[11]~DUPLICATE_q ) + ( VCC ) + ( \tick|Add0~6 ))
+
+ .dataa(!\tick|count[11]~DUPLICATE_q ),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(\tick|Add0~6 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\tick|Add0~49_sumout ),
+ .cout(\tick|Add0~50 ),
+ .shareout());
+// synopsys translate_off
+defparam \tick|Add0~49 .extended_lut = "off";
+defparam \tick|Add0~49 .lut_mask = 64'h0000000000005555;
+defparam \tick|Add0~49 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X82_Y6_N35
+dffeas \tick|count[11]~DUPLICATE (
+ .clk(\CLOCK_50~inputCLKENA0_outclk ),
+ .d(\tick|Add0~49_sumout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\tick|Equal0~3_combout ),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\tick|count[11]~DUPLICATE_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \tick|count[11]~DUPLICATE .is_wysiwyg = "true";
+defparam \tick|count[11]~DUPLICATE .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X82_Y6_N36
+cyclonev_lcell_comb \tick|Add0~29 (
+// Equation(s):
+// \tick|Add0~29_sumout = SUM(( !\tick|count [12] ) + ( VCC ) + ( \tick|Add0~50 ))
+// \tick|Add0~30 = CARRY(( !\tick|count [12] ) + ( VCC ) + ( \tick|Add0~50 ))
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\tick|count [12]),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(\tick|Add0~50 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\tick|Add0~29_sumout ),
+ .cout(\tick|Add0~30 ),
+ .shareout());
+// synopsys translate_off
+defparam \tick|Add0~29 .extended_lut = "off";
+defparam \tick|Add0~29 .lut_mask = 64'h000000000000F0F0;
+defparam \tick|Add0~29 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X82_Y6_N51
+cyclonev_lcell_comb \tick|count[12]~6 (
+// Equation(s):
+// \tick|count[12]~6_combout = ( !\tick|Add0~29_sumout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\tick|Add0~29_sumout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\tick|count[12]~6_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \tick|count[12]~6 .extended_lut = "off";
+defparam \tick|count[12]~6 .lut_mask = 64'hFFFFFFFF00000000;
+defparam \tick|count[12]~6 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X82_Y6_N53
+dffeas \tick|count[12] (
+ .clk(\CLOCK_50~inputCLKENA0_outclk ),
+ .d(\tick|count[12]~6_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\tick|count [12]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \tick|count[12] .is_wysiwyg = "true";
+defparam \tick|count[12] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X82_Y6_N13
+dffeas \tick|count[4]~DUPLICATE (
+ .clk(\CLOCK_50~inputCLKENA0_outclk ),
+ .d(\tick|Add0~37_sumout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\tick|Equal0~3_combout ),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\tick|count[4]~DUPLICATE_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \tick|count[4]~DUPLICATE .is_wysiwyg = "true";
+defparam \tick|count[4]~DUPLICATE .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X82_Y6_N11
+dffeas \tick|count[3]~DUPLICATE (
+ .clk(\CLOCK_50~inputCLKENA0_outclk ),
+ .d(\tick|Add0~33_sumout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\tick|Equal0~3_combout ),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\tick|count[3]~DUPLICATE_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \tick|count[3]~DUPLICATE .is_wysiwyg = "true";
+defparam \tick|count[3]~DUPLICATE .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X82_Y6_N19
+dffeas \tick|count[6]~DUPLICATE (
+ .clk(\CLOCK_50~inputCLKENA0_outclk ),
+ .d(\tick|Add0~45_sumout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\tick|Equal0~3_combout ),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\tick|count[6]~DUPLICATE_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \tick|count[6]~DUPLICATE .is_wysiwyg = "true";
+defparam \tick|count[6]~DUPLICATE .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X82_Y6_N48
+cyclonev_lcell_comb \tick|Equal0~1 (
+// Equation(s):
+// \tick|Equal0~1_combout = ( !\tick|count[6]~DUPLICATE_q & ( (\tick|count [12] & (!\tick|count[4]~DUPLICATE_q & (!\tick|count[3]~DUPLICATE_q & !\tick|count [5]))) ) )
+
+ .dataa(!\tick|count [12]),
+ .datab(!\tick|count[4]~DUPLICATE_q ),
+ .datac(!\tick|count[3]~DUPLICATE_q ),
+ .datad(!\tick|count [5]),
+ .datae(gnd),
+ .dataf(!\tick|count[6]~DUPLICATE_q ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\tick|Equal0~1_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \tick|Equal0~1 .extended_lut = "off";
+defparam \tick|Equal0~1 .lut_mask = 64'h4000400000000000;
+defparam \tick|Equal0~1 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X81_Y6_N59
+dffeas \tick|count[1] (
+ .clk(\CLOCK_50~inputCLKENA0_outclk ),
+ .d(\tick|count[1]~2_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\tick|count [1]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \tick|count[1] .is_wysiwyg = "true";
+defparam \tick|count[1] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X81_Y6_N23
+dffeas \tick|count[2] (
+ .clk(\CLOCK_50~inputCLKENA0_outclk ),
+ .d(\tick|count[2]~3_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\tick|count [2]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \tick|count[2] .is_wysiwyg = "true";
+defparam \tick|count[2] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X81_Y6_N35
+dffeas \tick|count[7] (
+ .clk(\CLOCK_50~inputCLKENA0_outclk ),
+ .d(\tick|count[7]~4_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\tick|count [7]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \tick|count[7] .is_wysiwyg = "true";
+defparam \tick|count[7] .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X81_Y6_N27
+cyclonev_lcell_comb \tick|Equal0~0 (
+// Equation(s):
+// \tick|Equal0~0_combout = ( \tick|count [0] & ( \tick|count [7] & ( (\tick|count [1] & (\tick|count [2] & \tick|count [8])) ) ) )
+
+ .dataa(gnd),
+ .datab(!\tick|count [1]),
+ .datac(!\tick|count [2]),
+ .datad(!\tick|count [8]),
+ .datae(!\tick|count [0]),
+ .dataf(!\tick|count [7]),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\tick|Equal0~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \tick|Equal0~0 .extended_lut = "off";
+defparam \tick|Equal0~0 .lut_mask = 64'h0000000000000003;
+defparam \tick|Equal0~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X82_Y6_N40
+dffeas \tick|count[13]~DUPLICATE (
+ .clk(\CLOCK_50~inputCLKENA0_outclk ),
+ .d(\tick|Add0~53_sumout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\tick|Equal0~3_combout ),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\tick|count[13]~DUPLICATE_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \tick|count[13]~DUPLICATE .is_wysiwyg = "true";
+defparam \tick|count[13]~DUPLICATE .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X82_Y6_N39
+cyclonev_lcell_comb \tick|Add0~53 (
+// Equation(s):
+// \tick|Add0~53_sumout = SUM(( \tick|count[13]~DUPLICATE_q ) + ( VCC ) + ( \tick|Add0~30 ))
+// \tick|Add0~54 = CARRY(( \tick|count[13]~DUPLICATE_q ) + ( VCC ) + ( \tick|Add0~30 ))
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\tick|count[13]~DUPLICATE_q ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(\tick|Add0~30 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\tick|Add0~53_sumout ),
+ .cout(\tick|Add0~54 ),
+ .shareout());
+// synopsys translate_off
+defparam \tick|Add0~53 .extended_lut = "off";
+defparam \tick|Add0~53 .lut_mask = 64'h0000000000000F0F;
+defparam \tick|Add0~53 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X82_Y6_N41
+dffeas \tick|count[13] (
+ .clk(\CLOCK_50~inputCLKENA0_outclk ),
+ .d(\tick|Add0~53_sumout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\tick|Equal0~3_combout ),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\tick|count [13]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \tick|count[13] .is_wysiwyg = "true";
+defparam \tick|count[13] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X82_Y6_N34
+dffeas \tick|count[11] (
+ .clk(\CLOCK_50~inputCLKENA0_outclk ),
+ .d(\tick|Add0~49_sumout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\tick|Equal0~3_combout ),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\tick|count [11]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \tick|count[11] .is_wysiwyg = "true";
+defparam \tick|count[11] .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X82_Y6_N42
+cyclonev_lcell_comb \tick|Add0~57 (
+// Equation(s):
+// \tick|Add0~57_sumout = SUM(( \tick|count [14] ) + ( VCC ) + ( \tick|Add0~54 ))
+// \tick|Add0~58 = CARRY(( \tick|count [14] ) + ( VCC ) + ( \tick|Add0~54 ))
+
+ .dataa(gnd),
+ .datab(!\tick|count [14]),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(\tick|Add0~54 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\tick|Add0~57_sumout ),
+ .cout(\tick|Add0~58 ),
+ .shareout());
+// synopsys translate_off
+defparam \tick|Add0~57 .extended_lut = "off";
+defparam \tick|Add0~57 .lut_mask = 64'h0000000000003333;
+defparam \tick|Add0~57 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X82_Y6_N44
+dffeas \tick|count[14] (
+ .clk(\CLOCK_50~inputCLKENA0_outclk ),
+ .d(\tick|Add0~57_sumout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\tick|Equal0~3_combout ),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\tick|count [14]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \tick|count[14] .is_wysiwyg = "true";
+defparam \tick|count[14] .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X82_Y6_N45
+cyclonev_lcell_comb \tick|Add0~61 (
+// Equation(s):
+// \tick|Add0~61_sumout = SUM(( \tick|count [15] ) + ( VCC ) + ( \tick|Add0~58 ))
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\tick|count [15]),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(\tick|Add0~58 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\tick|Add0~61_sumout ),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \tick|Add0~61 .extended_lut = "off";
+defparam \tick|Add0~61 .lut_mask = 64'h0000000000000F0F;
+defparam \tick|Add0~61 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X82_Y6_N46
+dffeas \tick|count[15] (
+ .clk(\CLOCK_50~inputCLKENA0_outclk ),
+ .d(\tick|Add0~61_sumout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\tick|Equal0~3_combout ),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\tick|count [15]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \tick|count[15] .is_wysiwyg = "true";
+defparam \tick|count[15] .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X81_Y6_N36
+cyclonev_lcell_comb \tick|Equal0~2 (
+// Equation(s):
+// \tick|Equal0~2_combout = ( !\tick|count [15] & ( (!\tick|count [13] & (!\tick|count [11] & !\tick|count [14])) ) )
+
+ .dataa(!\tick|count [13]),
+ .datab(!\tick|count [11]),
+ .datac(!\tick|count [14]),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\tick|count [15]),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\tick|Equal0~2_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \tick|Equal0~2 .extended_lut = "off";
+defparam \tick|Equal0~2 .lut_mask = 64'h8080808000000000;
+defparam \tick|Equal0~2 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X82_Y6_N57
+cyclonev_lcell_comb \tick|Equal0~3 (
+// Equation(s):
+// \tick|Equal0~3_combout = ( !\tick|count [10] & ( (\tick|count[9]~DUPLICATE_q & (\tick|Equal0~1_combout & (\tick|Equal0~0_combout & \tick|Equal0~2_combout ))) ) )
+
+ .dataa(!\tick|count[9]~DUPLICATE_q ),
+ .datab(!\tick|Equal0~1_combout ),
+ .datac(!\tick|Equal0~0_combout ),
+ .datad(!\tick|Equal0~2_combout ),
+ .datae(gnd),
+ .dataf(!\tick|count [10]),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\tick|Equal0~3_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \tick|Equal0~3 .extended_lut = "off";
+defparam \tick|Equal0~3 .lut_mask = 64'h0001000100000000;
+defparam \tick|Equal0~3 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X81_Y6_N12
+cyclonev_lcell_comb \tick|CLK_OUT~feeder (
+// Equation(s):
+// \tick|CLK_OUT~feeder_combout = \tick|Equal0~3_combout
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\tick|Equal0~3_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\tick|CLK_OUT~feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \tick|CLK_OUT~feeder .extended_lut = "off";
+defparam \tick|CLK_OUT~feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F;
+defparam \tick|CLK_OUT~feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X81_Y6_N14
+dffeas \tick|CLK_OUT (
+ .clk(\CLOCK_50~input_o ),
+ .d(\tick|CLK_OUT~feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\tick|CLK_OUT~q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \tick|CLK_OUT .is_wysiwyg = "true";
+defparam \tick|CLK_OUT .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X72_Y6_N30
+cyclonev_lcell_comb \dac|sr_state.IDLE~0 (
+// Equation(s):
+// \dac|sr_state.IDLE~0_combout = ( \dac|sr_state.WAIT_CSB_FALL~q & ( (!\dac|WideNor0~combout ) # ((!\dac|sr_state.WAIT_CSB_HIGH~q & ((\dac|sr_state.IDLE~q ) # (\tick|CLK_OUT~q )))) ) ) # ( !\dac|sr_state.WAIT_CSB_FALL~q & (
+// (!\dac|sr_state.WAIT_CSB_HIGH~q & (((\dac|sr_state.IDLE~q ) # (\tick|CLK_OUT~q )))) # (\dac|sr_state.WAIT_CSB_HIGH~q & (!\dac|WideNor0~combout & ((\dac|sr_state.IDLE~q ) # (\tick|CLK_OUT~q )))) ) )
+
+ .dataa(!\dac|sr_state.WAIT_CSB_HIGH~q ),
+ .datab(!\dac|WideNor0~combout ),
+ .datac(!\tick|CLK_OUT~q ),
+ .datad(!\dac|sr_state.IDLE~q ),
+ .datae(gnd),
+ .dataf(!\dac|sr_state.WAIT_CSB_FALL~q ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\dac|sr_state.IDLE~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \dac|sr_state.IDLE~0 .extended_lut = "off";
+defparam \dac|sr_state.IDLE~0 .lut_mask = 64'h0EEE0EEECEEECEEE;
+defparam \dac|sr_state.IDLE~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X72_Y6_N32
+dffeas \dac|sr_state.IDLE (
+ .clk(\CLOCK_50~inputCLKENA0_outclk ),
+ .d(\dac|sr_state.IDLE~0_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\dac|sr_state.IDLE~q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \dac|sr_state.IDLE .is_wysiwyg = "true";
+defparam \dac|sr_state.IDLE .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X72_Y6_N48
+cyclonev_lcell_comb \dac|Selector2~0 (
+// Equation(s):
+// \dac|Selector2~0_combout = ( \dac|state [0] & ( \dac|state[4]~DUPLICATE_q & ( (\dac|sr_state.IDLE~q & (((\dac|state [2]) # (\dac|state [3])) # (\dac|state [1]))) ) ) ) # ( !\dac|state [0] & ( \dac|state[4]~DUPLICATE_q & ( \dac|sr_state.IDLE~q ) ) ) #
+// ( \dac|state [0] & ( !\dac|state[4]~DUPLICATE_q & ( \dac|sr_state.IDLE~q ) ) ) # ( !\dac|state [0] & ( !\dac|state[4]~DUPLICATE_q & ( (\dac|sr_state.IDLE~q & (((\dac|state [2]) # (\dac|state [3])) # (\dac|state [1]))) ) ) )
+
+ .dataa(!\dac|state [1]),
+ .datab(!\dac|sr_state.IDLE~q ),
+ .datac(!\dac|state [3]),
+ .datad(!\dac|state [2]),
+ .datae(!\dac|state [0]),
+ .dataf(!\dac|state[4]~DUPLICATE_q ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\dac|Selector2~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \dac|Selector2~0 .extended_lut = "off";
+defparam \dac|Selector2~0 .lut_mask = 64'h1333333333331333;
+defparam \dac|Selector2~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X72_Y6_N50
+dffeas \dac|sr_state.WAIT_CSB_HIGH (
+ .clk(\CLOCK_50~inputCLKENA0_outclk ),
+ .d(\dac|Selector2~0_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\dac|sr_state.WAIT_CSB_HIGH~q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \dac|sr_state.WAIT_CSB_HIGH .is_wysiwyg = "true";
+defparam \dac|sr_state.WAIT_CSB_HIGH .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X72_Y6_N33
+cyclonev_lcell_comb \dac|sr_state.WAIT_CSB_FALL~0 (
+// Equation(s):
+// \dac|sr_state.WAIT_CSB_FALL~0_combout = ( \tick|CLK_OUT~q & ( (!\dac|WideNor0~combout & (((!\dac|sr_state.IDLE~q & !\dac|sr_state.WAIT_CSB_FALL~q )))) # (\dac|WideNor0~combout & (!\dac|sr_state.WAIT_CSB_HIGH~q & ((!\dac|sr_state.IDLE~q ) #
+// (\dac|sr_state.WAIT_CSB_FALL~q )))) ) ) # ( !\tick|CLK_OUT~q & ( (!\dac|sr_state.WAIT_CSB_HIGH~q & (\dac|WideNor0~combout & \dac|sr_state.WAIT_CSB_FALL~q )) ) )
+
+ .dataa(!\dac|sr_state.WAIT_CSB_HIGH~q ),
+ .datab(!\dac|WideNor0~combout ),
+ .datac(!\dac|sr_state.IDLE~q ),
+ .datad(!\dac|sr_state.WAIT_CSB_FALL~q ),
+ .datae(gnd),
+ .dataf(!\tick|CLK_OUT~q ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\dac|sr_state.WAIT_CSB_FALL~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \dac|sr_state.WAIT_CSB_FALL~0 .extended_lut = "off";
+defparam \dac|sr_state.WAIT_CSB_FALL~0 .lut_mask = 64'h00220022E022E022;
+defparam \dac|sr_state.WAIT_CSB_FALL~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X72_Y6_N35
+dffeas \dac|sr_state.WAIT_CSB_FALL (
+ .clk(\CLOCK_50~inputCLKENA0_outclk ),
+ .d(\dac|sr_state.WAIT_CSB_FALL~0_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\dac|sr_state.WAIT_CSB_FALL~q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \dac|sr_state.WAIT_CSB_FALL .is_wysiwyg = "true";
+defparam \dac|sr_state.WAIT_CSB_FALL .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X72_Y6_N9
+cyclonev_lcell_comb \dac|Selector3~0 (
+// Equation(s):
+// \dac|Selector3~0_combout = ( \dac|state [1] & ( \dac|state[4]~DUPLICATE_q & ( !\dac|state [0] ) ) ) # ( !\dac|state [1] & ( \dac|state[4]~DUPLICATE_q & ( !\dac|state [0] ) ) ) # ( \dac|state [1] & ( !\dac|state[4]~DUPLICATE_q & ( !\dac|state [0] ) ) )
+// # ( !\dac|state [1] & ( !\dac|state[4]~DUPLICATE_q & ( (!\dac|state [0] & (((\dac|state [3]) # (\dac|state [2])) # (\dac|sr_state.WAIT_CSB_FALL~q ))) ) ) )
+
+ .dataa(!\dac|sr_state.WAIT_CSB_FALL~q ),
+ .datab(!\dac|state [0]),
+ .datac(!\dac|state [2]),
+ .datad(!\dac|state [3]),
+ .datae(!\dac|state [1]),
+ .dataf(!\dac|state[4]~DUPLICATE_q ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\dac|Selector3~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \dac|Selector3~0 .extended_lut = "off";
+defparam \dac|Selector3~0 .lut_mask = 64'h4CCCCCCCCCCCCCCC;
+defparam \dac|Selector3~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X72_Y6_N23
+dffeas \dac|state[0] (
+ .clk(\dac|clk_1MHz~q ),
+ .d(gnd),
+ .asdata(\dac|Selector3~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\dac|state [0]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \dac|state[0] .is_wysiwyg = "true";
+defparam \dac|state[0] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X72_Y6_N7
+dffeas \dac|state[2]~DUPLICATE (
+ .clk(\dac|clk_1MHz~q ),
+ .d(gnd),
+ .asdata(\dac|state~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\dac|state[2]~DUPLICATE_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \dac|state[2]~DUPLICATE .is_wysiwyg = "true";
+defparam \dac|state[2]~DUPLICATE .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X72_Y6_N57
+cyclonev_lcell_comb \dac|WideNor0 (
+// Equation(s):
+// \dac|WideNor0~combout = ( \dac|state[4]~DUPLICATE_q & ( (\dac|state [0] & (!\dac|state[2]~DUPLICATE_q & (!\dac|state [3] & !\dac|state [1]))) ) ) # ( !\dac|state[4]~DUPLICATE_q & ( (!\dac|state [0] & (!\dac|state[2]~DUPLICATE_q & (!\dac|state [3] &
+// !\dac|state [1]))) ) )
+
+ .dataa(!\dac|state [0]),
+ .datab(!\dac|state[2]~DUPLICATE_q ),
+ .datac(!\dac|state [3]),
+ .datad(!\dac|state [1]),
+ .datae(gnd),
+ .dataf(!\dac|state[4]~DUPLICATE_q ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\dac|WideNor0~combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \dac|WideNor0 .extended_lut = "off";
+defparam \dac|WideNor0 .lut_mask = 64'h8000800040004000;
+defparam \dac|WideNor0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X74_Y6_N24
+cyclonev_lcell_comb \SPI_ADC|clk_1MHz~0 (
+// Equation(s):
+// \SPI_ADC|clk_1MHz~0_combout = ( !\SPI_ADC|clk_1MHz~q )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\SPI_ADC|clk_1MHz~q ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\SPI_ADC|clk_1MHz~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \SPI_ADC|clk_1MHz~0 .extended_lut = "off";
+defparam \SPI_ADC|clk_1MHz~0 .lut_mask = 64'hFFFFFFFF00000000;
+defparam \SPI_ADC|clk_1MHz~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X74_Y6_N59
+dffeas \SPI_ADC|clk_1MHz (
+ .clk(\CLOCK_50~input_o ),
+ .d(gnd),
+ .asdata(\SPI_ADC|clk_1MHz~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(\dac|Equal0~0_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\SPI_ADC|clk_1MHz~q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \SPI_ADC|clk_1MHz .is_wysiwyg = "true";
+defparam \SPI_ADC|clk_1MHz .power_up = "low";
+// synopsys translate_on
+
+// Location: IOIBUF_X62_Y0_N52
+cyclonev_io_ibuf \ADC_SDO~input (
+ .i(ADC_SDO),
+ .ibar(gnd),
+ .dynamicterminationcontrol(gnd),
+ .o(\ADC_SDO~input_o ));
+// synopsys translate_off
+defparam \ADC_SDO~input .bus_hold = "false";
+defparam \ADC_SDO~input .simulate_z_as = "z";
+// synopsys translate_on
+
+// Location: FF_X75_Y6_N37
+dffeas \SPI_ADC|state[1]~DUPLICATE (
+ .clk(\SPI_ADC|clk_1MHz~q ),
+ .d(gnd),
+ .asdata(\SPI_ADC|state[1]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\SPI_ADC|state[1]~DUPLICATE_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \SPI_ADC|state[1]~DUPLICATE .is_wysiwyg = "true";
+defparam \SPI_ADC|state[1]~DUPLICATE .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X75_Y6_N48
+cyclonev_lcell_comb \SPI_ADC|state[1]~1 (
+// Equation(s):
+// \SPI_ADC|state[1]~1_combout = ( \SPI_ADC|state[1]~DUPLICATE_q & ( !\SPI_ADC|state [0] ) ) # ( !\SPI_ADC|state[1]~DUPLICATE_q & ( \SPI_ADC|state [0] ) )
+
+ .dataa(gnd),
+ .datab(!\SPI_ADC|state [0]),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\SPI_ADC|state[1]~DUPLICATE_q ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\SPI_ADC|state[1]~1_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \SPI_ADC|state[1]~1 .extended_lut = "off";
+defparam \SPI_ADC|state[1]~1 .lut_mask = 64'h33333333CCCCCCCC;
+defparam \SPI_ADC|state[1]~1 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X75_Y6_N38
+dffeas \SPI_ADC|state[1] (
+ .clk(\SPI_ADC|clk_1MHz~q ),
+ .d(gnd),
+ .asdata(\SPI_ADC|state[1]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\SPI_ADC|state [1]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \SPI_ADC|state[1] .is_wysiwyg = "true";
+defparam \SPI_ADC|state[1] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X75_Y6_N4
+dffeas \SPI_ADC|state[3]~DUPLICATE (
+ .clk(\SPI_ADC|clk_1MHz~q ),
+ .d(gnd),
+ .asdata(\SPI_ADC|state[3]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\SPI_ADC|state[3]~DUPLICATE_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \SPI_ADC|state[3]~DUPLICATE .is_wysiwyg = "true";
+defparam \SPI_ADC|state[3]~DUPLICATE .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X75_Y6_N27
+cyclonev_lcell_comb \SPI_ADC|state[3]~3 (
+// Equation(s):
+// \SPI_ADC|state[3]~3_combout = ( \SPI_ADC|state [2] & ( !\SPI_ADC|state[3]~DUPLICATE_q $ (((!\SPI_ADC|state [0]) # (!\SPI_ADC|state [1]))) ) ) # ( !\SPI_ADC|state [2] & ( \SPI_ADC|state[3]~DUPLICATE_q ) )
+
+ .dataa(gnd),
+ .datab(!\SPI_ADC|state[3]~DUPLICATE_q ),
+ .datac(!\SPI_ADC|state [0]),
+ .datad(!\SPI_ADC|state [1]),
+ .datae(gnd),
+ .dataf(!\SPI_ADC|state [2]),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\SPI_ADC|state[3]~3_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \SPI_ADC|state[3]~3 .extended_lut = "off";
+defparam \SPI_ADC|state[3]~3 .lut_mask = 64'h33333333333C333C;
+defparam \SPI_ADC|state[3]~3 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X75_Y6_N5
+dffeas \SPI_ADC|state[3] (
+ .clk(\SPI_ADC|clk_1MHz~q ),
+ .d(gnd),
+ .asdata(\SPI_ADC|state[3]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\SPI_ADC|state [3]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \SPI_ADC|state[3] .is_wysiwyg = "true";
+defparam \SPI_ADC|state[3] .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X75_Y6_N30
+cyclonev_lcell_comb \SPI_ADC|state~0 (
+// Equation(s):
+// \SPI_ADC|state~0_combout = ( \SPI_ADC|state [0] & ( !\SPI_ADC|state [4] $ (((!\SPI_ADC|state [1]) # ((!\SPI_ADC|state [2]) # (!\SPI_ADC|state [3])))) ) ) # ( !\SPI_ADC|state [0] & ( (\SPI_ADC|state [4] & (((\SPI_ADC|state [3]) # (\SPI_ADC|state [2])) #
+// (\SPI_ADC|state [1]))) ) )
+
+ .dataa(!\SPI_ADC|state [1]),
+ .datab(!\SPI_ADC|state [2]),
+ .datac(!\SPI_ADC|state [3]),
+ .datad(!\SPI_ADC|state [4]),
+ .datae(gnd),
+ .dataf(!\SPI_ADC|state [0]),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\SPI_ADC|state~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \SPI_ADC|state~0 .extended_lut = "off";
+defparam \SPI_ADC|state~0 .lut_mask = 64'h007F007F01FE01FE;
+defparam \SPI_ADC|state~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X75_Y6_N47
+dffeas \SPI_ADC|state[4] (
+ .clk(\SPI_ADC|clk_1MHz~q ),
+ .d(gnd),
+ .asdata(\SPI_ADC|state~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\SPI_ADC|state [4]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \SPI_ADC|state[4] .is_wysiwyg = "true";
+defparam \SPI_ADC|state[4] .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X75_Y6_N18
+cyclonev_lcell_comb \SPI_ADC|Selector4~0 (
+// Equation(s):
+// \SPI_ADC|Selector4~0_combout = ( \SPI_ADC|state[1]~DUPLICATE_q & ( \SPI_ADC|state [0] ) ) # ( !\SPI_ADC|state[1]~DUPLICATE_q & ( \SPI_ADC|state [0] ) ) # ( \SPI_ADC|state[1]~DUPLICATE_q & ( !\SPI_ADC|state [0] ) ) # ( !\SPI_ADC|state[1]~DUPLICATE_q &
+// ( !\SPI_ADC|state [0] & ( (((!\SPI_ADC|state [4] & \SPI_ADC|adc_start~q )) # (\SPI_ADC|state[3]~DUPLICATE_q )) # (\SPI_ADC|state [2]) ) ) )
+
+ .dataa(!\SPI_ADC|state [2]),
+ .datab(!\SPI_ADC|state [4]),
+ .datac(!\SPI_ADC|state[3]~DUPLICATE_q ),
+ .datad(!\SPI_ADC|adc_start~q ),
+ .datae(!\SPI_ADC|state[1]~DUPLICATE_q ),
+ .dataf(!\SPI_ADC|state [0]),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\SPI_ADC|Selector4~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \SPI_ADC|Selector4~0 .extended_lut = "off";
+defparam \SPI_ADC|Selector4~0 .lut_mask = 64'h5FDFFFFFFFFFFFFF;
+defparam \SPI_ADC|Selector4~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X75_Y6_N20
+dffeas \SPI_ADC|adc_cs (
+ .clk(\SPI_ADC|clk_1MHz~q ),
+ .d(\SPI_ADC|Selector4~0_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\SPI_ADC|adc_cs~q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \SPI_ADC|adc_cs .is_wysiwyg = "true";
+defparam \SPI_ADC|adc_cs .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X75_Y6_N6
+cyclonev_lcell_comb \SPI_ADC|Selector2~0 (
+// Equation(s):
+// \SPI_ADC|Selector2~0_combout = ( \SPI_ADC|adc_cs~q & ( \SPI_ADC|sr_state.IDLE~q ) )
+
+ .dataa(!\SPI_ADC|sr_state.IDLE~q ),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\SPI_ADC|adc_cs~q ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\SPI_ADC|Selector2~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \SPI_ADC|Selector2~0 .extended_lut = "off";
+defparam \SPI_ADC|Selector2~0 .lut_mask = 64'h0000000055555555;
+defparam \SPI_ADC|Selector2~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X75_Y6_N8
+dffeas \SPI_ADC|sr_state.WAIT_CSB_HIGH (
+ .clk(\CLOCK_50~inputCLKENA0_outclk ),
+ .d(\SPI_ADC|Selector2~0_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\SPI_ADC|sr_state.WAIT_CSB_HIGH~q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \SPI_ADC|sr_state.WAIT_CSB_HIGH .is_wysiwyg = "true";
+defparam \SPI_ADC|sr_state.WAIT_CSB_HIGH .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X75_Y6_N51
+cyclonev_lcell_comb \SPI_ADC|Selector0~0 (
+// Equation(s):
+// \SPI_ADC|Selector0~0_combout = ( \SPI_ADC|adc_cs~q & ( (\SPI_ADC|sr_state.IDLE~q ) # (\tick|CLK_OUT~q ) ) ) # ( !\SPI_ADC|adc_cs~q & ( (!\SPI_ADC|sr_state.WAIT_CSB_HIGH~q & ((\SPI_ADC|sr_state.IDLE~q ) # (\tick|CLK_OUT~q ))) ) )
+
+ .dataa(!\tick|CLK_OUT~q ),
+ .datab(gnd),
+ .datac(!\SPI_ADC|sr_state.WAIT_CSB_HIGH~q ),
+ .datad(!\SPI_ADC|sr_state.IDLE~q ),
+ .datae(gnd),
+ .dataf(!\SPI_ADC|adc_cs~q ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\SPI_ADC|Selector0~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \SPI_ADC|Selector0~0 .extended_lut = "off";
+defparam \SPI_ADC|Selector0~0 .lut_mask = 64'h50F050F055FF55FF;
+defparam \SPI_ADC|Selector0~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X75_Y6_N53
+dffeas \SPI_ADC|sr_state.IDLE (
+ .clk(\CLOCK_50~inputCLKENA0_outclk ),
+ .d(\SPI_ADC|Selector0~0_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\SPI_ADC|sr_state.IDLE~q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \SPI_ADC|sr_state.IDLE .is_wysiwyg = "true";
+defparam \SPI_ADC|sr_state.IDLE .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X75_Y6_N24
+cyclonev_lcell_comb \SPI_ADC|Selector1~0 (
+// Equation(s):
+// \SPI_ADC|Selector1~0_combout = ( \SPI_ADC|adc_cs~q & ( (!\SPI_ADC|sr_state.IDLE~q & \tick|CLK_OUT~q ) ) ) # ( !\SPI_ADC|adc_cs~q & ( ((!\SPI_ADC|sr_state.IDLE~q & \tick|CLK_OUT~q )) # (\SPI_ADC|sr_state.WAIT_CSB_FALL~q ) ) )
+
+ .dataa(!\SPI_ADC|sr_state.IDLE~q ),
+ .datab(gnd),
+ .datac(!\tick|CLK_OUT~q ),
+ .datad(!\SPI_ADC|sr_state.WAIT_CSB_FALL~q ),
+ .datae(gnd),
+ .dataf(!\SPI_ADC|adc_cs~q ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\SPI_ADC|Selector1~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \SPI_ADC|Selector1~0 .extended_lut = "off";
+defparam \SPI_ADC|Selector1~0 .lut_mask = 64'h0AFF0AFF0A0A0A0A;
+defparam \SPI_ADC|Selector1~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X75_Y6_N25
+dffeas \SPI_ADC|sr_state.WAIT_CSB_FALL (
+ .clk(\CLOCK_50~inputCLKENA0_outclk ),
+ .d(\SPI_ADC|Selector1~0_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\SPI_ADC|sr_state.WAIT_CSB_FALL~q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \SPI_ADC|sr_state.WAIT_CSB_FALL .is_wysiwyg = "true";
+defparam \SPI_ADC|sr_state.WAIT_CSB_FALL .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X75_Y6_N9
+cyclonev_lcell_comb \SPI_ADC|adc_start~0 (
+// Equation(s):
+// \SPI_ADC|adc_start~0_combout = ( \SPI_ADC|adc_cs~q & ( ((!\SPI_ADC|sr_state.IDLE~q & \tick|CLK_OUT~q )) # (\SPI_ADC|adc_start~q ) ) ) # ( !\SPI_ADC|adc_cs~q & ( (!\SPI_ADC|sr_state.IDLE~q & (((\SPI_ADC|adc_start~q )) # (\tick|CLK_OUT~q ))) #
+// (\SPI_ADC|sr_state.IDLE~q & (((\SPI_ADC|sr_state.WAIT_CSB_FALL~q & \SPI_ADC|adc_start~q )))) ) )
+
+ .dataa(!\SPI_ADC|sr_state.IDLE~q ),
+ .datab(!\tick|CLK_OUT~q ),
+ .datac(!\SPI_ADC|sr_state.WAIT_CSB_FALL~q ),
+ .datad(!\SPI_ADC|adc_start~q ),
+ .datae(gnd),
+ .dataf(!\SPI_ADC|adc_cs~q ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\SPI_ADC|adc_start~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \SPI_ADC|adc_start~0 .extended_lut = "off";
+defparam \SPI_ADC|adc_start~0 .lut_mask = 64'h22AF22AF22FF22FF;
+defparam \SPI_ADC|adc_start~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X75_Y6_N11
+dffeas \SPI_ADC|adc_start (
+ .clk(\CLOCK_50~inputCLKENA0_outclk ),
+ .d(\SPI_ADC|adc_start~0_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\SPI_ADC|adc_start~q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \SPI_ADC|adc_start .is_wysiwyg = "true";
+defparam \SPI_ADC|adc_start .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X75_Y6_N15
+cyclonev_lcell_comb \SPI_ADC|Selector5~0 (
+// Equation(s):
+// \SPI_ADC|Selector5~0_combout = ( \SPI_ADC|state[1]~DUPLICATE_q & ( \SPI_ADC|adc_start~q & ( !\SPI_ADC|state [0] ) ) ) # ( !\SPI_ADC|state[1]~DUPLICATE_q & ( \SPI_ADC|adc_start~q & ( (!\SPI_ADC|state [0] & ((!\SPI_ADC|state [4]) # ((\SPI_ADC|state
+// [3]) # (\SPI_ADC|state [2])))) ) ) ) # ( \SPI_ADC|state[1]~DUPLICATE_q & ( !\SPI_ADC|adc_start~q & ( !\SPI_ADC|state [0] ) ) ) # ( !\SPI_ADC|state[1]~DUPLICATE_q & ( !\SPI_ADC|adc_start~q & ( (!\SPI_ADC|state [0] & ((\SPI_ADC|state [3]) #
+// (\SPI_ADC|state [2]))) ) ) )
+
+ .dataa(!\SPI_ADC|state [0]),
+ .datab(!\SPI_ADC|state [4]),
+ .datac(!\SPI_ADC|state [2]),
+ .datad(!\SPI_ADC|state [3]),
+ .datae(!\SPI_ADC|state[1]~DUPLICATE_q ),
+ .dataf(!\SPI_ADC|adc_start~q ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\SPI_ADC|Selector5~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \SPI_ADC|Selector5~0 .extended_lut = "off";
+defparam \SPI_ADC|Selector5~0 .lut_mask = 64'h0AAAAAAA8AAAAAAA;
+defparam \SPI_ADC|Selector5~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X75_Y6_N14
+dffeas \SPI_ADC|state[0] (
+ .clk(\SPI_ADC|clk_1MHz~q ),
+ .d(gnd),
+ .asdata(\SPI_ADC|Selector5~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\SPI_ADC|state [0]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \SPI_ADC|state[0] .is_wysiwyg = "true";
+defparam \SPI_ADC|state[0] .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X75_Y6_N39
+cyclonev_lcell_comb \SPI_ADC|state[2]~2 (
+// Equation(s):
+// \SPI_ADC|state[2]~2_combout = ( \SPI_ADC|state[1]~DUPLICATE_q & ( !\SPI_ADC|state [2] $ (!\SPI_ADC|state [0]) ) ) # ( !\SPI_ADC|state[1]~DUPLICATE_q & ( \SPI_ADC|state [2] ) )
+
+ .dataa(gnd),
+ .datab(!\SPI_ADC|state [2]),
+ .datac(!\SPI_ADC|state [0]),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\SPI_ADC|state[1]~DUPLICATE_q ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\SPI_ADC|state[2]~2_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \SPI_ADC|state[2]~2 .extended_lut = "off";
+defparam \SPI_ADC|state[2]~2 .lut_mask = 64'h333333333C3C3C3C;
+defparam \SPI_ADC|state[2]~2 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X75_Y6_N22
+dffeas \SPI_ADC|state[2] (
+ .clk(\SPI_ADC|clk_1MHz~q ),
+ .d(gnd),
+ .asdata(\SPI_ADC|state[2]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\SPI_ADC|state [2]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \SPI_ADC|state[2] .is_wysiwyg = "true";
+defparam \SPI_ADC|state[2] .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X75_Y6_N54
+cyclonev_lcell_comb \SPI_ADC|WideOr0~0 (
+// Equation(s):
+// \SPI_ADC|WideOr0~0_combout = ( \SPI_ADC|state [4] & ( (((\SPI_ADC|state[3]~DUPLICATE_q ) # (\SPI_ADC|state [0])) # (\SPI_ADC|state[1]~DUPLICATE_q )) # (\SPI_ADC|state [2]) ) ) # ( !\SPI_ADC|state [4] & ( (!\SPI_ADC|state [2] &
+// (((\SPI_ADC|state[3]~DUPLICATE_q )))) # (\SPI_ADC|state [2] & ((!\SPI_ADC|state[1]~DUPLICATE_q ) # ((!\SPI_ADC|state [0]) # (!\SPI_ADC|state[3]~DUPLICATE_q )))) ) )
+
+ .dataa(!\SPI_ADC|state [2]),
+ .datab(!\SPI_ADC|state[1]~DUPLICATE_q ),
+ .datac(!\SPI_ADC|state [0]),
+ .datad(!\SPI_ADC|state[3]~DUPLICATE_q ),
+ .datae(gnd),
+ .dataf(!\SPI_ADC|state [4]),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\SPI_ADC|WideOr0~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \SPI_ADC|WideOr0~0 .extended_lut = "off";
+defparam \SPI_ADC|WideOr0~0 .lut_mask = 64'h55FE55FE7FFF7FFF;
+defparam \SPI_ADC|WideOr0~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X75_Y6_N56
+dffeas \SPI_ADC|shift_ena (
+ .clk(\SPI_ADC|clk_1MHz~q ),
+ .d(\SPI_ADC|WideOr0~0_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\SPI_ADC|shift_ena~q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \SPI_ADC|shift_ena .is_wysiwyg = "true";
+defparam \SPI_ADC|shift_ena .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X75_Y6_N42
+cyclonev_lcell_comb \SPI_ADC|always3~0 (
+// Equation(s):
+// \SPI_ADC|always3~0_combout = ( \SPI_ADC|shift_ena~q & ( \SPI_ADC|adc_cs~q ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(!\SPI_ADC|shift_ena~q ),
+ .dataf(!\SPI_ADC|adc_cs~q ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\SPI_ADC|always3~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \SPI_ADC|always3~0 .extended_lut = "off";
+defparam \SPI_ADC|always3~0 .lut_mask = 64'h000000000000FFFF;
+defparam \SPI_ADC|always3~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X74_Y6_N44
+dffeas \SPI_ADC|shift_reg[0] (
+ .clk(!\SPI_ADC|clk_1MHz~q ),
+ .d(gnd),
+ .asdata(\ADC_SDO~input_o ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(\SPI_ADC|always3~0_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\SPI_ADC|shift_reg [0]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \SPI_ADC|shift_reg[0] .is_wysiwyg = "true";
+defparam \SPI_ADC|shift_reg[0] .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X75_Y6_N33
+cyclonev_lcell_comb \SPI_ADC|Decoder0~0 (
+// Equation(s):
+// \SPI_ADC|Decoder0~0_combout = ( \SPI_ADC|state [0] & ( (\SPI_ADC|state [1] & (\SPI_ADC|state [2] & (!\SPI_ADC|state [4] & \SPI_ADC|state[3]~DUPLICATE_q ))) ) )
+
+ .dataa(!\SPI_ADC|state [1]),
+ .datab(!\SPI_ADC|state [2]),
+ .datac(!\SPI_ADC|state [4]),
+ .datad(!\SPI_ADC|state[3]~DUPLICATE_q ),
+ .datae(gnd),
+ .dataf(!\SPI_ADC|state [0]),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\SPI_ADC|Decoder0~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \SPI_ADC|Decoder0~0 .extended_lut = "off";
+defparam \SPI_ADC|Decoder0~0 .lut_mask = 64'h0000000000100010;
+defparam \SPI_ADC|Decoder0~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X75_Y6_N34
+dffeas \SPI_ADC|adc_done (
+ .clk(\SPI_ADC|clk_1MHz~q ),
+ .d(\SPI_ADC|Decoder0~0_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\SPI_ADC|adc_done~q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \SPI_ADC|adc_done .is_wysiwyg = "true";
+defparam \SPI_ADC|adc_done .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X77_Y6_N32
+dffeas \SPI_ADC|data_from_adc[0] (
+ .clk(\SPI_ADC|clk_1MHz~q ),
+ .d(gnd),
+ .asdata(\SPI_ADC|shift_reg [0]),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(\SPI_ADC|adc_done~q ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\SPI_ADC|data_from_adc [0]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \SPI_ADC|data_from_adc[0] .is_wysiwyg = "true";
+defparam \SPI_ADC|data_from_adc[0] .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X77_Y6_N30
+cyclonev_lcell_comb \fin_address|Add0~1 (
+// Equation(s):
+// \fin_address|Add0~1_sumout = SUM(( \SPI_ADC|data_from_adc [0] ) + ( \fin_address|address [0] ) + ( !VCC ))
+// \fin_address|Add0~2 = CARRY(( \SPI_ADC|data_from_adc [0] ) + ( \fin_address|address [0] ) + ( !VCC ))
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\fin_address|address [0]),
+ .datad(!\SPI_ADC|data_from_adc [0]),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\fin_address|Add0~1_sumout ),
+ .cout(\fin_address|Add0~2 ),
+ .shareout());
+// synopsys translate_off
+defparam \fin_address|Add0~1 .extended_lut = "off";
+defparam \fin_address|Add0~1 .lut_mask = 64'h0000F0F0000000FF;
+defparam \fin_address|Add0~1 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X77_Y6_N24
+cyclonev_lcell_comb \fin_address|address[0]~feeder (
+// Equation(s):
+// \fin_address|address[0]~feeder_combout = ( \fin_address|Add0~1_sumout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\fin_address|Add0~1_sumout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\fin_address|address[0]~feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \fin_address|address[0]~feeder .extended_lut = "off";
+defparam \fin_address|address[0]~feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \fin_address|address[0]~feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X77_Y6_N26
+dffeas \fin_address|address[0] (
+ .clk(\tick|CLK_OUT~q ),
+ .d(\fin_address|address[0]~feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\fin_address|address [0]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \fin_address|address[0] .is_wysiwyg = "true";
+defparam \fin_address|address[0] .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X74_Y6_N45
+cyclonev_lcell_comb \SPI_ADC|shift_reg[1]~feeder (
+// Equation(s):
+// \SPI_ADC|shift_reg[1]~feeder_combout = \SPI_ADC|shift_reg [0]
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\SPI_ADC|shift_reg [0]),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\SPI_ADC|shift_reg[1]~feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \SPI_ADC|shift_reg[1]~feeder .extended_lut = "off";
+defparam \SPI_ADC|shift_reg[1]~feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F;
+defparam \SPI_ADC|shift_reg[1]~feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X74_Y6_N46
+dffeas \SPI_ADC|shift_reg[1] (
+ .clk(!\SPI_ADC|clk_1MHz~q ),
+ .d(\SPI_ADC|shift_reg[1]~feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(\SPI_ADC|always3~0_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\SPI_ADC|shift_reg [1]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \SPI_ADC|shift_reg[1] .is_wysiwyg = "true";
+defparam \SPI_ADC|shift_reg[1] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X77_Y6_N35
+dffeas \SPI_ADC|data_from_adc[1] (
+ .clk(\SPI_ADC|clk_1MHz~q ),
+ .d(gnd),
+ .asdata(\SPI_ADC|shift_reg [1]),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(\SPI_ADC|adc_done~q ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\SPI_ADC|data_from_adc [1]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \SPI_ADC|data_from_adc[1] .is_wysiwyg = "true";
+defparam \SPI_ADC|data_from_adc[1] .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X77_Y6_N33
+cyclonev_lcell_comb \fin_address|Add0~5 (
+// Equation(s):
+// \fin_address|Add0~5_sumout = SUM(( \SPI_ADC|data_from_adc [1] ) + ( \fin_address|address [1] ) + ( \fin_address|Add0~2 ))
+// \fin_address|Add0~6 = CARRY(( \SPI_ADC|data_from_adc [1] ) + ( \fin_address|address [1] ) + ( \fin_address|Add0~2 ))
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\fin_address|address [1]),
+ .datad(!\SPI_ADC|data_from_adc [1]),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(\fin_address|Add0~2 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\fin_address|Add0~5_sumout ),
+ .cout(\fin_address|Add0~6 ),
+ .shareout());
+// synopsys translate_off
+defparam \fin_address|Add0~5 .extended_lut = "off";
+defparam \fin_address|Add0~5 .lut_mask = 64'h0000F0F0000000FF;
+defparam \fin_address|Add0~5 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X77_Y6_N9
+cyclonev_lcell_comb \fin_address|address[1]~feeder (
+// Equation(s):
+// \fin_address|address[1]~feeder_combout = \fin_address|Add0~5_sumout
+
+ .dataa(gnd),
+ .datab(!\fin_address|Add0~5_sumout ),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\fin_address|address[1]~feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \fin_address|address[1]~feeder .extended_lut = "off";
+defparam \fin_address|address[1]~feeder .lut_mask = 64'h3333333333333333;
+defparam \fin_address|address[1]~feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X77_Y6_N11
+dffeas \fin_address|address[1] (
+ .clk(\tick|CLK_OUT~q ),
+ .d(\fin_address|address[1]~feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\fin_address|address [1]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \fin_address|address[1] .is_wysiwyg = "true";
+defparam \fin_address|address[1] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X74_Y6_N47
+dffeas \SPI_ADC|shift_reg[1]~DUPLICATE (
+ .clk(!\SPI_ADC|clk_1MHz~q ),
+ .d(\SPI_ADC|shift_reg[1]~feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(\SPI_ADC|always3~0_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\SPI_ADC|shift_reg[1]~DUPLICATE_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \SPI_ADC|shift_reg[1]~DUPLICATE .is_wysiwyg = "true";
+defparam \SPI_ADC|shift_reg[1]~DUPLICATE .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X74_Y6_N8
+dffeas \SPI_ADC|shift_reg[2] (
+ .clk(!\SPI_ADC|clk_1MHz~q ),
+ .d(gnd),
+ .asdata(\SPI_ADC|shift_reg[1]~DUPLICATE_q ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(\SPI_ADC|always3~0_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\SPI_ADC|shift_reg [2]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \SPI_ADC|shift_reg[2] .is_wysiwyg = "true";
+defparam \SPI_ADC|shift_reg[2] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X77_Y6_N38
+dffeas \SPI_ADC|data_from_adc[2] (
+ .clk(\SPI_ADC|clk_1MHz~q ),
+ .d(gnd),
+ .asdata(\SPI_ADC|shift_reg [2]),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(\SPI_ADC|adc_done~q ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\SPI_ADC|data_from_adc [2]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \SPI_ADC|data_from_adc[2] .is_wysiwyg = "true";
+defparam \SPI_ADC|data_from_adc[2] .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X77_Y6_N36
+cyclonev_lcell_comb \fin_address|Add0~9 (
+// Equation(s):
+// \fin_address|Add0~9_sumout = SUM(( \SPI_ADC|data_from_adc [2] ) + ( \fin_address|address [2] ) + ( \fin_address|Add0~6 ))
+// \fin_address|Add0~10 = CARRY(( \SPI_ADC|data_from_adc [2] ) + ( \fin_address|address [2] ) + ( \fin_address|Add0~6 ))
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\fin_address|address [2]),
+ .datad(!\SPI_ADC|data_from_adc [2]),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(\fin_address|Add0~6 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\fin_address|Add0~9_sumout ),
+ .cout(\fin_address|Add0~10 ),
+ .shareout());
+// synopsys translate_off
+defparam \fin_address|Add0~9 .extended_lut = "off";
+defparam \fin_address|Add0~9 .lut_mask = 64'h0000F0F0000000FF;
+defparam \fin_address|Add0~9 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X77_Y6_N21
+cyclonev_lcell_comb \fin_address|address[2]~feeder (
+// Equation(s):
+// \fin_address|address[2]~feeder_combout = \fin_address|Add0~9_sumout
+
+ .dataa(gnd),
+ .datab(!\fin_address|Add0~9_sumout ),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\fin_address|address[2]~feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \fin_address|address[2]~feeder .extended_lut = "off";
+defparam \fin_address|address[2]~feeder .lut_mask = 64'h3333333333333333;
+defparam \fin_address|address[2]~feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X77_Y6_N23
+dffeas \fin_address|address[2] (
+ .clk(\tick|CLK_OUT~q ),
+ .d(\fin_address|address[2]~feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\fin_address|address [2]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \fin_address|address[2] .is_wysiwyg = "true";
+defparam \fin_address|address[2] .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X74_Y6_N9
+cyclonev_lcell_comb \SPI_ADC|shift_reg[3]~feeder (
+// Equation(s):
+// \SPI_ADC|shift_reg[3]~feeder_combout = \SPI_ADC|shift_reg [2]
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\SPI_ADC|shift_reg [2]),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\SPI_ADC|shift_reg[3]~feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \SPI_ADC|shift_reg[3]~feeder .extended_lut = "off";
+defparam \SPI_ADC|shift_reg[3]~feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F;
+defparam \SPI_ADC|shift_reg[3]~feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X74_Y6_N10
+dffeas \SPI_ADC|shift_reg[3] (
+ .clk(!\SPI_ADC|clk_1MHz~q ),
+ .d(\SPI_ADC|shift_reg[3]~feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(\SPI_ADC|always3~0_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\SPI_ADC|shift_reg [3]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \SPI_ADC|shift_reg[3] .is_wysiwyg = "true";
+defparam \SPI_ADC|shift_reg[3] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X77_Y6_N41
+dffeas \SPI_ADC|data_from_adc[3] (
+ .clk(\SPI_ADC|clk_1MHz~q ),
+ .d(gnd),
+ .asdata(\SPI_ADC|shift_reg [3]),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(\SPI_ADC|adc_done~q ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\SPI_ADC|data_from_adc [3]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \SPI_ADC|data_from_adc[3] .is_wysiwyg = "true";
+defparam \SPI_ADC|data_from_adc[3] .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X77_Y6_N39
+cyclonev_lcell_comb \fin_address|Add0~13 (
+// Equation(s):
+// \fin_address|Add0~13_sumout = SUM(( \SPI_ADC|data_from_adc [3] ) + ( \fin_address|address [3] ) + ( \fin_address|Add0~10 ))
+// \fin_address|Add0~14 = CARRY(( \SPI_ADC|data_from_adc [3] ) + ( \fin_address|address [3] ) + ( \fin_address|Add0~10 ))
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\fin_address|address [3]),
+ .datad(!\SPI_ADC|data_from_adc [3]),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(\fin_address|Add0~10 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\fin_address|Add0~13_sumout ),
+ .cout(\fin_address|Add0~14 ),
+ .shareout());
+// synopsys translate_off
+defparam \fin_address|Add0~13 .extended_lut = "off";
+defparam \fin_address|Add0~13 .lut_mask = 64'h0000F0F0000000FF;
+defparam \fin_address|Add0~13 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X77_Y6_N12
+cyclonev_lcell_comb \fin_address|address[3]~feeder (
+// Equation(s):
+// \fin_address|address[3]~feeder_combout = ( \fin_address|Add0~13_sumout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\fin_address|Add0~13_sumout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\fin_address|address[3]~feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \fin_address|address[3]~feeder .extended_lut = "off";
+defparam \fin_address|address[3]~feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \fin_address|address[3]~feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X77_Y6_N14
+dffeas \fin_address|address[3] (
+ .clk(\tick|CLK_OUT~q ),
+ .d(\fin_address|address[3]~feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\fin_address|address [3]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \fin_address|address[3] .is_wysiwyg = "true";
+defparam \fin_address|address[3] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X74_Y6_N14
+dffeas \SPI_ADC|shift_reg[4] (
+ .clk(!\SPI_ADC|clk_1MHz~q ),
+ .d(gnd),
+ .asdata(\SPI_ADC|shift_reg [3]),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(\SPI_ADC|always3~0_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\SPI_ADC|shift_reg [4]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \SPI_ADC|shift_reg[4] .is_wysiwyg = "true";
+defparam \SPI_ADC|shift_reg[4] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X77_Y6_N44
+dffeas \SPI_ADC|data_from_adc[4] (
+ .clk(\SPI_ADC|clk_1MHz~q ),
+ .d(gnd),
+ .asdata(\SPI_ADC|shift_reg [4]),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(\SPI_ADC|adc_done~q ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\SPI_ADC|data_from_adc [4]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \SPI_ADC|data_from_adc[4] .is_wysiwyg = "true";
+defparam \SPI_ADC|data_from_adc[4] .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X77_Y6_N42
+cyclonev_lcell_comb \fin_address|Add0~17 (
+// Equation(s):
+// \fin_address|Add0~17_sumout = SUM(( \SPI_ADC|data_from_adc [4] ) + ( \fin_address|address [4] ) + ( \fin_address|Add0~14 ))
+// \fin_address|Add0~18 = CARRY(( \SPI_ADC|data_from_adc [4] ) + ( \fin_address|address [4] ) + ( \fin_address|Add0~14 ))
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\fin_address|address [4]),
+ .datad(!\SPI_ADC|data_from_adc [4]),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(\fin_address|Add0~14 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\fin_address|Add0~17_sumout ),
+ .cout(\fin_address|Add0~18 ),
+ .shareout());
+// synopsys translate_off
+defparam \fin_address|Add0~17 .extended_lut = "off";
+defparam \fin_address|Add0~17 .lut_mask = 64'h0000F0F0000000FF;
+defparam \fin_address|Add0~17 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X77_Y6_N3
+cyclonev_lcell_comb \fin_address|address[4]~feeder (
+// Equation(s):
+// \fin_address|address[4]~feeder_combout = \fin_address|Add0~17_sumout
+
+ .dataa(gnd),
+ .datab(!\fin_address|Add0~17_sumout ),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\fin_address|address[4]~feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \fin_address|address[4]~feeder .extended_lut = "off";
+defparam \fin_address|address[4]~feeder .lut_mask = 64'h3333333333333333;
+defparam \fin_address|address[4]~feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X77_Y6_N5
+dffeas \fin_address|address[4] (
+ .clk(\tick|CLK_OUT~q ),
+ .d(\fin_address|address[4]~feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\fin_address|address [4]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \fin_address|address[4] .is_wysiwyg = "true";
+defparam \fin_address|address[4] .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X74_Y6_N15
+cyclonev_lcell_comb \SPI_ADC|shift_reg[5]~feeder (
+// Equation(s):
+// \SPI_ADC|shift_reg[5]~feeder_combout = \SPI_ADC|shift_reg [4]
+
+ .dataa(!\SPI_ADC|shift_reg [4]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\SPI_ADC|shift_reg[5]~feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \SPI_ADC|shift_reg[5]~feeder .extended_lut = "off";
+defparam \SPI_ADC|shift_reg[5]~feeder .lut_mask = 64'h5555555555555555;
+defparam \SPI_ADC|shift_reg[5]~feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X74_Y6_N16
+dffeas \SPI_ADC|shift_reg[5] (
+ .clk(!\SPI_ADC|clk_1MHz~q ),
+ .d(\SPI_ADC|shift_reg[5]~feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(\SPI_ADC|always3~0_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\SPI_ADC|shift_reg [5]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \SPI_ADC|shift_reg[5] .is_wysiwyg = "true";
+defparam \SPI_ADC|shift_reg[5] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X77_Y6_N47
+dffeas \SPI_ADC|data_from_adc[5] (
+ .clk(\SPI_ADC|clk_1MHz~q ),
+ .d(gnd),
+ .asdata(\SPI_ADC|shift_reg [5]),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(\SPI_ADC|adc_done~q ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\SPI_ADC|data_from_adc [5]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \SPI_ADC|data_from_adc[5] .is_wysiwyg = "true";
+defparam \SPI_ADC|data_from_adc[5] .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X77_Y6_N45
+cyclonev_lcell_comb \fin_address|Add0~21 (
+// Equation(s):
+// \fin_address|Add0~21_sumout = SUM(( \SPI_ADC|data_from_adc [5] ) + ( \fin_address|address [5] ) + ( \fin_address|Add0~18 ))
+// \fin_address|Add0~22 = CARRY(( \SPI_ADC|data_from_adc [5] ) + ( \fin_address|address [5] ) + ( \fin_address|Add0~18 ))
+
+ .dataa(!\fin_address|address [5]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(!\SPI_ADC|data_from_adc [5]),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(\fin_address|Add0~18 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\fin_address|Add0~21_sumout ),
+ .cout(\fin_address|Add0~22 ),
+ .shareout());
+// synopsys translate_off
+defparam \fin_address|Add0~21 .extended_lut = "off";
+defparam \fin_address|Add0~21 .lut_mask = 64'h0000AAAA000000FF;
+defparam \fin_address|Add0~21 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X77_Y6_N18
+cyclonev_lcell_comb \fin_address|address[5]~feeder (
+// Equation(s):
+// \fin_address|address[5]~feeder_combout = ( \fin_address|Add0~21_sumout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\fin_address|Add0~21_sumout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\fin_address|address[5]~feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \fin_address|address[5]~feeder .extended_lut = "off";
+defparam \fin_address|address[5]~feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \fin_address|address[5]~feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X77_Y6_N20
+dffeas \fin_address|address[5] (
+ .clk(\tick|CLK_OUT~q ),
+ .d(\fin_address|address[5]~feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\fin_address|address [5]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \fin_address|address[5] .is_wysiwyg = "true";
+defparam \fin_address|address[5] .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X74_Y6_N0
+cyclonev_lcell_comb \SPI_ADC|shift_reg[6]~feeder (
+// Equation(s):
+// \SPI_ADC|shift_reg[6]~feeder_combout = \SPI_ADC|shift_reg [5]
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\SPI_ADC|shift_reg [5]),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\SPI_ADC|shift_reg[6]~feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \SPI_ADC|shift_reg[6]~feeder .extended_lut = "off";
+defparam \SPI_ADC|shift_reg[6]~feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F;
+defparam \SPI_ADC|shift_reg[6]~feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X74_Y6_N2
+dffeas \SPI_ADC|shift_reg[6] (
+ .clk(!\SPI_ADC|clk_1MHz~q ),
+ .d(\SPI_ADC|shift_reg[6]~feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(\SPI_ADC|always3~0_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\SPI_ADC|shift_reg [6]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \SPI_ADC|shift_reg[6] .is_wysiwyg = "true";
+defparam \SPI_ADC|shift_reg[6] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X77_Y6_N50
+dffeas \SPI_ADC|data_from_adc[6] (
+ .clk(\SPI_ADC|clk_1MHz~q ),
+ .d(gnd),
+ .asdata(\SPI_ADC|shift_reg [6]),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(\SPI_ADC|adc_done~q ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\SPI_ADC|data_from_adc [6]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \SPI_ADC|data_from_adc[6] .is_wysiwyg = "true";
+defparam \SPI_ADC|data_from_adc[6] .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X77_Y6_N48
+cyclonev_lcell_comb \fin_address|Add0~25 (
+// Equation(s):
+// \fin_address|Add0~25_sumout = SUM(( \SPI_ADC|data_from_adc [6] ) + ( \fin_address|address [6] ) + ( \fin_address|Add0~22 ))
+// \fin_address|Add0~26 = CARRY(( \SPI_ADC|data_from_adc [6] ) + ( \fin_address|address [6] ) + ( \fin_address|Add0~22 ))
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\fin_address|address [6]),
+ .datad(!\SPI_ADC|data_from_adc [6]),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(\fin_address|Add0~22 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\fin_address|Add0~25_sumout ),
+ .cout(\fin_address|Add0~26 ),
+ .shareout());
+// synopsys translate_off
+defparam \fin_address|Add0~25 .extended_lut = "off";
+defparam \fin_address|Add0~25 .lut_mask = 64'h0000F0F0000000FF;
+defparam \fin_address|Add0~25 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X77_Y6_N27
+cyclonev_lcell_comb \fin_address|address[6]~feeder (
+// Equation(s):
+// \fin_address|address[6]~feeder_combout = ( \fin_address|Add0~25_sumout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\fin_address|Add0~25_sumout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\fin_address|address[6]~feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \fin_address|address[6]~feeder .extended_lut = "off";
+defparam \fin_address|address[6]~feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \fin_address|address[6]~feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X77_Y6_N29
+dffeas \fin_address|address[6] (
+ .clk(\tick|CLK_OUT~q ),
+ .d(\fin_address|address[6]~feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\fin_address|address [6]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \fin_address|address[6] .is_wysiwyg = "true";
+defparam \fin_address|address[6] .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X74_Y6_N3
+cyclonev_lcell_comb \SPI_ADC|shift_reg[7]~feeder (
+// Equation(s):
+// \SPI_ADC|shift_reg[7]~feeder_combout = ( \SPI_ADC|shift_reg [6] )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\SPI_ADC|shift_reg [6]),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\SPI_ADC|shift_reg[7]~feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \SPI_ADC|shift_reg[7]~feeder .extended_lut = "off";
+defparam \SPI_ADC|shift_reg[7]~feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \SPI_ADC|shift_reg[7]~feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X74_Y6_N4
+dffeas \SPI_ADC|shift_reg[7] (
+ .clk(!\SPI_ADC|clk_1MHz~q ),
+ .d(\SPI_ADC|shift_reg[7]~feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(\SPI_ADC|always3~0_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\SPI_ADC|shift_reg [7]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \SPI_ADC|shift_reg[7] .is_wysiwyg = "true";
+defparam \SPI_ADC|shift_reg[7] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X77_Y6_N53
+dffeas \SPI_ADC|data_from_adc[7] (
+ .clk(\SPI_ADC|clk_1MHz~q ),
+ .d(gnd),
+ .asdata(\SPI_ADC|shift_reg [7]),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(\SPI_ADC|adc_done~q ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\SPI_ADC|data_from_adc [7]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \SPI_ADC|data_from_adc[7] .is_wysiwyg = "true";
+defparam \SPI_ADC|data_from_adc[7] .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X77_Y6_N51
+cyclonev_lcell_comb \fin_address|Add0~29 (
+// Equation(s):
+// \fin_address|Add0~29_sumout = SUM(( \SPI_ADC|data_from_adc [7] ) + ( \fin_address|address [7] ) + ( \fin_address|Add0~26 ))
+// \fin_address|Add0~30 = CARRY(( \SPI_ADC|data_from_adc [7] ) + ( \fin_address|address [7] ) + ( \fin_address|Add0~26 ))
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\fin_address|address [7]),
+ .datad(!\SPI_ADC|data_from_adc [7]),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(\fin_address|Add0~26 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\fin_address|Add0~29_sumout ),
+ .cout(\fin_address|Add0~30 ),
+ .shareout());
+// synopsys translate_off
+defparam \fin_address|Add0~29 .extended_lut = "off";
+defparam \fin_address|Add0~29 .lut_mask = 64'h0000F0F0000000FF;
+defparam \fin_address|Add0~29 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X77_Y6_N15
+cyclonev_lcell_comb \fin_address|address[7]~feeder (
+// Equation(s):
+// \fin_address|address[7]~feeder_combout = ( \fin_address|Add0~29_sumout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\fin_address|Add0~29_sumout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\fin_address|address[7]~feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \fin_address|address[7]~feeder .extended_lut = "off";
+defparam \fin_address|address[7]~feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \fin_address|address[7]~feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X77_Y6_N17
+dffeas \fin_address|address[7] (
+ .clk(\tick|CLK_OUT~q ),
+ .d(\fin_address|address[7]~feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\fin_address|address [7]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \fin_address|address[7] .is_wysiwyg = "true";
+defparam \fin_address|address[7] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X74_Y6_N50
+dffeas \SPI_ADC|shift_reg[8] (
+ .clk(!\SPI_ADC|clk_1MHz~q ),
+ .d(gnd),
+ .asdata(\SPI_ADC|shift_reg [7]),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(\SPI_ADC|always3~0_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\SPI_ADC|shift_reg [8]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \SPI_ADC|shift_reg[8] .is_wysiwyg = "true";
+defparam \SPI_ADC|shift_reg[8] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X77_Y6_N56
+dffeas \SPI_ADC|data_from_adc[8] (
+ .clk(\SPI_ADC|clk_1MHz~q ),
+ .d(gnd),
+ .asdata(\SPI_ADC|shift_reg [8]),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(\SPI_ADC|adc_done~q ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\SPI_ADC|data_from_adc [8]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \SPI_ADC|data_from_adc[8] .is_wysiwyg = "true";
+defparam \SPI_ADC|data_from_adc[8] .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X77_Y6_N54
+cyclonev_lcell_comb \fin_address|Add0~33 (
+// Equation(s):
+// \fin_address|Add0~33_sumout = SUM(( \SPI_ADC|data_from_adc [8] ) + ( \fin_address|address [8] ) + ( \fin_address|Add0~30 ))
+// \fin_address|Add0~34 = CARRY(( \SPI_ADC|data_from_adc [8] ) + ( \fin_address|address [8] ) + ( \fin_address|Add0~30 ))
+
+ .dataa(gnd),
+ .datab(!\fin_address|address [8]),
+ .datac(gnd),
+ .datad(!\SPI_ADC|data_from_adc [8]),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(\fin_address|Add0~30 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\fin_address|Add0~33_sumout ),
+ .cout(\fin_address|Add0~34 ),
+ .shareout());
+// synopsys translate_off
+defparam \fin_address|Add0~33 .extended_lut = "off";
+defparam \fin_address|Add0~33 .lut_mask = 64'h0000CCCC000000FF;
+defparam \fin_address|Add0~33 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X77_Y6_N6
+cyclonev_lcell_comb \fin_address|address[8]~feeder (
+// Equation(s):
+// \fin_address|address[8]~feeder_combout = ( \fin_address|Add0~33_sumout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\fin_address|Add0~33_sumout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\fin_address|address[8]~feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \fin_address|address[8]~feeder .extended_lut = "off";
+defparam \fin_address|address[8]~feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \fin_address|address[8]~feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X77_Y6_N8
+dffeas \fin_address|address[8] (
+ .clk(\tick|CLK_OUT~q ),
+ .d(\fin_address|address[8]~feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\fin_address|address [8]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \fin_address|address[8] .is_wysiwyg = "true";
+defparam \fin_address|address[8] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X74_Y6_N49
+dffeas \SPI_ADC|shift_reg[8]~DUPLICATE (
+ .clk(!\SPI_ADC|clk_1MHz~q ),
+ .d(gnd),
+ .asdata(\SPI_ADC|shift_reg [7]),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(\SPI_ADC|always3~0_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\SPI_ADC|shift_reg[8]~DUPLICATE_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \SPI_ADC|shift_reg[8]~DUPLICATE .is_wysiwyg = "true";
+defparam \SPI_ADC|shift_reg[8]~DUPLICATE .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X74_Y6_N53
+dffeas \SPI_ADC|shift_reg[9] (
+ .clk(!\SPI_ADC|clk_1MHz~q ),
+ .d(gnd),
+ .asdata(\SPI_ADC|shift_reg[8]~DUPLICATE_q ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(\SPI_ADC|always3~0_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\SPI_ADC|shift_reg [9]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \SPI_ADC|shift_reg[9] .is_wysiwyg = "true";
+defparam \SPI_ADC|shift_reg[9] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X77_Y6_N59
+dffeas \SPI_ADC|data_from_adc[9] (
+ .clk(\SPI_ADC|clk_1MHz~q ),
+ .d(gnd),
+ .asdata(\SPI_ADC|shift_reg [9]),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(\SPI_ADC|adc_done~q ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\SPI_ADC|data_from_adc [9]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \SPI_ADC|data_from_adc[9] .is_wysiwyg = "true";
+defparam \SPI_ADC|data_from_adc[9] .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X77_Y6_N57
+cyclonev_lcell_comb \fin_address|Add0~37 (
+// Equation(s):
+// \fin_address|Add0~37_sumout = SUM(( \SPI_ADC|data_from_adc [9] ) + ( \fin_address|address [9] ) + ( \fin_address|Add0~34 ))
+
+ .dataa(!\fin_address|address [9]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(!\SPI_ADC|data_from_adc [9]),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(\fin_address|Add0~34 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\fin_address|Add0~37_sumout ),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \fin_address|Add0~37 .extended_lut = "off";
+defparam \fin_address|Add0~37 .lut_mask = 64'h0000AAAA000000FF;
+defparam \fin_address|Add0~37 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X77_Y6_N0
+cyclonev_lcell_comb \fin_address|address[9]~feeder (
+// Equation(s):
+// \fin_address|address[9]~feeder_combout = ( \fin_address|Add0~37_sumout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\fin_address|Add0~37_sumout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\fin_address|address[9]~feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \fin_address|address[9]~feeder .extended_lut = "off";
+defparam \fin_address|address[9]~feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \fin_address|address[9]~feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X77_Y6_N2
+dffeas \fin_address|address[9] (
+ .clk(\tick|CLK_OUT~q ),
+ .d(\fin_address|address[9]~feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\fin_address|address [9]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \fin_address|address[9] .is_wysiwyg = "true";
+defparam \fin_address|address[9] .power_up = "low";
+// synopsys translate_on
+
+// Location: M10K_X76_Y6_N0
+cyclonev_ram_block \rom|altsyncram_component|auto_generated|ram_block1a0 (
+ .portawe(vcc),
+ .portare(vcc),
+ .portaaddrstall(gnd),
+ .portbwe(gnd),
+ .portbre(vcc),
+ .portbaddrstall(gnd),
+ .clk0(\CLOCK_50~inputCLKENA0_outclk ),
+ .clk1(gnd),
+ .ena0(vcc),
+ .ena1(vcc),
+ .ena2(vcc),
+ .ena3(vcc),
+ .clr0(gnd),
+ .clr1(gnd),
+ .nerror(vcc),
+ .portadatain(10'b0000000000),
+ .portaaddr({\fin_address|address [9],\fin_address|address [8],\fin_address|address [7],\fin_address|address [6],\fin_address|address [5],\fin_address|address [4],\fin_address|address [3],\fin_address|address [2],\fin_address|address [1],\fin_address|address [0]}),
+ .portabyteenamasks(1'b1),
+ .portbdatain(10'b0000000000),
+ .portbaddr(10'b0000000000),
+ .portbbyteenamasks(1'b1),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .portadataout(\rom|altsyncram_component|auto_generated|ram_block1a0_PORTADATAOUT_bus ),
+ .portbdataout(),
+ .eccstatus(),
+ .dftout());
+// synopsys translate_off
+defparam \rom|altsyncram_component|auto_generated|ram_block1a0 .data_interleave_offset_in_bits = 1;
+defparam \rom|altsyncram_component|auto_generated|ram_block1a0 .data_interleave_width_in_bits = 1;
+defparam \rom|altsyncram_component|auto_generated|ram_block1a0 .init_file = "./rom_data/rom_data.mif";
+defparam \rom|altsyncram_component|auto_generated|ram_block1a0 .init_file_layout = "port_a";
+defparam \rom|altsyncram_component|auto_generated|ram_block1a0 .logical_ram_name = "ROM:rom|altsyncram:altsyncram_component|altsyncram_6ng1:auto_generated|ALTSYNCRAM";
+defparam \rom|altsyncram_component|auto_generated|ram_block1a0 .operation_mode = "rom";
+defparam \rom|altsyncram_component|auto_generated|ram_block1a0 .port_a_address_clear = "none";
+defparam \rom|altsyncram_component|auto_generated|ram_block1a0 .port_a_address_width = 10;
+defparam \rom|altsyncram_component|auto_generated|ram_block1a0 .port_a_byte_enable_clock = "none";
+defparam \rom|altsyncram_component|auto_generated|ram_block1a0 .port_a_data_out_clear = "none";
+defparam \rom|altsyncram_component|auto_generated|ram_block1a0 .port_a_data_out_clock = "clock0";
+defparam \rom|altsyncram_component|auto_generated|ram_block1a0 .port_a_data_width = 10;
+defparam \rom|altsyncram_component|auto_generated|ram_block1a0 .port_a_first_address = 0;
+defparam \rom|altsyncram_component|auto_generated|ram_block1a0 .port_a_first_bit_number = 0;
+defparam \rom|altsyncram_component|auto_generated|ram_block1a0 .port_a_last_address = 1023;
+defparam \rom|altsyncram_component|auto_generated|ram_block1a0 .port_a_logical_ram_depth = 1024;
+defparam \rom|altsyncram_component|auto_generated|ram_block1a0 .port_a_logical_ram_width = 10;
+defparam \rom|altsyncram_component|auto_generated|ram_block1a0 .port_a_read_during_write_mode = "new_data_no_nbe_read";
+defparam \rom|altsyncram_component|auto_generated|ram_block1a0 .port_a_write_enable_clock = "none";
+defparam \rom|altsyncram_component|auto_generated|ram_block1a0 .port_b_address_width = 10;
+defparam \rom|altsyncram_component|auto_generated|ram_block1a0 .port_b_data_width = 10;
+defparam \rom|altsyncram_component|auto_generated|ram_block1a0 .ram_block_type = "M20K";
+defparam \rom|altsyncram_component|auto_generated|ram_block1a0 .mem_init4 = "7F1F97D9F37C1ED7A9E678DE0775DA75DD4741CD729C7711C16F9BB6E1B46C5AE6ADA8695A267D9C665966498F63189619836017D5E9775D1715B96B5A1655895F57159559535414D52947511424FD3C4E5364CD304B52A4A1254891F4711A45D144450E4310941903404FE3ECF93D8F33C4EE3ACE9398E3384DE370D9358D4344CF330CA31CC5308C02F4BB2E4B62D0B12BCAD2A8A8298A32849F2749A260962509123C8D22C8921C85208801F87C1E8781D8741C8701B86C1A86819C6518C6117C5D1705A16056150531444F1384C1284911C46110421043F0F83C0EC390E0370D4340C8310C02E0B42C0AC290A0270982508C220842007C1E0741C06C1A06";
+defparam \rom|altsyncram_component|auto_generated|ram_block1a0 .mem_init3 = "41805C160541404C13048110400F03C0E0340C0300B0280A024090200801C0601806014050100400C0300C020080200801004010040100000000000000000000000000000000000000010040100401008020080200C0300C0401005014060180601C08020090240A0280B0300C0340E03C0F040110481304C140541605C180641A06C1C0741E07C200842208C25098270A0290AC2C0B42E0C0310C8340D4370E0390EC3C0F83F104421104611C491284C1384F14453150561605A1705D17C6118C6519C681A86C1B8701C8741D8781E87C1F8802088521C8922C8D23C91250962609A2749F284A3298A82A8AD2BCB12D0B62E4BB2F4C0308C531CCA330CF344D";
+defparam \rom|altsyncram_component|auto_generated|ram_block1a0 .mem_init2 = "4358D9370DE384E3398E93ACEE3C4F33D8F93ECFE40503419094310E4451445D1A4711F489254A12A4B5304CD364E53C4FD42511475294D54153559595715F589655A16B5B9715D1775E97D60183619896318F649966659C67DA2695A86ADAE6C5B46E1BB6F9C1711C7729CD741D475DDA775E078DE67A9ED7C1F37D9F97F20080E068260C83E12856198721F88A258A22B8BE328D6388EE3E9064491E4B93A519525796A5D9826399A699B6709CE769E67C9FE82A1688A2E8EA4694A5E9AA76A0A8EA6AA6ACABEB2AD6B8AEEBDB02C3B1AC9B32CFB4AD5B5EDAB76E0B8EE5BA2EBBBAF1BCEF6BE6FCBFB01C1306C270CC3B11C5316C671CC7B21C8F26CA72BC";
+defparam \rom|altsyncram_component|auto_generated|ram_block1a0 .mem_init1 = "BB30CCF35CE33ACF73FD0B44D1B49D2F4ED4352D5757D675CD7B60D8B65D9F69DAF6EDC372DD376DE37ADF77FE0783E1787E278BE378FE4793E5797E639AE739EE83A2E8FA5E9FA9EAFACEBBB0EC7B3ED7B6EE3B9EEFBDEFBC0F07C3F13C6F1FC8F2BCBF37CEF3FD1F4BD3F53D6F5FD8F67DAF73DDF7BDFF83E1F8BE3F93E5F9BE7FA3E9FABEBFB3ECFB7EEFBFF0FC3F1FCBF3FCFF4FD7F5FDBF6FDFF7FE3F9FE7F9FEBFAFEFFBFF3FCFF3FDFF7FDFF7FEFFBFEFFBFEFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFEFFBFEFFBFEFF7FDFF7FDFF3FCFF3FBFEFFAFEBF9FE7F9FE3F7FDFF6FDBF5FD7F4FCFF3FCBF1FC3F0FBFEEFB7ECFB3EBFABE9FA3E7F9B";
+defparam \rom|altsyncram_component|auto_generated|ram_block1a0 .mem_init0 = "E5F93E3F8BE1F83DFF7BDDF73DAF67D8F5FD6F53D3F4BD1F3FCEF37CBF2BC8F1FC6F13C3F07C0EFBBDEEFB9EE3B6ED7B3EC7B0EBBACEAFA9E9FA5E8FA2E839EE739AE6397E5793E478FE378BE2787E1783E077FDF77ADE376DD372DC36EDAF69D9F65D8B60D7B5CD6757D5752D434ED2F49D1B44D0B3FCF73ACE335CCF30CBB2BCA726C8F21C7B1CC6716C5311C3B0CC2706C1301BFAFCBE6F6BCEF1BBAEBBA2E5B8EE0B76DAB5ED5B4ACFB32C9B1AC3B02BDAEEB8AD6B2ABEACAA6A6A8EA0A769AA5E94A468EA2E88A16829FE7C9E6769CE709B66999A639825D96A579525193A4B91E449063E8EE388D6328BE2B8A22588A1F872198561283E0C8260680E00";
+// synopsys translate_on
+
+// Location: MLABCELL_X72_Y6_N54
+cyclonev_lcell_comb \dac|shift_reg[11]~feeder (
+// Equation(s):
+// \dac|shift_reg[11]~feeder_combout = ( \rom|altsyncram_component|auto_generated|q_a [9] )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\rom|altsyncram_component|auto_generated|q_a [9]),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\dac|shift_reg[11]~feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \dac|shift_reg[11]~feeder .extended_lut = "off";
+defparam \dac|shift_reg[11]~feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \dac|shift_reg[11]~feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X73_Y6_N15
+cyclonev_lcell_comb \dac|shift_reg[10]~feeder (
+// Equation(s):
+// \dac|shift_reg[10]~feeder_combout = ( \rom|altsyncram_component|auto_generated|q_a [8] )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\rom|altsyncram_component|auto_generated|q_a [8]),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\dac|shift_reg[10]~feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \dac|shift_reg[10]~feeder .extended_lut = "off";
+defparam \dac|shift_reg[10]~feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \dac|shift_reg[10]~feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X72_Y6_N24
+cyclonev_lcell_comb \dac|shift_reg[9]~feeder (
+// Equation(s):
+// \dac|shift_reg[9]~feeder_combout = ( \rom|altsyncram_component|auto_generated|q_a [7] )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\rom|altsyncram_component|auto_generated|q_a [7]),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\dac|shift_reg[9]~feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \dac|shift_reg[9]~feeder .extended_lut = "off";
+defparam \dac|shift_reg[9]~feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \dac|shift_reg[9]~feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X72_Y6_N0
+cyclonev_lcell_comb \dac|shift_reg[8]~feeder (
+// Equation(s):
+// \dac|shift_reg[8]~feeder_combout = \rom|altsyncram_component|auto_generated|q_a [6]
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\rom|altsyncram_component|auto_generated|q_a [6]),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\dac|shift_reg[8]~feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \dac|shift_reg[8]~feeder .extended_lut = "off";
+defparam \dac|shift_reg[8]~feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F;
+defparam \dac|shift_reg[8]~feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X72_Y6_N15
+cyclonev_lcell_comb \dac|shift_reg[7]~feeder (
+// Equation(s):
+// \dac|shift_reg[7]~feeder_combout = ( \rom|altsyncram_component|auto_generated|q_a [5] )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\rom|altsyncram_component|auto_generated|q_a [5]),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\dac|shift_reg[7]~feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \dac|shift_reg[7]~feeder .extended_lut = "off";
+defparam \dac|shift_reg[7]~feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \dac|shift_reg[7]~feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X73_Y6_N12
+cyclonev_lcell_comb \dac|shift_reg[6]~feeder (
+// Equation(s):
+// \dac|shift_reg[6]~feeder_combout = ( \rom|altsyncram_component|auto_generated|q_a [4] )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\rom|altsyncram_component|auto_generated|q_a [4]),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\dac|shift_reg[6]~feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \dac|shift_reg[6]~feeder .extended_lut = "off";
+defparam \dac|shift_reg[6]~feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \dac|shift_reg[6]~feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X73_Y6_N18
+cyclonev_lcell_comb \dac|shift_reg[5]~feeder (
+// Equation(s):
+// \dac|shift_reg[5]~feeder_combout = \rom|altsyncram_component|auto_generated|q_a [3]
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\rom|altsyncram_component|auto_generated|q_a [3]),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\dac|shift_reg[5]~feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \dac|shift_reg[5]~feeder .extended_lut = "off";
+defparam \dac|shift_reg[5]~feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F;
+defparam \dac|shift_reg[5]~feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X72_Y6_N18
+cyclonev_lcell_comb \dac|shift_reg[4]~feeder (
+// Equation(s):
+// \dac|shift_reg[4]~feeder_combout = \rom|altsyncram_component|auto_generated|q_a [2]
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\rom|altsyncram_component|auto_generated|q_a [2]),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\dac|shift_reg[4]~feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \dac|shift_reg[4]~feeder .extended_lut = "off";
+defparam \dac|shift_reg[4]~feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F;
+defparam \dac|shift_reg[4]~feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X72_Y6_N39
+cyclonev_lcell_comb \dac|shift_reg[3]~feeder (
+// Equation(s):
+// \dac|shift_reg[3]~feeder_combout = \rom|altsyncram_component|auto_generated|q_a [1]
+
+ .dataa(!\rom|altsyncram_component|auto_generated|q_a [1]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\dac|shift_reg[3]~feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \dac|shift_reg[3]~feeder .extended_lut = "off";
+defparam \dac|shift_reg[3]~feeder .lut_mask = 64'h5555555555555555;
+defparam \dac|shift_reg[3]~feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X73_Y6_N48
+cyclonev_lcell_comb \dac|shift_reg~4 (
+// Equation(s):
+// \dac|shift_reg~4_combout = ( \dac|WideNor0~combout & ( (\rom|altsyncram_component|auto_generated|q_a [0] & \dac|sr_state.WAIT_CSB_FALL~q ) ) )
+
+ .dataa(gnd),
+ .datab(!\rom|altsyncram_component|auto_generated|q_a [0]),
+ .datac(gnd),
+ .datad(!\dac|sr_state.WAIT_CSB_FALL~q ),
+ .datae(!\dac|WideNor0~combout ),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\dac|shift_reg~4_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \dac|shift_reg~4 .extended_lut = "off";
+defparam \dac|shift_reg~4 .lut_mask = 64'h0000003300000033;
+defparam \dac|shift_reg~4 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X73_Y6_N49
+dffeas \dac|shift_reg[2] (
+ .clk(\dac|clk_1MHz~q ),
+ .d(\dac|shift_reg~4_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\dac|shift_reg [2]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \dac|shift_reg[2] .is_wysiwyg = "true";
+defparam \dac|shift_reg[2] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X72_Y6_N38
+dffeas \dac|state[4] (
+ .clk(\dac|clk_1MHz~q ),
+ .d(\dac|state[4]~feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\dac|state [4]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \dac|state[4] .is_wysiwyg = "true";
+defparam \dac|state[4] .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X72_Y6_N45
+cyclonev_lcell_comb \dac|always5~0 (
+// Equation(s):
+// \dac|always5~0_combout = ( \dac|state [0] & ( \dac|sr_state.WAIT_CSB_FALL~q & ( (((!\dac|state [4]) # (\dac|state [2])) # (\dac|state [3])) # (\dac|state [1]) ) ) ) # ( !\dac|state [0] & ( \dac|sr_state.WAIT_CSB_FALL~q & ( (((\dac|state [4]) #
+// (\dac|state [2])) # (\dac|state [3])) # (\dac|state [1]) ) ) ) # ( \dac|state [0] & ( !\dac|sr_state.WAIT_CSB_FALL~q ) ) # ( !\dac|state [0] & ( !\dac|sr_state.WAIT_CSB_FALL~q ) )
+
+ .dataa(!\dac|state [1]),
+ .datab(!\dac|state [3]),
+ .datac(!\dac|state [2]),
+ .datad(!\dac|state [4]),
+ .datae(!\dac|state [0]),
+ .dataf(!\dac|sr_state.WAIT_CSB_FALL~q ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\dac|always5~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \dac|always5~0 .extended_lut = "off";
+defparam \dac|always5~0 .lut_mask = 64'hFFFFFFFF7FFFFF7F;
+defparam \dac|always5~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X72_Y6_N40
+dffeas \dac|shift_reg[3] (
+ .clk(\dac|clk_1MHz~q ),
+ .d(\dac|shift_reg[3]~feeder_combout ),
+ .asdata(\dac|shift_reg [2]),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(\dac|always5~0_combout ),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\dac|shift_reg [3]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \dac|shift_reg[3] .is_wysiwyg = "true";
+defparam \dac|shift_reg[3] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X72_Y6_N19
+dffeas \dac|shift_reg[4] (
+ .clk(\dac|clk_1MHz~q ),
+ .d(\dac|shift_reg[4]~feeder_combout ),
+ .asdata(\dac|shift_reg [3]),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(\dac|always5~0_combout ),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\dac|shift_reg [4]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \dac|shift_reg[4] .is_wysiwyg = "true";
+defparam \dac|shift_reg[4] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X73_Y6_N19
+dffeas \dac|shift_reg[5] (
+ .clk(\dac|clk_1MHz~q ),
+ .d(\dac|shift_reg[5]~feeder_combout ),
+ .asdata(\dac|shift_reg [4]),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(\dac|always5~0_combout ),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\dac|shift_reg [5]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \dac|shift_reg[5] .is_wysiwyg = "true";
+defparam \dac|shift_reg[5] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X73_Y6_N13
+dffeas \dac|shift_reg[6] (
+ .clk(\dac|clk_1MHz~q ),
+ .d(\dac|shift_reg[6]~feeder_combout ),
+ .asdata(\dac|shift_reg [5]),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(\dac|always5~0_combout ),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\dac|shift_reg [6]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \dac|shift_reg[6] .is_wysiwyg = "true";
+defparam \dac|shift_reg[6] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X72_Y6_N17
+dffeas \dac|shift_reg[7] (
+ .clk(\dac|clk_1MHz~q ),
+ .d(\dac|shift_reg[7]~feeder_combout ),
+ .asdata(\dac|shift_reg [6]),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(\dac|always5~0_combout ),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\dac|shift_reg [7]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \dac|shift_reg[7] .is_wysiwyg = "true";
+defparam \dac|shift_reg[7] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X72_Y6_N1
+dffeas \dac|shift_reg[8] (
+ .clk(\dac|clk_1MHz~q ),
+ .d(\dac|shift_reg[8]~feeder_combout ),
+ .asdata(\dac|shift_reg [7]),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(\dac|always5~0_combout ),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\dac|shift_reg [8]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \dac|shift_reg[8] .is_wysiwyg = "true";
+defparam \dac|shift_reg[8] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X72_Y6_N25
+dffeas \dac|shift_reg[9] (
+ .clk(\dac|clk_1MHz~q ),
+ .d(\dac|shift_reg[9]~feeder_combout ),
+ .asdata(\dac|shift_reg [8]),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(\dac|always5~0_combout ),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\dac|shift_reg [9]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \dac|shift_reg[9] .is_wysiwyg = "true";
+defparam \dac|shift_reg[9] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X73_Y6_N16
+dffeas \dac|shift_reg[10] (
+ .clk(\dac|clk_1MHz~q ),
+ .d(\dac|shift_reg[10]~feeder_combout ),
+ .asdata(\dac|shift_reg [9]),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(\dac|always5~0_combout ),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\dac|shift_reg [10]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \dac|shift_reg[10] .is_wysiwyg = "true";
+defparam \dac|shift_reg[10] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X72_Y6_N56
+dffeas \dac|shift_reg[11] (
+ .clk(\dac|clk_1MHz~q ),
+ .d(\dac|shift_reg[11]~feeder_combout ),
+ .asdata(\dac|shift_reg [10]),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(\dac|always5~0_combout ),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\dac|shift_reg [11]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \dac|shift_reg[11] .is_wysiwyg = "true";
+defparam \dac|shift_reg[11] .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X73_Y6_N3
+cyclonev_lcell_comb \dac|shift_reg~3 (
+// Equation(s):
+// \dac|shift_reg~3_combout = ( \dac|shift_reg [11] ) # ( !\dac|shift_reg [11] & ( (\dac|sr_state.WAIT_CSB_FALL~q & \dac|WideNor0~combout ) ) )
+
+ .dataa(gnd),
+ .datab(!\dac|sr_state.WAIT_CSB_FALL~q ),
+ .datac(gnd),
+ .datad(!\dac|WideNor0~combout ),
+ .datae(gnd),
+ .dataf(!\dac|shift_reg [11]),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\dac|shift_reg~3_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \dac|shift_reg~3 .extended_lut = "off";
+defparam \dac|shift_reg~3 .lut_mask = 64'h00330033FFFFFFFF;
+defparam \dac|shift_reg~3 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X73_Y6_N4
+dffeas \dac|shift_reg[12] (
+ .clk(\dac|clk_1MHz~q ),
+ .d(\dac|shift_reg~3_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\dac|shift_reg [12]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \dac|shift_reg[12] .is_wysiwyg = "true";
+defparam \dac|shift_reg[12] .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X73_Y6_N36
+cyclonev_lcell_comb \dac|shift_reg~2 (
+// Equation(s):
+// \dac|shift_reg~2_combout = ( \dac|shift_reg [12] ) # ( !\dac|shift_reg [12] & ( (\dac|sr_state.WAIT_CSB_FALL~q & \dac|WideNor0~combout ) ) )
+
+ .dataa(gnd),
+ .datab(!\dac|sr_state.WAIT_CSB_FALL~q ),
+ .datac(gnd),
+ .datad(!\dac|WideNor0~combout ),
+ .datae(gnd),
+ .dataf(!\dac|shift_reg [12]),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\dac|shift_reg~2_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \dac|shift_reg~2 .extended_lut = "off";
+defparam \dac|shift_reg~2 .lut_mask = 64'h00330033FFFFFFFF;
+defparam \dac|shift_reg~2 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X73_Y6_N37
+dffeas \dac|shift_reg[13] (
+ .clk(\dac|clk_1MHz~q ),
+ .d(\dac|shift_reg~2_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\dac|shift_reg [13]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \dac|shift_reg[13] .is_wysiwyg = "true";
+defparam \dac|shift_reg[13] .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X73_Y6_N39
+cyclonev_lcell_comb \dac|shift_reg~1 (
+// Equation(s):
+// \dac|shift_reg~1_combout = ( \dac|shift_reg [13] ) # ( !\dac|shift_reg [13] & ( (\dac|sr_state.WAIT_CSB_FALL~q & \dac|WideNor0~combout ) ) )
+
+ .dataa(gnd),
+ .datab(!\dac|sr_state.WAIT_CSB_FALL~q ),
+ .datac(gnd),
+ .datad(!\dac|WideNor0~combout ),
+ .datae(gnd),
+ .dataf(!\dac|shift_reg [13]),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\dac|shift_reg~1_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \dac|shift_reg~1 .extended_lut = "off";
+defparam \dac|shift_reg~1 .lut_mask = 64'h00330033FFFFFFFF;
+defparam \dac|shift_reg~1 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X73_Y6_N40
+dffeas \dac|shift_reg[14] (
+ .clk(\dac|clk_1MHz~q ),
+ .d(\dac|shift_reg~1_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\dac|shift_reg [14]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \dac|shift_reg[14] .is_wysiwyg = "true";
+defparam \dac|shift_reg[14] .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X73_Y6_N0
+cyclonev_lcell_comb \dac|shift_reg~0 (
+// Equation(s):
+// \dac|shift_reg~0_combout = ( \dac|shift_reg [14] & ( (!\dac|sr_state.WAIT_CSB_FALL~q ) # (!\dac|WideNor0~combout ) ) )
+
+ .dataa(gnd),
+ .datab(!\dac|sr_state.WAIT_CSB_FALL~q ),
+ .datac(gnd),
+ .datad(!\dac|WideNor0~combout ),
+ .datae(gnd),
+ .dataf(!\dac|shift_reg [14]),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\dac|shift_reg~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \dac|shift_reg~0 .extended_lut = "off";
+defparam \dac|shift_reg~0 .lut_mask = 64'h00000000FFCCFFCC;
+defparam \dac|shift_reg~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X73_Y6_N1
+dffeas \dac|shift_reg[15] (
+ .clk(\dac|clk_1MHz~q ),
+ .d(\dac|shift_reg~0_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\dac|shift_reg [15]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \dac|shift_reg[15] .is_wysiwyg = "true";
+defparam \dac|shift_reg[15] .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X73_Y6_N21
+cyclonev_lcell_comb \dac|Equal2~0 (
+// Equation(s):
+// \dac|Equal2~0_combout = ( \dac|state[4]~DUPLICATE_q & ( (\dac|state [0] & (!\dac|state [3] & (!\dac|state [1] & !\dac|state[2]~DUPLICATE_q ))) ) )
+
+ .dataa(!\dac|state [0]),
+ .datab(!\dac|state [3]),
+ .datac(!\dac|state [1]),
+ .datad(!\dac|state[2]~DUPLICATE_q ),
+ .datae(gnd),
+ .dataf(!\dac|state[4]~DUPLICATE_q ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\dac|Equal2~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \dac|Equal2~0 .extended_lut = "off";
+defparam \dac|Equal2~0 .lut_mask = 64'h0000000040004000;
+defparam \dac|Equal2~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X73_Y6_N6
+cyclonev_lcell_comb \dac|dac_sck (
+// Equation(s):
+// \dac|dac_sck~combout = ( \dac|state [3] & ( \dac|clk_1MHz~q ) ) # ( !\dac|state [3] & ( \dac|clk_1MHz~q ) ) # ( !\dac|state [3] & ( !\dac|clk_1MHz~q & ( (!\dac|state[2]~DUPLICATE_q & (!\dac|state [1] & (!\dac|state [0] $ (\dac|state[4]~DUPLICATE_q
+// )))) ) ) )
+
+ .dataa(!\dac|state [0]),
+ .datab(!\dac|state[4]~DUPLICATE_q ),
+ .datac(!\dac|state[2]~DUPLICATE_q ),
+ .datad(!\dac|state [1]),
+ .datae(!\dac|state [3]),
+ .dataf(!\dac|clk_1MHz~q ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\dac|dac_sck~combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \dac|dac_sck .extended_lut = "off";
+defparam \dac|dac_sck .lut_mask = 64'h90000000FFFFFFFF;
+defparam \dac|dac_sck .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X75_Y6_N23
+dffeas \SPI_ADC|state[2]~DUPLICATE (
+ .clk(\SPI_ADC|clk_1MHz~q ),
+ .d(gnd),
+ .asdata(\SPI_ADC|state[2]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\SPI_ADC|state[2]~DUPLICATE_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \SPI_ADC|state[2]~DUPLICATE .is_wysiwyg = "true";
+defparam \SPI_ADC|state[2]~DUPLICATE .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X75_Y6_N0
+cyclonev_lcell_comb \SPI_ADC|Selector6~0 (
+// Equation(s):
+// \SPI_ADC|Selector6~0_combout = ( !\SPI_ADC|state [4] & ( \SPI_ADC|state [0] & ( (!\SPI_ADC|state[2]~DUPLICATE_q & !\SPI_ADC|state[3]~DUPLICATE_q ) ) ) ) # ( !\SPI_ADC|state [4] & ( !\SPI_ADC|state [0] & ( (!\SPI_ADC|state[2]~DUPLICATE_q &
+// (!\SPI_ADC|state[1]~DUPLICATE_q & (!\SPI_ADC|state[3]~DUPLICATE_q & \SPI_ADC|adc_start~q ))) ) ) )
+
+ .dataa(!\SPI_ADC|state[2]~DUPLICATE_q ),
+ .datab(!\SPI_ADC|state[1]~DUPLICATE_q ),
+ .datac(!\SPI_ADC|state[3]~DUPLICATE_q ),
+ .datad(!\SPI_ADC|adc_start~q ),
+ .datae(!\SPI_ADC|state [4]),
+ .dataf(!\SPI_ADC|state [0]),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\SPI_ADC|Selector6~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \SPI_ADC|Selector6~0 .extended_lut = "off";
+defparam \SPI_ADC|Selector6~0 .lut_mask = 64'h00800000A0A00000;
+defparam \SPI_ADC|Selector6~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X75_Y6_N1
+dffeas \SPI_ADC|adc_din (
+ .clk(\SPI_ADC|clk_1MHz~q ),
+ .d(\SPI_ADC|Selector6~0_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\SPI_ADC|adc_din~q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \SPI_ADC|adc_din .is_wysiwyg = "true";
+defparam \SPI_ADC|adc_din .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X75_Y6_N57
+cyclonev_lcell_comb \SPI_ADC|adc_sck (
+// Equation(s):
+// \SPI_ADC|adc_sck~combout = ( \SPI_ADC|adc_cs~q & ( \SPI_ADC|clk_1MHz~q ) ) # ( !\SPI_ADC|adc_cs~q )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\SPI_ADC|clk_1MHz~q ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\SPI_ADC|adc_cs~q ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\SPI_ADC|adc_sck~combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \SPI_ADC|adc_sck .extended_lut = "off";
+defparam \SPI_ADC|adc_sck .lut_mask = 64'hFFFFFFFF0F0F0F0F;
+defparam \SPI_ADC|adc_sck .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X77_Y7_N32
+dffeas \p|count[0] (
+ .clk(\CLOCK_50~inputCLKENA0_outclk ),
+ .d(\p|count[0]~0_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\p|count [0]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \p|count[0] .is_wysiwyg = "true";
+defparam \p|count[0] .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X77_Y7_N30
+cyclonev_lcell_comb \p|count[0]~0 (
+// Equation(s):
+// \p|count[0]~0_combout = !\p|count [0]
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(!\p|count [0]),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\p|count[0]~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \p|count[0]~0 .extended_lut = "off";
+defparam \p|count[0]~0 .lut_mask = 64'hFF00FF00FF00FF00;
+defparam \p|count[0]~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X77_Y7_N31
+dffeas \p|count[0]~DUPLICATE (
+ .clk(\CLOCK_50~inputCLKENA0_outclk ),
+ .d(\p|count[0]~0_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\p|count[0]~DUPLICATE_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \p|count[0]~DUPLICATE .is_wysiwyg = "true";
+defparam \p|count[0]~DUPLICATE .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X77_Y7_N0
+cyclonev_lcell_comb \p|Add0~33 (
+// Equation(s):
+// \p|Add0~33_sumout = SUM(( \p|count [1] ) + ( \p|count[0]~DUPLICATE_q ) + ( !VCC ))
+// \p|Add0~34 = CARRY(( \p|count [1] ) + ( \p|count[0]~DUPLICATE_q ) + ( !VCC ))
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\p|count[0]~DUPLICATE_q ),
+ .datad(!\p|count [1]),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\p|Add0~33_sumout ),
+ .cout(\p|Add0~34 ),
+ .shareout());
+// synopsys translate_off
+defparam \p|Add0~33 .extended_lut = "off";
+defparam \p|Add0~33 .lut_mask = 64'h0000F0F0000000FF;
+defparam \p|Add0~33 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X77_Y7_N2
+dffeas \p|count[1] (
+ .clk(\CLOCK_50~inputCLKENA0_outclk ),
+ .d(\p|Add0~33_sumout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\p|count [1]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \p|count[1] .is_wysiwyg = "true";
+defparam \p|count[1] .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X77_Y7_N3
+cyclonev_lcell_comb \p|Add0~29 (
+// Equation(s):
+// \p|Add0~29_sumout = SUM(( \p|count [2] ) + ( GND ) + ( \p|Add0~34 ))
+// \p|Add0~30 = CARRY(( \p|count [2] ) + ( GND ) + ( \p|Add0~34 ))
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(!\p|count [2]),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(\p|Add0~34 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\p|Add0~29_sumout ),
+ .cout(\p|Add0~30 ),
+ .shareout());
+// synopsys translate_off
+defparam \p|Add0~29 .extended_lut = "off";
+defparam \p|Add0~29 .lut_mask = 64'h0000FFFF000000FF;
+defparam \p|Add0~29 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X77_Y7_N5
+dffeas \p|count[2] (
+ .clk(\CLOCK_50~inputCLKENA0_outclk ),
+ .d(\p|Add0~29_sumout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\p|count [2]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \p|count[2] .is_wysiwyg = "true";
+defparam \p|count[2] .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X77_Y7_N6
+cyclonev_lcell_comb \p|Add0~25 (
+// Equation(s):
+// \p|Add0~25_sumout = SUM(( \p|count [3] ) + ( GND ) + ( \p|Add0~30 ))
+// \p|Add0~26 = CARRY(( \p|count [3] ) + ( GND ) + ( \p|Add0~30 ))
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(!\p|count [3]),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(\p|Add0~30 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\p|Add0~25_sumout ),
+ .cout(\p|Add0~26 ),
+ .shareout());
+// synopsys translate_off
+defparam \p|Add0~25 .extended_lut = "off";
+defparam \p|Add0~25 .lut_mask = 64'h0000FFFF000000FF;
+defparam \p|Add0~25 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X77_Y7_N7
+dffeas \p|count[3] (
+ .clk(\CLOCK_50~inputCLKENA0_outclk ),
+ .d(\p|Add0~25_sumout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\p|count [3]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \p|count[3] .is_wysiwyg = "true";
+defparam \p|count[3] .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X77_Y7_N9
+cyclonev_lcell_comb \p|Add0~21 (
+// Equation(s):
+// \p|Add0~21_sumout = SUM(( \p|count [4] ) + ( GND ) + ( \p|Add0~26 ))
+// \p|Add0~22 = CARRY(( \p|count [4] ) + ( GND ) + ( \p|Add0~26 ))
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(!\p|count [4]),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(\p|Add0~26 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\p|Add0~21_sumout ),
+ .cout(\p|Add0~22 ),
+ .shareout());
+// synopsys translate_off
+defparam \p|Add0~21 .extended_lut = "off";
+defparam \p|Add0~21 .lut_mask = 64'h0000FFFF000000FF;
+defparam \p|Add0~21 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X77_Y7_N11
+dffeas \p|count[4] (
+ .clk(\CLOCK_50~inputCLKENA0_outclk ),
+ .d(\p|Add0~21_sumout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\p|count [4]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \p|count[4] .is_wysiwyg = "true";
+defparam \p|count[4] .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X77_Y7_N12
+cyclonev_lcell_comb \p|Add0~17 (
+// Equation(s):
+// \p|Add0~17_sumout = SUM(( \p|count [5] ) + ( GND ) + ( \p|Add0~22 ))
+// \p|Add0~18 = CARRY(( \p|count [5] ) + ( GND ) + ( \p|Add0~22 ))
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(!\p|count [5]),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(\p|Add0~22 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\p|Add0~17_sumout ),
+ .cout(\p|Add0~18 ),
+ .shareout());
+// synopsys translate_off
+defparam \p|Add0~17 .extended_lut = "off";
+defparam \p|Add0~17 .lut_mask = 64'h0000FFFF000000FF;
+defparam \p|Add0~17 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X77_Y7_N14
+dffeas \p|count[5] (
+ .clk(\CLOCK_50~inputCLKENA0_outclk ),
+ .d(\p|Add0~17_sumout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\p|count [5]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \p|count[5] .is_wysiwyg = "true";
+defparam \p|count[5] .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X77_Y7_N15
+cyclonev_lcell_comb \p|Add0~13 (
+// Equation(s):
+// \p|Add0~13_sumout = SUM(( \p|count [6] ) + ( GND ) + ( \p|Add0~18 ))
+// \p|Add0~14 = CARRY(( \p|count [6] ) + ( GND ) + ( \p|Add0~18 ))
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(!\p|count [6]),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(\p|Add0~18 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\p|Add0~13_sumout ),
+ .cout(\p|Add0~14 ),
+ .shareout());
+// synopsys translate_off
+defparam \p|Add0~13 .extended_lut = "off";
+defparam \p|Add0~13 .lut_mask = 64'h0000FFFF000000FF;
+defparam \p|Add0~13 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X77_Y7_N17
+dffeas \p|count[6] (
+ .clk(\CLOCK_50~inputCLKENA0_outclk ),
+ .d(\p|Add0~13_sumout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\p|count [6]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \p|count[6] .is_wysiwyg = "true";
+defparam \p|count[6] .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X77_Y7_N18
+cyclonev_lcell_comb \p|Add0~9 (
+// Equation(s):
+// \p|Add0~9_sumout = SUM(( \p|count [7] ) + ( GND ) + ( \p|Add0~14 ))
+// \p|Add0~10 = CARRY(( \p|count [7] ) + ( GND ) + ( \p|Add0~14 ))
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(!\p|count [7]),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(\p|Add0~14 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\p|Add0~9_sumout ),
+ .cout(\p|Add0~10 ),
+ .shareout());
+// synopsys translate_off
+defparam \p|Add0~9 .extended_lut = "off";
+defparam \p|Add0~9 .lut_mask = 64'h0000FFFF000000FF;
+defparam \p|Add0~9 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X77_Y7_N19
+dffeas \p|count[7] (
+ .clk(\CLOCK_50~inputCLKENA0_outclk ),
+ .d(\p|Add0~9_sumout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\p|count [7]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \p|count[7] .is_wysiwyg = "true";
+defparam \p|count[7] .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X77_Y7_N21
+cyclonev_lcell_comb \p|Add0~5 (
+// Equation(s):
+// \p|Add0~5_sumout = SUM(( \p|count [8] ) + ( GND ) + ( \p|Add0~10 ))
+// \p|Add0~6 = CARRY(( \p|count [8] ) + ( GND ) + ( \p|Add0~10 ))
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(!\p|count [8]),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(\p|Add0~10 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\p|Add0~5_sumout ),
+ .cout(\p|Add0~6 ),
+ .shareout());
+// synopsys translate_off
+defparam \p|Add0~5 .extended_lut = "off";
+defparam \p|Add0~5 .lut_mask = 64'h0000FFFF000000FF;
+defparam \p|Add0~5 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X77_Y7_N23
+dffeas \p|count[8] (
+ .clk(\CLOCK_50~inputCLKENA0_outclk ),
+ .d(\p|Add0~5_sumout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\p|count [8]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \p|count[8] .is_wysiwyg = "true";
+defparam \p|count[8] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X78_Y7_N58
+dffeas \p|d[8] (
+ .clk(\CLOCK_50~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\rom|altsyncram_component|auto_generated|q_a [8]),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(\tick|CLK_OUT~q ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\p|d [8]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \p|d[8] .is_wysiwyg = "true";
+defparam \p|d[8] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X77_Y7_N40
+dffeas \p|d[6] (
+ .clk(\CLOCK_50~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\rom|altsyncram_component|auto_generated|q_a [6]),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(\tick|CLK_OUT~q ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\p|d [6]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \p|d[6] .is_wysiwyg = "true";
+defparam \p|d[6] .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X78_Y7_N51
+cyclonev_lcell_comb \p|d[7]~feeder (
+// Equation(s):
+// \p|d[7]~feeder_combout = ( \rom|altsyncram_component|auto_generated|q_a [7] )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\rom|altsyncram_component|auto_generated|q_a [7]),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\p|d[7]~feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \p|d[7]~feeder .extended_lut = "off";
+defparam \p|d[7]~feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \p|d[7]~feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X78_Y7_N53
+dffeas \p|d[7] (
+ .clk(\CLOCK_50~inputCLKENA0_outclk ),
+ .d(\p|d[7]~feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(\tick|CLK_OUT~q ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\p|d [7]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \p|d[7] .is_wysiwyg = "true";
+defparam \p|d[7] .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X78_Y7_N54
+cyclonev_lcell_comb \p|LessThan0~1 (
+// Equation(s):
+// \p|LessThan0~1_combout = ( \p|count [7] & ( !\p|d [7] ) ) # ( !\p|count [7] & ( \p|d [7] ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\p|d [7]),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\p|count [7]),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\p|LessThan0~1_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \p|LessThan0~1 .extended_lut = "off";
+defparam \p|LessThan0~1 .lut_mask = 64'h0F0F0F0FF0F0F0F0;
+defparam \p|LessThan0~1 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X77_Y7_N43
+dffeas \p|d[3] (
+ .clk(\CLOCK_50~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\rom|altsyncram_component|auto_generated|q_a [3]),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(\tick|CLK_OUT~q ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\p|d [3]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \p|d[3] .is_wysiwyg = "true";
+defparam \p|d[3] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X77_Y7_N38
+dffeas \p|d[4] (
+ .clk(\CLOCK_50~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\rom|altsyncram_component|auto_generated|q_a [4]),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(\tick|CLK_OUT~q ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\p|d [4]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \p|d[4] .is_wysiwyg = "true";
+defparam \p|d[4] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X77_Y7_N58
+dffeas \p|d[2] (
+ .clk(\CLOCK_50~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\rom|altsyncram_component|auto_generated|q_a [2]),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(\tick|CLK_OUT~q ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\p|d [2]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \p|d[2] .is_wysiwyg = "true";
+defparam \p|d[2] .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X77_Y7_N39
+cyclonev_lcell_comb \p|d[0]~feeder (
+// Equation(s):
+// \p|d[0]~feeder_combout = ( \rom|altsyncram_component|auto_generated|q_a [0] )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\rom|altsyncram_component|auto_generated|q_a [0]),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\p|d[0]~feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \p|d[0]~feeder .extended_lut = "off";
+defparam \p|d[0]~feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \p|d[0]~feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X77_Y7_N41
+dffeas \p|d[0] (
+ .clk(\CLOCK_50~inputCLKENA0_outclk ),
+ .d(\p|d[0]~feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(\tick|CLK_OUT~q ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\p|d [0]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \p|d[0] .is_wysiwyg = "true";
+defparam \p|d[0] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X77_Y7_N47
+dffeas \p|d[1] (
+ .clk(\CLOCK_50~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\rom|altsyncram_component|auto_generated|q_a [1]),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(\tick|CLK_OUT~q ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\p|d [1]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \p|d[1] .is_wysiwyg = "true";
+defparam \p|d[1] .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X77_Y7_N42
+cyclonev_lcell_comb \p|LessThan0~2 (
+// Equation(s):
+// \p|LessThan0~2_combout = ( \p|count [2] & ( \p|count [0] & ( (!\p|d [2]) # ((!\p|d [0] & ((!\p|d [1]) # (\p|count [1]))) # (\p|d [0] & (\p|count [1] & !\p|d [1]))) ) ) ) # ( !\p|count [2] & ( \p|count [0] & ( (!\p|d [2] & ((!\p|d [0] & ((!\p|d [1]) #
+// (\p|count [1]))) # (\p|d [0] & (\p|count [1] & !\p|d [1])))) ) ) ) # ( \p|count [2] & ( !\p|count [0] & ( (!\p|d [2]) # ((\p|count [1] & !\p|d [1])) ) ) ) # ( !\p|count [2] & ( !\p|count [0] & ( (!\p|d [2] & (\p|count [1] & !\p|d [1])) ) ) )
+
+ .dataa(!\p|d [2]),
+ .datab(!\p|d [0]),
+ .datac(!\p|count [1]),
+ .datad(!\p|d [1]),
+ .datae(!\p|count [2]),
+ .dataf(!\p|count [0]),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\p|LessThan0~2_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \p|LessThan0~2 .extended_lut = "off";
+defparam \p|LessThan0~2 .lut_mask = 64'h0A00AFAA8A08EFAE;
+defparam \p|LessThan0~2 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X77_Y7_N36
+cyclonev_lcell_comb \p|LessThan0~3 (
+// Equation(s):
+// \p|LessThan0~3_combout = ( \p|LessThan0~2_combout & ( (!\p|count [4] & (!\p|d [4] & ((!\p|d [3]) # (\p|count [3])))) # (\p|count [4] & ((!\p|d [3]) # ((!\p|d [4]) # (\p|count [3])))) ) ) # ( !\p|LessThan0~2_combout & ( (!\p|count [4] & (!\p|d [3] &
+// (\p|count [3] & !\p|d [4]))) # (\p|count [4] & ((!\p|d [4]) # ((!\p|d [3] & \p|count [3])))) ) )
+
+ .dataa(!\p|d [3]),
+ .datab(!\p|count [4]),
+ .datac(!\p|count [3]),
+ .datad(!\p|d [4]),
+ .datae(gnd),
+ .dataf(!\p|LessThan0~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\p|LessThan0~3_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \p|LessThan0~3 .extended_lut = "off";
+defparam \p|LessThan0~3 .lut_mask = 64'h3B023B02BF23BF23;
+defparam \p|LessThan0~3 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X77_Y7_N56
+dffeas \p|d[5] (
+ .clk(\CLOCK_50~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\rom|altsyncram_component|auto_generated|q_a [5]),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(\tick|CLK_OUT~q ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\p|d [5]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \p|d[5] .is_wysiwyg = "true";
+defparam \p|d[5] .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X77_Y7_N54
+cyclonev_lcell_comb \p|LessThan0~4 (
+// Equation(s):
+// \p|LessThan0~4_combout = ( \p|d [5] & ( \p|count [5] & ( (!\p|LessThan0~1_combout & ((!\p|d [6] & ((\p|count [6]) # (\p|LessThan0~3_combout ))) # (\p|d [6] & (\p|LessThan0~3_combout & \p|count [6])))) ) ) ) # ( !\p|d [5] & ( \p|count [5] & (
+// (!\p|LessThan0~1_combout & ((!\p|d [6]) # (\p|count [6]))) ) ) ) # ( \p|d [5] & ( !\p|count [5] & ( (!\p|d [6] & (!\p|LessThan0~1_combout & \p|count [6])) ) ) ) # ( !\p|d [5] & ( !\p|count [5] & ( (!\p|LessThan0~1_combout & ((!\p|d [6] & ((\p|count
+// [6]) # (\p|LessThan0~3_combout ))) # (\p|d [6] & (\p|LessThan0~3_combout & \p|count [6])))) ) ) )
+
+ .dataa(!\p|d [6]),
+ .datab(!\p|LessThan0~1_combout ),
+ .datac(!\p|LessThan0~3_combout ),
+ .datad(!\p|count [6]),
+ .datae(!\p|d [5]),
+ .dataf(!\p|count [5]),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\p|LessThan0~4_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \p|LessThan0~4 .extended_lut = "off";
+defparam \p|LessThan0~4 .lut_mask = 64'h088C008888CC088C;
+defparam \p|LessThan0~4 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X78_Y7_N4
+dffeas \p|d[9] (
+ .clk(\CLOCK_50~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\rom|altsyncram_component|auto_generated|q_a [9]),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(\tick|CLK_OUT~q ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\p|d [9]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \p|d[9] .is_wysiwyg = "true";
+defparam \p|d[9] .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X77_Y7_N24
+cyclonev_lcell_comb \p|Add0~1 (
+// Equation(s):
+// \p|Add0~1_sumout = SUM(( \p|count [9] ) + ( GND ) + ( \p|Add0~6 ))
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(!\p|count [9]),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(\p|Add0~6 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\p|Add0~1_sumout ),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \p|Add0~1 .extended_lut = "off";
+defparam \p|Add0~1 .lut_mask = 64'h0000FFFF000000FF;
+defparam \p|Add0~1 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X77_Y7_N25
+dffeas \p|count[9] (
+ .clk(\CLOCK_50~inputCLKENA0_outclk ),
+ .d(\p|Add0~1_sumout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\p|count [9]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \p|count[9] .is_wysiwyg = "true";
+defparam \p|count[9] .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X77_Y7_N33
+cyclonev_lcell_comb \p|LessThan0~0 (
+// Equation(s):
+// \p|LessThan0~0_combout = ( \p|count [7] & ( !\p|d [7] ) )
+
+ .dataa(!\p|d [7]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\p|count [7]),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\p|LessThan0~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \p|LessThan0~0 .extended_lut = "off";
+defparam \p|LessThan0~0 .lut_mask = 64'h00000000AAAAAAAA;
+defparam \p|LessThan0~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X77_Y7_N48
+cyclonev_lcell_comb \p|LessThan0~5 (
+// Equation(s):
+// \p|LessThan0~5_combout = ( \p|count [9] & ( \p|LessThan0~0_combout & ( (!\p|count [8] & (\p|d [8] & \p|d [9])) ) ) ) # ( !\p|count [9] & ( \p|LessThan0~0_combout & ( ((!\p|count [8] & \p|d [8])) # (\p|d [9]) ) ) ) # ( \p|count [9] & (
+// !\p|LessThan0~0_combout & ( (\p|d [9] & ((!\p|count [8] & ((!\p|LessThan0~4_combout ) # (\p|d [8]))) # (\p|count [8] & (\p|d [8] & !\p|LessThan0~4_combout )))) ) ) ) # ( !\p|count [9] & ( !\p|LessThan0~0_combout & ( ((!\p|count [8] &
+// ((!\p|LessThan0~4_combout ) # (\p|d [8]))) # (\p|count [8] & (\p|d [8] & !\p|LessThan0~4_combout ))) # (\p|d [9]) ) ) )
+
+ .dataa(!\p|count [8]),
+ .datab(!\p|d [8]),
+ .datac(!\p|LessThan0~4_combout ),
+ .datad(!\p|d [9]),
+ .datae(!\p|count [9]),
+ .dataf(!\p|LessThan0~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\p|LessThan0~5_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \p|LessThan0~5 .extended_lut = "off";
+defparam \p|LessThan0~5 .lut_mask = 64'hB2FF00B222FF0022;
+defparam \p|LessThan0~5 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X77_Y7_N49
+dffeas \p|pwm_out (
+ .clk(\CLOCK_50~inputCLKENA0_outclk ),
+ .d(\p|LessThan0~5_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\p|pwm_out~q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \p|pwm_out .is_wysiwyg = "true";
+defparam \p|pwm_out .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X79_Y6_N57
+cyclonev_lcell_comb \mult|lpm_mult_component|mult_core|romout[1][17]~5 (
+// Equation(s):
+// \mult|lpm_mult_component|mult_core|romout[1][17]~5_combout = ( \SPI_ADC|data_from_adc [7] & ( (\SPI_ADC|data_from_adc [6] & \SPI_ADC|data_from_adc [5]) ) )
+
+ .dataa(!\SPI_ADC|data_from_adc [6]),
+ .datab(!\SPI_ADC|data_from_adc [5]),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\SPI_ADC|data_from_adc [7]),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\mult|lpm_mult_component|mult_core|romout[1][17]~5_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \mult|lpm_mult_component|mult_core|romout[1][17]~5 .extended_lut = "off";
+defparam \mult|lpm_mult_component|mult_core|romout[1][17]~5 .lut_mask = 64'h0000000011111111;
+defparam \mult|lpm_mult_component|mult_core|romout[1][17]~5 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X74_Y6_N39
+cyclonev_lcell_comb \mult|lpm_mult_component|mult_core|romout[0][17]~4 (
+// Equation(s):
+// \mult|lpm_mult_component|mult_core|romout[0][17]~4_combout = ( \SPI_ADC|data_from_adc [3] & ( (\SPI_ADC|data_from_adc [1] & \SPI_ADC|data_from_adc [2]) ) )
+
+ .dataa(!\SPI_ADC|data_from_adc [1]),
+ .datab(!\SPI_ADC|data_from_adc [2]),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\SPI_ADC|data_from_adc [3]),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\mult|lpm_mult_component|mult_core|romout[0][17]~4_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \mult|lpm_mult_component|mult_core|romout[0][17]~4 .extended_lut = "off";
+defparam \mult|lpm_mult_component|mult_core|romout[0][17]~4 .lut_mask = 64'h0000000011111111;
+defparam \mult|lpm_mult_component|mult_core|romout[0][17]~4 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X74_Y6_N18
+cyclonev_lcell_comb \mult|lpm_mult_component|mult_core|romout[0][16]~3 (
+// Equation(s):
+// \mult|lpm_mult_component|mult_core|romout[0][16]~3_combout = ( \SPI_ADC|data_from_adc [1] & ( (!\SPI_ADC|data_from_adc [2] & (\SPI_ADC|data_from_adc [3])) # (\SPI_ADC|data_from_adc [2] & (!\SPI_ADC|data_from_adc [3] & \SPI_ADC|data_from_adc [0])) ) ) # (
+// !\SPI_ADC|data_from_adc [1] & ( \SPI_ADC|data_from_adc [3] ) )
+
+ .dataa(gnd),
+ .datab(!\SPI_ADC|data_from_adc [2]),
+ .datac(!\SPI_ADC|data_from_adc [3]),
+ .datad(!\SPI_ADC|data_from_adc [0]),
+ .datae(gnd),
+ .dataf(!\SPI_ADC|data_from_adc [1]),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\mult|lpm_mult_component|mult_core|romout[0][16]~3_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \mult|lpm_mult_component|mult_core|romout[0][16]~3 .extended_lut = "off";
+defparam \mult|lpm_mult_component|mult_core|romout[0][16]~3 .lut_mask = 64'h0F0F0F0F0C3C0C3C;
+defparam \mult|lpm_mult_component|mult_core|romout[0][16]~3 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X74_Y6_N21
+cyclonev_lcell_comb \mult|lpm_mult_component|mult_core|romout[0][15]~2 (
+// Equation(s):
+// \mult|lpm_mult_component|mult_core|romout[0][15]~2_combout = ( \SPI_ADC|data_from_adc [1] & ( (!\SPI_ADC|data_from_adc [3] & (\SPI_ADC|data_from_adc [2] & !\SPI_ADC|data_from_adc [0])) # (\SPI_ADC|data_from_adc [3] & (!\SPI_ADC|data_from_adc [2])) ) ) #
+// ( !\SPI_ADC|data_from_adc [1] & ( \SPI_ADC|data_from_adc [2] ) )
+
+ .dataa(!\SPI_ADC|data_from_adc [3]),
+ .datab(gnd),
+ .datac(!\SPI_ADC|data_from_adc [2]),
+ .datad(!\SPI_ADC|data_from_adc [0]),
+ .datae(gnd),
+ .dataf(!\SPI_ADC|data_from_adc [1]),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\mult|lpm_mult_component|mult_core|romout[0][15]~2_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \mult|lpm_mult_component|mult_core|romout[0][15]~2 .extended_lut = "off";
+defparam \mult|lpm_mult_component|mult_core|romout[0][15]~2 .lut_mask = 64'h0F0F0F0F5A505A50;
+defparam \mult|lpm_mult_component|mult_core|romout[0][15]~2 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X74_Y6_N36
+cyclonev_lcell_comb \mult|lpm_mult_component|mult_core|romout[0][14]~1 (
+// Equation(s):
+// \mult|lpm_mult_component|mult_core|romout[0][14]~1_combout = ( \SPI_ADC|data_from_adc [3] & ( (!\SPI_ADC|data_from_adc [1] & ((\SPI_ADC|data_from_adc [0]) # (\SPI_ADC|data_from_adc [2]))) # (\SPI_ADC|data_from_adc [1] & (\SPI_ADC|data_from_adc [2] &
+// \SPI_ADC|data_from_adc [0])) ) ) # ( !\SPI_ADC|data_from_adc [3] & ( !\SPI_ADC|data_from_adc [1] $ (((!\SPI_ADC|data_from_adc [2]) # (!\SPI_ADC|data_from_adc [0]))) ) )
+
+ .dataa(!\SPI_ADC|data_from_adc [1]),
+ .datab(!\SPI_ADC|data_from_adc [2]),
+ .datac(gnd),
+ .datad(!\SPI_ADC|data_from_adc [0]),
+ .datae(gnd),
+ .dataf(!\SPI_ADC|data_from_adc [3]),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\mult|lpm_mult_component|mult_core|romout[0][14]~1_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \mult|lpm_mult_component|mult_core|romout[0][14]~1 .extended_lut = "off";
+defparam \mult|lpm_mult_component|mult_core|romout[0][14]~1 .lut_mask = 64'h5566556622BB22BB;
+defparam \mult|lpm_mult_component|mult_core|romout[0][14]~1 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X79_Y6_N54
+cyclonev_lcell_comb \mult|lpm_mult_component|mult_core|romout[1][9]~0 (
+// Equation(s):
+// \mult|lpm_mult_component|mult_core|romout[1][9]~0_combout = ( \SPI_ADC|data_from_adc [4] & ( !\SPI_ADC|data_from_adc [5] ) ) # ( !\SPI_ADC|data_from_adc [4] & ( \SPI_ADC|data_from_adc [5] ) )
+
+ .dataa(gnd),
+ .datab(!\SPI_ADC|data_from_adc [5]),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\SPI_ADC|data_from_adc [4]),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\mult|lpm_mult_component|mult_core|romout[1][9]~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \mult|lpm_mult_component|mult_core|romout[1][9]~0 .extended_lut = "off";
+defparam \mult|lpm_mult_component|mult_core|romout[1][9]~0 .lut_mask = 64'h33333333CCCCCCCC;
+defparam \mult|lpm_mult_component|mult_core|romout[1][9]~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X79_Y6_N0
+cyclonev_lcell_comb \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~70 (
+// Equation(s):
+// \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~70_cout = CARRY(( GND ) + ( GND ) + ( !VCC ))
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(),
+ .sumout(),
+ .cout(\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~70_cout ),
+ .shareout());
+// synopsys translate_off
+defparam \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~70 .extended_lut = "off";
+defparam \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~70 .lut_mask = 64'h0000FFFF00000000;
+defparam \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~70 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X79_Y6_N3
+cyclonev_lcell_comb \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~66 (
+// Equation(s):
+// \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~66_cout = CARRY(( \SPI_ADC|data_from_adc [1] ) + ( GND ) + ( \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~70_cout ))
+
+ .dataa(!\SPI_ADC|data_from_adc [1]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~70_cout ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(),
+ .cout(\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~66_cout ),
+ .shareout());
+// synopsys translate_off
+defparam \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~66 .extended_lut = "off";
+defparam \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~66 .lut_mask = 64'h0000FFFF00005555;
+defparam \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~66 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X79_Y6_N6
+cyclonev_lcell_comb \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~62 (
+// Equation(s):
+// \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~62_cout = CARRY(( \SPI_ADC|data_from_adc [2] ) + ( GND ) + ( \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~66_cout ))
+
+ .dataa(gnd),
+ .datab(!\SPI_ADC|data_from_adc [2]),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~66_cout ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(),
+ .cout(\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~62_cout ),
+ .shareout());
+// synopsys translate_off
+defparam \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~62 .extended_lut = "off";
+defparam \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~62 .lut_mask = 64'h0000FFFF00003333;
+defparam \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~62 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X79_Y6_N9
+cyclonev_lcell_comb \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~58 (
+// Equation(s):
+// \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~58_cout = CARRY(( \SPI_ADC|data_from_adc [3] ) + ( GND ) + ( \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~62_cout ))
+
+ .dataa(!\SPI_ADC|data_from_adc [3]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~62_cout ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(),
+ .cout(\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~58_cout ),
+ .shareout());
+// synopsys translate_off
+defparam \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~58 .extended_lut = "off";
+defparam \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~58 .lut_mask = 64'h0000FFFF00005555;
+defparam \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~58 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X79_Y6_N12
+cyclonev_lcell_comb \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~54 (
+// Equation(s):
+// \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~54_cout = CARRY(( \SPI_ADC|data_from_adc [0] ) + ( \SPI_ADC|data_from_adc [4] ) + ( \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~58_cout ))
+
+ .dataa(gnd),
+ .datab(!\SPI_ADC|data_from_adc [0]),
+ .datac(!\SPI_ADC|data_from_adc [4]),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~58_cout ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(),
+ .cout(\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~54_cout ),
+ .shareout());
+// synopsys translate_off
+defparam \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~54 .extended_lut = "off";
+defparam \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~54 .lut_mask = 64'h0000F0F000003333;
+defparam \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~54 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X79_Y6_N15
+cyclonev_lcell_comb \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~10 (
+// Equation(s):
+// \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~10_cout = CARRY(( \SPI_ADC|data_from_adc [5] ) + ( !\SPI_ADC|data_from_adc [0] $ (!\SPI_ADC|data_from_adc [1]) ) + (
+// \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~54_cout ))
+
+ .dataa(gnd),
+ .datab(!\SPI_ADC|data_from_adc [0]),
+ .datac(!\SPI_ADC|data_from_adc [5]),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\SPI_ADC|data_from_adc [1]),
+ .datag(gnd),
+ .cin(\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~54_cout ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(),
+ .cout(\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~10_cout ),
+ .shareout());
+// synopsys translate_off
+defparam \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~10 .extended_lut = "off";
+defparam \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~10 .lut_mask = 64'h0000CC3300000F0F;
+defparam \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~10 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X79_Y6_N18
+cyclonev_lcell_comb \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~1 (
+// Equation(s):
+// \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~1_sumout = SUM(( !\SPI_ADC|data_from_adc [2] $ (((!\SPI_ADC|data_from_adc [1] & !\SPI_ADC|data_from_adc [0]))) ) + ( \SPI_ADC|data_from_adc [6] ) + (
+// \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~10_cout ))
+// \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~2 = CARRY(( !\SPI_ADC|data_from_adc [2] $ (((!\SPI_ADC|data_from_adc [1] & !\SPI_ADC|data_from_adc [0]))) ) + ( \SPI_ADC|data_from_adc [6] ) + (
+// \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~10_cout ))
+
+ .dataa(!\SPI_ADC|data_from_adc [1]),
+ .datab(!\SPI_ADC|data_from_adc [0]),
+ .datac(!\SPI_ADC|data_from_adc [6]),
+ .datad(!\SPI_ADC|data_from_adc [2]),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~10_cout ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~1_sumout ),
+ .cout(\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~2 ),
+ .shareout());
+// synopsys translate_off
+defparam \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~1 .extended_lut = "off";
+defparam \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~1 .lut_mask = 64'h0000F0F000007788;
+defparam \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~1 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X79_Y6_N21
+cyclonev_lcell_comb \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~5 (
+// Equation(s):
+// \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~5_sumout = SUM(( \SPI_ADC|data_from_adc [7] ) + ( !\SPI_ADC|data_from_adc [3] $ ((((!\SPI_ADC|data_from_adc [1] & !\SPI_ADC|data_from_adc [2])) # (\SPI_ADC|data_from_adc [0]))) ) + (
+// \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~2 ))
+// \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~6 = CARRY(( \SPI_ADC|data_from_adc [7] ) + ( !\SPI_ADC|data_from_adc [3] $ ((((!\SPI_ADC|data_from_adc [1] & !\SPI_ADC|data_from_adc [2])) # (\SPI_ADC|data_from_adc [0]))) ) + (
+// \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~2 ))
+
+ .dataa(!\SPI_ADC|data_from_adc [1]),
+ .datab(!\SPI_ADC|data_from_adc [0]),
+ .datac(!\SPI_ADC|data_from_adc [2]),
+ .datad(!\SPI_ADC|data_from_adc [7]),
+ .datae(gnd),
+ .dataf(!\SPI_ADC|data_from_adc [3]),
+ .datag(gnd),
+ .cin(\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~2 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~5_sumout ),
+ .cout(\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~6 ),
+ .shareout());
+// synopsys translate_off
+defparam \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~5 .extended_lut = "off";
+defparam \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~5 .lut_mask = 64'h0000B34C000000FF;
+defparam \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~5 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X79_Y6_N24
+cyclonev_lcell_comb \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~13 (
+// Equation(s):
+// \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~13_sumout = SUM(( \SPI_ADC|data_from_adc [4] ) + ( (!\SPI_ADC|data_from_adc [1] & (((!\SPI_ADC|data_from_adc [0] & \SPI_ADC|data_from_adc [2])) # (\SPI_ADC|data_from_adc [3]))) #
+// (\SPI_ADC|data_from_adc [1] & (\SPI_ADC|data_from_adc [0] & ((!\SPI_ADC|data_from_adc [3])))) ) + ( \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~6 ))
+// \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~14 = CARRY(( \SPI_ADC|data_from_adc [4] ) + ( (!\SPI_ADC|data_from_adc [1] & (((!\SPI_ADC|data_from_adc [0] & \SPI_ADC|data_from_adc [2])) # (\SPI_ADC|data_from_adc [3]))) #
+// (\SPI_ADC|data_from_adc [1] & (\SPI_ADC|data_from_adc [0] & ((!\SPI_ADC|data_from_adc [3])))) ) + ( \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~6 ))
+
+ .dataa(!\SPI_ADC|data_from_adc [1]),
+ .datab(!\SPI_ADC|data_from_adc [0]),
+ .datac(!\SPI_ADC|data_from_adc [2]),
+ .datad(!\SPI_ADC|data_from_adc [4]),
+ .datae(gnd),
+ .dataf(!\SPI_ADC|data_from_adc [3]),
+ .datag(gnd),
+ .cin(\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~6 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~13_sumout ),
+ .cout(\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~14 ),
+ .shareout());
+// synopsys translate_off
+defparam \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~13 .extended_lut = "off";
+defparam \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~13 .lut_mask = 64'h0000E655000000FF;
+defparam \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~13 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X79_Y6_N27
+cyclonev_lcell_comb \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~17 (
+// Equation(s):
+// \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~17_sumout = SUM(( \mult|lpm_mult_component|mult_core|romout[1][9]~0_combout ) + ( (!\SPI_ADC|data_from_adc [1] & ((!\SPI_ADC|data_from_adc [0] & (!\SPI_ADC|data_from_adc [2] &
+// \SPI_ADC|data_from_adc [3])) # (\SPI_ADC|data_from_adc [0] & (!\SPI_ADC|data_from_adc [2] $ (\SPI_ADC|data_from_adc [3]))))) # (\SPI_ADC|data_from_adc [1] & (!\SPI_ADC|data_from_adc [0] $ ((!\SPI_ADC|data_from_adc [2])))) ) + (
+// \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~14 ))
+// \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~18 = CARRY(( \mult|lpm_mult_component|mult_core|romout[1][9]~0_combout ) + ( (!\SPI_ADC|data_from_adc [1] & ((!\SPI_ADC|data_from_adc [0] & (!\SPI_ADC|data_from_adc [2] &
+// \SPI_ADC|data_from_adc [3])) # (\SPI_ADC|data_from_adc [0] & (!\SPI_ADC|data_from_adc [2] $ (\SPI_ADC|data_from_adc [3]))))) # (\SPI_ADC|data_from_adc [1] & (!\SPI_ADC|data_from_adc [0] $ ((!\SPI_ADC|data_from_adc [2])))) ) + (
+// \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~14 ))
+
+ .dataa(!\SPI_ADC|data_from_adc [1]),
+ .datab(!\SPI_ADC|data_from_adc [0]),
+ .datac(!\SPI_ADC|data_from_adc [2]),
+ .datad(!\mult|lpm_mult_component|mult_core|romout[1][9]~0_combout ),
+ .datae(gnd),
+ .dataf(!\SPI_ADC|data_from_adc [3]),
+ .datag(gnd),
+ .cin(\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~14 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~17_sumout ),
+ .cout(\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~18 ),
+ .shareout());
+// synopsys translate_off
+defparam \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~17 .extended_lut = "off";
+defparam \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~17 .lut_mask = 64'h0000CB69000000FF;
+defparam \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~17 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X79_Y6_N30
+cyclonev_lcell_comb \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~21 (
+// Equation(s):
+// \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~21_sumout = SUM(( !\SPI_ADC|data_from_adc [6] $ (((!\SPI_ADC|data_from_adc [5] & !\SPI_ADC|data_from_adc [4]))) ) + ( \mult|lpm_mult_component|mult_core|romout[0][14]~1_combout ) + (
+// \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~18 ))
+// \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~22 = CARRY(( !\SPI_ADC|data_from_adc [6] $ (((!\SPI_ADC|data_from_adc [5] & !\SPI_ADC|data_from_adc [4]))) ) + ( \mult|lpm_mult_component|mult_core|romout[0][14]~1_combout ) + (
+// \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~18 ))
+
+ .dataa(!\SPI_ADC|data_from_adc [6]),
+ .datab(!\SPI_ADC|data_from_adc [5]),
+ .datac(!\SPI_ADC|data_from_adc [4]),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\mult|lpm_mult_component|mult_core|romout[0][14]~1_combout ),
+ .datag(gnd),
+ .cin(\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~18 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~21_sumout ),
+ .cout(\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~22 ),
+ .shareout());
+// synopsys translate_off
+defparam \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~21 .extended_lut = "off";
+defparam \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~21 .lut_mask = 64'h0000FF0000006A6A;
+defparam \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~21 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X79_Y6_N33
+cyclonev_lcell_comb \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~25 (
+// Equation(s):
+// \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~25_sumout = SUM(( !\SPI_ADC|data_from_adc [7] $ ((((!\SPI_ADC|data_from_adc [6] & !\SPI_ADC|data_from_adc [5])) # (\SPI_ADC|data_from_adc [4]))) ) + (
+// \mult|lpm_mult_component|mult_core|romout[0][15]~2_combout ) + ( \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~22 ))
+// \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~26 = CARRY(( !\SPI_ADC|data_from_adc [7] $ ((((!\SPI_ADC|data_from_adc [6] & !\SPI_ADC|data_from_adc [5])) # (\SPI_ADC|data_from_adc [4]))) ) + (
+// \mult|lpm_mult_component|mult_core|romout[0][15]~2_combout ) + ( \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~22 ))
+
+ .dataa(!\SPI_ADC|data_from_adc [6]),
+ .datab(!\SPI_ADC|data_from_adc [5]),
+ .datac(!\SPI_ADC|data_from_adc [4]),
+ .datad(!\SPI_ADC|data_from_adc [7]),
+ .datae(gnd),
+ .dataf(!\mult|lpm_mult_component|mult_core|romout[0][15]~2_combout ),
+ .datag(gnd),
+ .cin(\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~22 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~25_sumout ),
+ .cout(\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~26 ),
+ .shareout());
+// synopsys translate_off
+defparam \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~25 .extended_lut = "off";
+defparam \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~25 .lut_mask = 64'h0000FF000000708F;
+defparam \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~25 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X79_Y6_N36
+cyclonev_lcell_comb \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~29 (
+// Equation(s):
+// \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~29_sumout = SUM(( (!\SPI_ADC|data_from_adc [5] & (((\SPI_ADC|data_from_adc [6] & !\SPI_ADC|data_from_adc [4])) # (\SPI_ADC|data_from_adc [7]))) # (\SPI_ADC|data_from_adc [5] &
+// (((\SPI_ADC|data_from_adc [4] & !\SPI_ADC|data_from_adc [7])))) ) + ( \mult|lpm_mult_component|mult_core|romout[0][16]~3_combout ) + ( \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~26 ))
+// \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~30 = CARRY(( (!\SPI_ADC|data_from_adc [5] & (((\SPI_ADC|data_from_adc [6] & !\SPI_ADC|data_from_adc [4])) # (\SPI_ADC|data_from_adc [7]))) # (\SPI_ADC|data_from_adc [5] &
+// (((\SPI_ADC|data_from_adc [4] & !\SPI_ADC|data_from_adc [7])))) ) + ( \mult|lpm_mult_component|mult_core|romout[0][16]~3_combout ) + ( \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~26 ))
+
+ .dataa(!\SPI_ADC|data_from_adc [6]),
+ .datab(!\SPI_ADC|data_from_adc [5]),
+ .datac(!\SPI_ADC|data_from_adc [4]),
+ .datad(!\SPI_ADC|data_from_adc [7]),
+ .datae(gnd),
+ .dataf(!\mult|lpm_mult_component|mult_core|romout[0][16]~3_combout ),
+ .datag(gnd),
+ .cin(\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~26 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~29_sumout ),
+ .cout(\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~30 ),
+ .shareout());
+// synopsys translate_off
+defparam \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~29 .extended_lut = "off";
+defparam \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~29 .lut_mask = 64'h0000FF00000043CC;
+defparam \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~29 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X79_Y6_N39
+cyclonev_lcell_comb \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~33 (
+// Equation(s):
+// \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~33_sumout = SUM(( \mult|lpm_mult_component|mult_core|romout[0][17]~4_combout ) + ( (!\SPI_ADC|data_from_adc [6] & (!\SPI_ADC|data_from_adc [4] $ (((!\SPI_ADC|data_from_adc [7]) #
+// (\SPI_ADC|data_from_adc [5]))))) # (\SPI_ADC|data_from_adc [6] & ((!\SPI_ADC|data_from_adc [5] & (\SPI_ADC|data_from_adc [4] & \SPI_ADC|data_from_adc [7])) # (\SPI_ADC|data_from_adc [5] & (!\SPI_ADC|data_from_adc [4])))) ) + (
+// \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~30 ))
+// \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~34 = CARRY(( \mult|lpm_mult_component|mult_core|romout[0][17]~4_combout ) + ( (!\SPI_ADC|data_from_adc [6] & (!\SPI_ADC|data_from_adc [4] $ (((!\SPI_ADC|data_from_adc [7]) #
+// (\SPI_ADC|data_from_adc [5]))))) # (\SPI_ADC|data_from_adc [6] & ((!\SPI_ADC|data_from_adc [5] & (\SPI_ADC|data_from_adc [4] & \SPI_ADC|data_from_adc [7])) # (\SPI_ADC|data_from_adc [5] & (!\SPI_ADC|data_from_adc [4])))) ) + (
+// \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~30 ))
+
+ .dataa(!\SPI_ADC|data_from_adc [6]),
+ .datab(!\SPI_ADC|data_from_adc [5]),
+ .datac(!\SPI_ADC|data_from_adc [4]),
+ .datad(!\mult|lpm_mult_component|mult_core|romout[0][17]~4_combout ),
+ .datae(gnd),
+ .dataf(!\SPI_ADC|data_from_adc [7]),
+ .datag(gnd),
+ .cin(\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~30 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~33_sumout ),
+ .cout(\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~34 ),
+ .shareout());
+// synopsys translate_off
+defparam \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~33 .extended_lut = "off";
+defparam \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~33 .lut_mask = 64'h0000E569000000FF;
+defparam \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~33 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X79_Y6_N42
+cyclonev_lcell_comb \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~37 (
+// Equation(s):
+// \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~37_sumout = SUM(( (!\SPI_ADC|data_from_adc [5] & ((!\SPI_ADC|data_from_adc [6] & (\SPI_ADC|data_from_adc [4] & \SPI_ADC|data_from_adc [7])) # (\SPI_ADC|data_from_adc [6] &
+// ((\SPI_ADC|data_from_adc [7]) # (\SPI_ADC|data_from_adc [4]))))) # (\SPI_ADC|data_from_adc [5] & (!\SPI_ADC|data_from_adc [7] $ (((\SPI_ADC|data_from_adc [6] & \SPI_ADC|data_from_adc [4]))))) ) + ( GND ) + (
+// \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~34 ))
+// \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~38 = CARRY(( (!\SPI_ADC|data_from_adc [5] & ((!\SPI_ADC|data_from_adc [6] & (\SPI_ADC|data_from_adc [4] & \SPI_ADC|data_from_adc [7])) # (\SPI_ADC|data_from_adc [6] &
+// ((\SPI_ADC|data_from_adc [7]) # (\SPI_ADC|data_from_adc [4]))))) # (\SPI_ADC|data_from_adc [5] & (!\SPI_ADC|data_from_adc [7] $ (((\SPI_ADC|data_from_adc [6] & \SPI_ADC|data_from_adc [4]))))) ) + ( GND ) + (
+// \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~34 ))
+
+ .dataa(!\SPI_ADC|data_from_adc [6]),
+ .datab(!\SPI_ADC|data_from_adc [5]),
+ .datac(!\SPI_ADC|data_from_adc [4]),
+ .datad(!\SPI_ADC|data_from_adc [7]),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~34 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~37_sumout ),
+ .cout(\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~38 ),
+ .shareout());
+// synopsys translate_off
+defparam \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~37 .extended_lut = "off";
+defparam \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~37 .lut_mask = 64'h0000FFFF0000364D;
+defparam \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~37 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X79_Y6_N45
+cyclonev_lcell_comb \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~41 (
+// Equation(s):
+// \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~41_sumout = SUM(( (!\SPI_ADC|data_from_adc [6] & (\SPI_ADC|data_from_adc [5] & ((\SPI_ADC|data_from_adc [7])))) # (\SPI_ADC|data_from_adc [6] & ((!\SPI_ADC|data_from_adc [5]) #
+// ((!\SPI_ADC|data_from_adc [4] & !\SPI_ADC|data_from_adc [7])))) ) + ( GND ) + ( \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~38 ))
+// \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~42 = CARRY(( (!\SPI_ADC|data_from_adc [6] & (\SPI_ADC|data_from_adc [5] & ((\SPI_ADC|data_from_adc [7])))) # (\SPI_ADC|data_from_adc [6] & ((!\SPI_ADC|data_from_adc [5]) #
+// ((!\SPI_ADC|data_from_adc [4] & !\SPI_ADC|data_from_adc [7])))) ) + ( GND ) + ( \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~38 ))
+
+ .dataa(!\SPI_ADC|data_from_adc [6]),
+ .datab(!\SPI_ADC|data_from_adc [5]),
+ .datac(!\SPI_ADC|data_from_adc [4]),
+ .datad(!\SPI_ADC|data_from_adc [7]),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~38 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~41_sumout ),
+ .cout(\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~42 ),
+ .shareout());
+// synopsys translate_off
+defparam \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~41 .extended_lut = "off";
+defparam \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~41 .lut_mask = 64'h0000FFFF00005466;
+defparam \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~41 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X79_Y6_N48
+cyclonev_lcell_comb \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~45 (
+// Equation(s):
+// \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~45_sumout = SUM(( (!\SPI_ADC|data_from_adc [6] & (((\SPI_ADC|data_from_adc [7])))) # (\SPI_ADC|data_from_adc [6] & ((!\SPI_ADC|data_from_adc [5] & ((\SPI_ADC|data_from_adc [7]))) #
+// (\SPI_ADC|data_from_adc [5] & (\SPI_ADC|data_from_adc [4] & !\SPI_ADC|data_from_adc [7])))) ) + ( GND ) + ( \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~42 ))
+// \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~46 = CARRY(( (!\SPI_ADC|data_from_adc [6] & (((\SPI_ADC|data_from_adc [7])))) # (\SPI_ADC|data_from_adc [6] & ((!\SPI_ADC|data_from_adc [5] & ((\SPI_ADC|data_from_adc [7]))) #
+// (\SPI_ADC|data_from_adc [5] & (\SPI_ADC|data_from_adc [4] & !\SPI_ADC|data_from_adc [7])))) ) + ( GND ) + ( \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~42 ))
+
+ .dataa(!\SPI_ADC|data_from_adc [6]),
+ .datab(!\SPI_ADC|data_from_adc [5]),
+ .datac(!\SPI_ADC|data_from_adc [4]),
+ .datad(!\SPI_ADC|data_from_adc [7]),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~42 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~45_sumout ),
+ .cout(\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~46 ),
+ .shareout());
+// synopsys translate_off
+defparam \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~45 .extended_lut = "off";
+defparam \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~45 .lut_mask = 64'h0000FFFF000001EE;
+defparam \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~45 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X79_Y6_N51
+cyclonev_lcell_comb \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~49 (
+// Equation(s):
+// \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~49_sumout = SUM(( \mult|lpm_mult_component|mult_core|romout[1][17]~5_combout ) + ( GND ) + ( \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~46 ))
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\mult|lpm_mult_component|mult_core|romout[1][17]~5_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~46 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~49_sumout ),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~49 .extended_lut = "off";
+defparam \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~49 .lut_mask = 64'h0000FFFF00000F0F;
+defparam \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~49 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X78_Y6_N0
+cyclonev_lcell_comb \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~1 (
+// Equation(s):
+// \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~1_sumout = SUM(( \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~13_sumout ) + ( \SPI_ADC|data_from_adc [8] ) + ( !VCC ))
+// \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~2 = CARRY(( \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~13_sumout ) + ( \SPI_ADC|data_from_adc [8] ) + ( !VCC ))
+
+ .dataa(gnd),
+ .datab(!\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~13_sumout ),
+ .datac(!\SPI_ADC|data_from_adc [8]),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~1_sumout ),
+ .cout(\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~2 ),
+ .shareout());
+// synopsys translate_off
+defparam \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~1 .extended_lut = "off";
+defparam \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~1 .lut_mask = 64'h0000F0F000003333;
+defparam \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~1 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X78_Y6_N3
+cyclonev_lcell_comb \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~5 (
+// Equation(s):
+// \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~5_sumout = SUM(( \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~17_sumout ) + ( \SPI_ADC|data_from_adc [9] ) + (
+// \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~2 ))
+// \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~6 = CARRY(( \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~17_sumout ) + ( \SPI_ADC|data_from_adc [9] ) + (
+// \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~2 ))
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\SPI_ADC|data_from_adc [9]),
+ .datad(!\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~17_sumout ),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~2 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~5_sumout ),
+ .cout(\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~6 ),
+ .shareout());
+// synopsys translate_off
+defparam \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~5 .extended_lut = "off";
+defparam \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~5 .lut_mask = 64'h0000F0F0000000FF;
+defparam \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~5 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X78_Y6_N6
+cyclonev_lcell_comb \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~9 (
+// Equation(s):
+// \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~9_sumout = SUM(( \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~21_sumout ) + ( GND ) + (
+// \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~6 ))
+// \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~10 = CARRY(( \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~21_sumout ) + ( GND ) + (
+// \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~6 ))
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~21_sumout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~6 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~9_sumout ),
+ .cout(\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~10 ),
+ .shareout());
+// synopsys translate_off
+defparam \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~9 .extended_lut = "off";
+defparam \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~9 .lut_mask = 64'h0000FFFF00000F0F;
+defparam \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~9 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X78_Y6_N9
+cyclonev_lcell_comb \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~13 (
+// Equation(s):
+// \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~13_sumout = SUM(( \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~25_sumout ) + ( GND ) + (
+// \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~10 ))
+// \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~14 = CARRY(( \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~25_sumout ) + ( GND ) + (
+// \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~10 ))
+
+ .dataa(!\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~25_sumout ),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~10 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~13_sumout ),
+ .cout(\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~14 ),
+ .shareout());
+// synopsys translate_off
+defparam \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~13 .extended_lut = "off";
+defparam \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~13 .lut_mask = 64'h0000FFFF00005555;
+defparam \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~13 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X78_Y6_N12
+cyclonev_lcell_comb \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~17 (
+// Equation(s):
+// \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~17_sumout = SUM(( \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~29_sumout ) + ( \SPI_ADC|data_from_adc [8] ) + (
+// \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~14 ))
+// \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~18 = CARRY(( \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~29_sumout ) + ( \SPI_ADC|data_from_adc [8] ) + (
+// \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~14 ))
+
+ .dataa(!\SPI_ADC|data_from_adc [8]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(!\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~29_sumout ),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~14 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~17_sumout ),
+ .cout(\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~18 ),
+ .shareout());
+// synopsys translate_off
+defparam \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~17 .extended_lut = "off";
+defparam \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~17 .lut_mask = 64'h0000AAAA000000FF;
+defparam \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~17 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X78_Y6_N15
+cyclonev_lcell_comb \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~21 (
+// Equation(s):
+// \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~21_sumout = SUM(( !\SPI_ADC|data_from_adc [8] $ (!\SPI_ADC|data_from_adc [9]) ) + ( \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~33_sumout ) + (
+// \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~18 ))
+// \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~22 = CARRY(( !\SPI_ADC|data_from_adc [8] $ (!\SPI_ADC|data_from_adc [9]) ) + ( \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~33_sumout ) + (
+// \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~18 ))
+
+ .dataa(!\SPI_ADC|data_from_adc [8]),
+ .datab(gnd),
+ .datac(!\SPI_ADC|data_from_adc [9]),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~33_sumout ),
+ .datag(gnd),
+ .cin(\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~18 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~21_sumout ),
+ .cout(\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~22 ),
+ .shareout());
+// synopsys translate_off
+defparam \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~21 .extended_lut = "off";
+defparam \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~21 .lut_mask = 64'h0000FF0000005A5A;
+defparam \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~21 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X78_Y6_N18
+cyclonev_lcell_comb \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~25 (
+// Equation(s):
+// \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~25_sumout = SUM(( \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~37_sumout ) + ( (\SPI_ADC|data_from_adc [9]) # (\SPI_ADC|data_from_adc [8]) ) + (
+// \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~22 ))
+// \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~26 = CARRY(( \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~37_sumout ) + ( (\SPI_ADC|data_from_adc [9]) # (\SPI_ADC|data_from_adc [8]) ) + (
+// \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~22 ))
+
+ .dataa(!\SPI_ADC|data_from_adc [8]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(!\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~37_sumout ),
+ .datae(gnd),
+ .dataf(!\SPI_ADC|data_from_adc [9]),
+ .datag(gnd),
+ .cin(\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~22 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~25_sumout ),
+ .cout(\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~26 ),
+ .shareout());
+// synopsys translate_off
+defparam \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~25 .extended_lut = "off";
+defparam \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~25 .lut_mask = 64'h0000AA00000000FF;
+defparam \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~25 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X78_Y6_N21
+cyclonev_lcell_comb \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~29 (
+// Equation(s):
+// \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~29_sumout = SUM(( (!\SPI_ADC|data_from_adc [8] & \SPI_ADC|data_from_adc [9]) ) + ( \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~41_sumout ) + (
+// \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~26 ))
+// \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~30 = CARRY(( (!\SPI_ADC|data_from_adc [8] & \SPI_ADC|data_from_adc [9]) ) + ( \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~41_sumout ) + (
+// \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~26 ))
+
+ .dataa(!\SPI_ADC|data_from_adc [8]),
+ .datab(gnd),
+ .datac(!\SPI_ADC|data_from_adc [9]),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~41_sumout ),
+ .datag(gnd),
+ .cin(\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~26 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~29_sumout ),
+ .cout(\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~30 ),
+ .shareout());
+// synopsys translate_off
+defparam \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~29 .extended_lut = "off";
+defparam \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~29 .lut_mask = 64'h0000FF0000000A0A;
+defparam \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~29 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X78_Y6_N24
+cyclonev_lcell_comb \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~33 (
+// Equation(s):
+// \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~33_sumout = SUM(( \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~45_sumout ) + ( (\SPI_ADC|data_from_adc [8] & \SPI_ADC|data_from_adc [9]) ) + (
+// \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~30 ))
+// \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~34 = CARRY(( \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~45_sumout ) + ( (\SPI_ADC|data_from_adc [8] & \SPI_ADC|data_from_adc [9]) ) + (
+// \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~30 ))
+
+ .dataa(!\SPI_ADC|data_from_adc [8]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(!\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~45_sumout ),
+ .datae(gnd),
+ .dataf(!\SPI_ADC|data_from_adc [9]),
+ .datag(gnd),
+ .cin(\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~30 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~33_sumout ),
+ .cout(\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~34 ),
+ .shareout());
+// synopsys translate_off
+defparam \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~33 .extended_lut = "off";
+defparam \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~33 .lut_mask = 64'h0000FFAA000000FF;
+defparam \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~33 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X78_Y6_N27
+cyclonev_lcell_comb \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~37 (
+// Equation(s):
+// \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~37_sumout = SUM(( \SPI_ADC|data_from_adc [8] ) + ( \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~49_sumout ) + (
+// \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~34 ))
+// \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~38 = CARRY(( \SPI_ADC|data_from_adc [8] ) + ( \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~49_sumout ) + (
+// \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~34 ))
+
+ .dataa(!\SPI_ADC|data_from_adc [8]),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~49_sumout ),
+ .datag(gnd),
+ .cin(\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~34 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~37_sumout ),
+ .cout(\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~38 ),
+ .shareout());
+// synopsys translate_off
+defparam \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~37 .extended_lut = "off";
+defparam \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~37 .lut_mask = 64'h0000FF0000005555;
+defparam \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~37 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X78_Y6_N30
+cyclonev_lcell_comb \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~41 (
+// Equation(s):
+// \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~41_sumout = SUM(( GND ) + ( \SPI_ADC|data_from_adc [9] ) + ( \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~38 ))
+// \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~42 = CARRY(( GND ) + ( \SPI_ADC|data_from_adc [9] ) + ( \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~38 ))
+
+ .dataa(gnd),
+ .datab(!\SPI_ADC|data_from_adc [9]),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~38 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~41_sumout ),
+ .cout(\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~42 ),
+ .shareout());
+// synopsys translate_off
+defparam \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~41 .extended_lut = "off";
+defparam \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~41 .lut_mask = 64'h0000CCCC00000000;
+defparam \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~41 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X78_Y6_N33
+cyclonev_lcell_comb \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~45 (
+// Equation(s):
+// \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~45_sumout = SUM(( GND ) + ( GND ) + ( \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~42 ))
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~42 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~45_sumout ),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~45 .extended_lut = "off";
+defparam \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~45 .lut_mask = 64'h0000FFFF00000000;
+defparam \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~45 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X80_Y8_N51
+cyclonev_lcell_comb \bcd|A2|WideOr1~0 (
+// Equation(s):
+// \bcd|A2|WideOr1~0_combout = ( \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~45_sumout & ( (!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~41_sumout &
+// (!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~37_sumout & \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~33_sumout )) #
+// (\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~41_sumout & (\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~37_sumout &
+// !\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~33_sumout )) ) ) # ( !\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~45_sumout & (
+// (\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~41_sumout & (!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~37_sumout &
+// !\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~33_sumout )) ) )
+
+ .dataa(!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~41_sumout ),
+ .datab(gnd),
+ .datac(!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~37_sumout ),
+ .datad(!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~33_sumout ),
+ .datae(gnd),
+ .dataf(!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~45_sumout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A2|WideOr1~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A2|WideOr1~0 .extended_lut = "off";
+defparam \bcd|A2|WideOr1~0 .lut_mask = 64'h5000500005A005A0;
+defparam \bcd|A2|WideOr1~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X80_Y8_N21
+cyclonev_lcell_comb \bcd|A2|WideOr3~0 (
+// Equation(s):
+// \bcd|A2|WideOr3~0_combout = ( \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~45_sumout & ( (!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~41_sumout &
+// (!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~37_sumout $ (\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~33_sumout ))) #
+// (\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~41_sumout & (!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~37_sumout &
+// \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~33_sumout )) ) ) # ( !\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~45_sumout & (
+// (!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~41_sumout & ((\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~33_sumout ))) #
+// (\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~41_sumout & (\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~37_sumout &
+// !\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~33_sumout )) ) )
+
+ .dataa(!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~41_sumout ),
+ .datab(!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~37_sumout ),
+ .datac(gnd),
+ .datad(!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~33_sumout ),
+ .datae(gnd),
+ .dataf(!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~45_sumout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A2|WideOr3~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A2|WideOr3~0 .extended_lut = "off";
+defparam \bcd|A2|WideOr3~0 .lut_mask = 64'h11AA11AA88668866;
+defparam \bcd|A2|WideOr3~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X80_Y8_N18
+cyclonev_lcell_comb \bcd|A2|WideOr2~0 (
+// Equation(s):
+// \bcd|A2|WideOr2~0_combout = ( \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~41_sumout & ( (!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~37_sumout &
+// (\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~45_sumout )) # (\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~37_sumout &
+// (!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~45_sumout & \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~33_sumout )) ) ) # (
+// !\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~41_sumout & ( (!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~37_sumout &
+// (\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~45_sumout & !\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~33_sumout )) #
+// (\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~37_sumout & (!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~45_sumout )) ) )
+
+ .dataa(gnd),
+ .datab(!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~37_sumout ),
+ .datac(!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~45_sumout ),
+ .datad(!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~33_sumout ),
+ .datae(gnd),
+ .dataf(!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~41_sumout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A2|WideOr2~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A2|WideOr2~0 .extended_lut = "off";
+defparam \bcd|A2|WideOr2~0 .lut_mask = 64'h3C303C300C3C0C3C;
+defparam \bcd|A2|WideOr2~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X80_Y8_N54
+cyclonev_lcell_comb \bcd|A4|WideOr2~0 (
+// Equation(s):
+// \bcd|A4|WideOr2~0_combout = ( \bcd|A2|WideOr2~0_combout & ( (!\bcd|A2|WideOr1~0_combout & (\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~29_sumout & \bcd|A2|WideOr3~0_combout )) # (\bcd|A2|WideOr1~0_combout &
+// (!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~29_sumout & !\bcd|A2|WideOr3~0_combout )) ) ) # ( !\bcd|A2|WideOr2~0_combout & ( !\bcd|A2|WideOr3~0_combout $ (((!\bcd|A2|WideOr1~0_combout ) #
+// (\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~29_sumout ))) ) )
+
+ .dataa(!\bcd|A2|WideOr1~0_combout ),
+ .datab(!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~29_sumout ),
+ .datac(!\bcd|A2|WideOr3~0_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\bcd|A2|WideOr2~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A4|WideOr2~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A4|WideOr2~0 .extended_lut = "off";
+defparam \bcd|A4|WideOr2~0 .lut_mask = 64'h4B4B4B4B42424242;
+defparam \bcd|A4|WideOr2~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X80_Y8_N57
+cyclonev_lcell_comb \bcd|A4|WideOr1~0 (
+// Equation(s):
+// \bcd|A4|WideOr1~0_combout = ( \bcd|A2|WideOr2~0_combout & ( (!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~29_sumout & !\bcd|A2|WideOr3~0_combout ) ) ) # ( !\bcd|A2|WideOr2~0_combout & ( (\bcd|A2|WideOr1~0_combout
+// & ((\bcd|A2|WideOr3~0_combout ) # (\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~29_sumout ))) ) )
+
+ .dataa(!\bcd|A2|WideOr1~0_combout ),
+ .datab(!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~29_sumout ),
+ .datac(gnd),
+ .datad(!\bcd|A2|WideOr3~0_combout ),
+ .datae(gnd),
+ .dataf(!\bcd|A2|WideOr2~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A4|WideOr1~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A4|WideOr1~0 .extended_lut = "off";
+defparam \bcd|A4|WideOr1~0 .lut_mask = 64'h11551155CC00CC00;
+defparam \bcd|A4|WideOr1~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X80_Y8_N3
+cyclonev_lcell_comb \bcd|A4|WideOr3~0 (
+// Equation(s):
+// \bcd|A4|WideOr3~0_combout = ( \bcd|A2|WideOr2~0_combout & ( (!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~29_sumout & (!\bcd|A2|WideOr1~0_combout $ (!\bcd|A2|WideOr3~0_combout ))) ) ) # (
+// !\bcd|A2|WideOr2~0_combout & ( !\bcd|A2|WideOr1~0_combout $ (!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~29_sumout ) ) )
+
+ .dataa(!\bcd|A2|WideOr1~0_combout ),
+ .datab(gnd),
+ .datac(!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~29_sumout ),
+ .datad(!\bcd|A2|WideOr3~0_combout ),
+ .datae(gnd),
+ .dataf(!\bcd|A2|WideOr2~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A4|WideOr3~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A4|WideOr3~0 .extended_lut = "off";
+defparam \bcd|A4|WideOr3~0 .lut_mask = 64'h5A5A5A5A50A050A0;
+defparam \bcd|A4|WideOr3~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X80_Y8_N33
+cyclonev_lcell_comb \bcd|A6|WideOr1~0 (
+// Equation(s):
+// \bcd|A6|WideOr1~0_combout = ( \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~25_sumout & ( (!\bcd|A4|WideOr2~0_combout & \bcd|A4|WideOr1~0_combout ) ) ) # (
+// !\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~25_sumout & ( (!\bcd|A4|WideOr2~0_combout & (\bcd|A4|WideOr1~0_combout & \bcd|A4|WideOr3~0_combout )) # (\bcd|A4|WideOr2~0_combout & ((!\bcd|A4|WideOr3~0_combout ))) )
+// )
+
+ .dataa(!\bcd|A4|WideOr2~0_combout ),
+ .datab(!\bcd|A4|WideOr1~0_combout ),
+ .datac(gnd),
+ .datad(!\bcd|A4|WideOr3~0_combout ),
+ .datae(gnd),
+ .dataf(!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~25_sumout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A6|WideOr1~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A6|WideOr1~0 .extended_lut = "off";
+defparam \bcd|A6|WideOr1~0 .lut_mask = 64'h5522552222222222;
+defparam \bcd|A6|WideOr1~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X80_Y8_N30
+cyclonev_lcell_comb \bcd|A6|WideOr2~0 (
+// Equation(s):
+// \bcd|A6|WideOr2~0_combout = ( \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~25_sumout & ( (\bcd|A4|WideOr3~0_combout & ((!\bcd|A4|WideOr1~0_combout ) # (!\bcd|A4|WideOr2~0_combout ))) ) ) # (
+// !\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~25_sumout & ( (!\bcd|A4|WideOr1~0_combout & (!\bcd|A4|WideOr2~0_combout & \bcd|A4|WideOr3~0_combout )) # (\bcd|A4|WideOr1~0_combout & ((!\bcd|A4|WideOr3~0_combout )))
+// ) )
+
+ .dataa(gnd),
+ .datab(!\bcd|A4|WideOr1~0_combout ),
+ .datac(!\bcd|A4|WideOr2~0_combout ),
+ .datad(!\bcd|A4|WideOr3~0_combout ),
+ .datae(gnd),
+ .dataf(!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~25_sumout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A6|WideOr2~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A6|WideOr2~0 .extended_lut = "off";
+defparam \bcd|A6|WideOr2~0 .lut_mask = 64'h33C033C000FC00FC;
+defparam \bcd|A6|WideOr2~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X80_Y8_N15
+cyclonev_lcell_comb \bcd|A6|WideOr3~0 (
+// Equation(s):
+// \bcd|A6|WideOr3~0_combout = ( \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~25_sumout & ( (!\bcd|A4|WideOr2~0_combout & !\bcd|A4|WideOr1~0_combout ) ) ) # (
+// !\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~25_sumout & ( !\bcd|A4|WideOr1~0_combout $ (((!\bcd|A4|WideOr2~0_combout ) # (!\bcd|A4|WideOr3~0_combout ))) ) )
+
+ .dataa(!\bcd|A4|WideOr2~0_combout ),
+ .datab(gnd),
+ .datac(!\bcd|A4|WideOr1~0_combout ),
+ .datad(!\bcd|A4|WideOr3~0_combout ),
+ .datae(gnd),
+ .dataf(!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~25_sumout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A6|WideOr3~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A6|WideOr3~0 .extended_lut = "off";
+defparam \bcd|A6|WideOr3~0 .lut_mask = 64'h0F5A0F5AA0A0A0A0;
+defparam \bcd|A6|WideOr3~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X80_Y8_N27
+cyclonev_lcell_comb \bcd|A8|WideOr3~0 (
+// Equation(s):
+// \bcd|A8|WideOr3~0_combout = ( \bcd|A6|WideOr3~0_combout & ( (!\bcd|A6|WideOr1~0_combout & (!\bcd|A6|WideOr2~0_combout $ (!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~21_sumout ))) # (\bcd|A6|WideOr1~0_combout &
+// (!\bcd|A6|WideOr2~0_combout & !\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~21_sumout )) ) ) # ( !\bcd|A6|WideOr3~0_combout & ( (!\bcd|A6|WideOr1~0_combout & (!\bcd|A6|WideOr2~0_combout &
+// \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~21_sumout )) # (\bcd|A6|WideOr1~0_combout & ((!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~21_sumout ))) ) )
+
+ .dataa(!\bcd|A6|WideOr1~0_combout ),
+ .datab(!\bcd|A6|WideOr2~0_combout ),
+ .datac(!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~21_sumout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\bcd|A6|WideOr3~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A8|WideOr3~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A8|WideOr3~0 .extended_lut = "off";
+defparam \bcd|A8|WideOr3~0 .lut_mask = 64'h5858585868686868;
+defparam \bcd|A8|WideOr3~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X80_Y8_N6
+cyclonev_lcell_comb \bcd|A8|WideOr2~0 (
+// Equation(s):
+// \bcd|A8|WideOr2~0_combout = ( \bcd|A6|WideOr3~0_combout & ( (!\bcd|A6|WideOr2~0_combout & ((!\bcd|A6|WideOr1~0_combout ) # (\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~21_sumout ))) # (\bcd|A6|WideOr2~0_combout &
+// (!\bcd|A6|WideOr1~0_combout & \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~21_sumout )) ) ) # ( !\bcd|A6|WideOr3~0_combout & ( (\bcd|A6|WideOr1~0_combout &
+// !\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~21_sumout ) ) )
+
+ .dataa(gnd),
+ .datab(!\bcd|A6|WideOr2~0_combout ),
+ .datac(!\bcd|A6|WideOr1~0_combout ),
+ .datad(!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~21_sumout ),
+ .datae(gnd),
+ .dataf(!\bcd|A6|WideOr3~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A8|WideOr2~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A8|WideOr2~0 .extended_lut = "off";
+defparam \bcd|A8|WideOr2~0 .lut_mask = 64'h0F000F00C0FCC0FC;
+defparam \bcd|A8|WideOr2~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X80_Y8_N9
+cyclonev_lcell_comb \bcd|A8|WideOr1~0 (
+// Equation(s):
+// \bcd|A8|WideOr1~0_combout = ( \bcd|A6|WideOr3~0_combout & ( (\bcd|A6|WideOr1~0_combout & !\bcd|A6|WideOr2~0_combout ) ) ) # ( !\bcd|A6|WideOr3~0_combout & ( (!\bcd|A6|WideOr2~0_combout & (\bcd|A6|WideOr1~0_combout &
+// \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~21_sumout )) # (\bcd|A6|WideOr2~0_combout & ((!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~21_sumout ))) ) )
+
+ .dataa(!\bcd|A6|WideOr1~0_combout ),
+ .datab(!\bcd|A6|WideOr2~0_combout ),
+ .datac(!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~21_sumout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\bcd|A6|WideOr3~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A8|WideOr1~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A8|WideOr1~0 .extended_lut = "off";
+defparam \bcd|A8|WideOr1~0 .lut_mask = 64'h3434343444444444;
+defparam \bcd|A8|WideOr1~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X80_Y8_N39
+cyclonev_lcell_comb \bcd|A11|WideOr3~0 (
+// Equation(s):
+// \bcd|A11|WideOr3~0_combout = ( \bcd|A8|WideOr1~0_combout & ( (!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~17_sumout & ((!\bcd|A8|WideOr3~0_combout ) # (!\bcd|A8|WideOr2~0_combout ))) ) ) # (
+// !\bcd|A8|WideOr1~0_combout & ( (!\bcd|A8|WideOr2~0_combout & ((\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~17_sumout ))) # (\bcd|A8|WideOr2~0_combout & (\bcd|A8|WideOr3~0_combout &
+// !\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~17_sumout )) ) )
+
+ .dataa(!\bcd|A8|WideOr3~0_combout ),
+ .datab(!\bcd|A8|WideOr2~0_combout ),
+ .datac(gnd),
+ .datad(!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~17_sumout ),
+ .datae(gnd),
+ .dataf(!\bcd|A8|WideOr1~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A11|WideOr3~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A11|WideOr3~0 .extended_lut = "off";
+defparam \bcd|A11|WideOr3~0 .lut_mask = 64'h11CC11CCEE00EE00;
+defparam \bcd|A11|WideOr3~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X80_Y8_N45
+cyclonev_lcell_comb \bcd|A11|WideOr1~0 (
+// Equation(s):
+// \bcd|A11|WideOr1~0_combout = ( \bcd|A8|WideOr1~0_combout & ( !\bcd|A8|WideOr2~0_combout $ (((!\bcd|A8|WideOr3~0_combout & !\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~17_sumout ))) ) ) # (
+// !\bcd|A8|WideOr1~0_combout & ( (!\bcd|A8|WideOr3~0_combout & (\bcd|A8|WideOr2~0_combout & !\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~17_sumout )) ) )
+
+ .dataa(!\bcd|A8|WideOr3~0_combout ),
+ .datab(!\bcd|A8|WideOr2~0_combout ),
+ .datac(gnd),
+ .datad(!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~17_sumout ),
+ .datae(gnd),
+ .dataf(!\bcd|A8|WideOr1~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A11|WideOr1~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A11|WideOr1~0 .extended_lut = "off";
+defparam \bcd|A11|WideOr1~0 .lut_mask = 64'h2200220066CC66CC;
+defparam \bcd|A11|WideOr1~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X80_Y8_N42
+cyclonev_lcell_comb \bcd|A11|WideOr2~0 (
+// Equation(s):
+// \bcd|A11|WideOr2~0_combout = ( \bcd|A8|WideOr1~0_combout & ( (!\bcd|A8|WideOr3~0_combout & ((!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~17_sumout ))) # (\bcd|A8|WideOr3~0_combout & (!\bcd|A8|WideOr2~0_combout
+// & \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~17_sumout )) ) ) # ( !\bcd|A8|WideOr1~0_combout & ( (\bcd|A8|WideOr3~0_combout & ((!\bcd|A8|WideOr2~0_combout ) #
+// (\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~17_sumout ))) ) )
+
+ .dataa(!\bcd|A8|WideOr3~0_combout ),
+ .datab(!\bcd|A8|WideOr2~0_combout ),
+ .datac(!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~17_sumout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\bcd|A8|WideOr1~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A11|WideOr2~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A11|WideOr2~0 .extended_lut = "off";
+defparam \bcd|A11|WideOr2~0 .lut_mask = 64'h45454545A4A4A4A4;
+defparam \bcd|A11|WideOr2~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X84_Y8_N30
+cyclonev_lcell_comb \bcd|A14|WideOr1~0 (
+// Equation(s):
+// \bcd|A14|WideOr1~0_combout = ( !\bcd|A11|WideOr2~0_combout & ( \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~13_sumout & ( \bcd|A11|WideOr1~0_combout ) ) ) # ( \bcd|A11|WideOr2~0_combout & (
+// !\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~13_sumout & ( !\bcd|A11|WideOr3~0_combout ) ) ) # ( !\bcd|A11|WideOr2~0_combout & (
+// !\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~13_sumout & ( (\bcd|A11|WideOr3~0_combout & \bcd|A11|WideOr1~0_combout ) ) ) )
+
+ .dataa(!\bcd|A11|WideOr3~0_combout ),
+ .datab(gnd),
+ .datac(!\bcd|A11|WideOr1~0_combout ),
+ .datad(gnd),
+ .datae(!\bcd|A11|WideOr2~0_combout ),
+ .dataf(!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~13_sumout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A14|WideOr1~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A14|WideOr1~0 .extended_lut = "off";
+defparam \bcd|A14|WideOr1~0 .lut_mask = 64'h0505AAAA0F0F0000;
+defparam \bcd|A14|WideOr1~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X84_Y12_N27
+cyclonev_lcell_comb \bcd|A14|WideOr3~0 (
+// Equation(s):
+// \bcd|A14|WideOr3~0_combout = ( \bcd|A11|WideOr1~0_combout & ( (!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~13_sumout & ((!\bcd|A11|WideOr3~0_combout ) # (!\bcd|A11|WideOr2~0_combout ))) ) ) # (
+// !\bcd|A11|WideOr1~0_combout & ( (!\bcd|A11|WideOr2~0_combout & ((\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~13_sumout ))) # (\bcd|A11|WideOr2~0_combout & (\bcd|A11|WideOr3~0_combout &
+// !\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~13_sumout )) ) )
+
+ .dataa(!\bcd|A11|WideOr3~0_combout ),
+ .datab(!\bcd|A11|WideOr2~0_combout ),
+ .datac(!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~13_sumout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\bcd|A11|WideOr1~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A14|WideOr3~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A14|WideOr3~0 .extended_lut = "off";
+defparam \bcd|A14|WideOr3~0 .lut_mask = 64'h1C1C1C1CE0E0E0E0;
+defparam \bcd|A14|WideOr3~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X84_Y8_N51
+cyclonev_lcell_comb \bcd|A14|WideOr2~0 (
+// Equation(s):
+// \bcd|A14|WideOr2~0_combout = ( \bcd|A11|WideOr2~0_combout & ( \bcd|A11|WideOr3~0_combout & ( (!\bcd|A11|WideOr1~0_combout & \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~13_sumout ) ) ) ) # (
+// !\bcd|A11|WideOr2~0_combout & ( \bcd|A11|WideOr3~0_combout & ( (!\bcd|A11|WideOr1~0_combout ) # (\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~13_sumout ) ) ) ) # ( \bcd|A11|WideOr2~0_combout & (
+// !\bcd|A11|WideOr3~0_combout & ( (\bcd|A11|WideOr1~0_combout & !\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~13_sumout ) ) ) ) # ( !\bcd|A11|WideOr2~0_combout & ( !\bcd|A11|WideOr3~0_combout & (
+// (\bcd|A11|WideOr1~0_combout & !\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~13_sumout ) ) ) )
+
+ .dataa(!\bcd|A11|WideOr1~0_combout ),
+ .datab(gnd),
+ .datac(!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~13_sumout ),
+ .datad(gnd),
+ .datae(!\bcd|A11|WideOr2~0_combout ),
+ .dataf(!\bcd|A11|WideOr3~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A14|WideOr2~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A14|WideOr2~0 .extended_lut = "off";
+defparam \bcd|A14|WideOr2~0 .lut_mask = 64'h50505050AFAF0A0A;
+defparam \bcd|A14|WideOr2~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X83_Y8_N36
+cyclonev_lcell_comb \bcd|A17|WideOr1~0 (
+// Equation(s):
+// \bcd|A17|WideOr1~0_combout = ( \bcd|A14|WideOr2~0_combout & ( (!\bcd|A14|WideOr3~0_combout & !\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~9_sumout ) ) ) # ( !\bcd|A14|WideOr2~0_combout & (
+// (\bcd|A14|WideOr1~0_combout & ((\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~9_sumout ) # (\bcd|A14|WideOr3~0_combout ))) ) )
+
+ .dataa(!\bcd|A14|WideOr1~0_combout ),
+ .datab(!\bcd|A14|WideOr3~0_combout ),
+ .datac(!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~9_sumout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\bcd|A14|WideOr2~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A17|WideOr1~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A17|WideOr1~0 .extended_lut = "off";
+defparam \bcd|A17|WideOr1~0 .lut_mask = 64'h15151515C0C0C0C0;
+defparam \bcd|A17|WideOr1~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X83_Y8_N39
+cyclonev_lcell_comb \bcd|A17|WideOr3~0 (
+// Equation(s):
+// \bcd|A17|WideOr3~0_combout = ( \bcd|A14|WideOr2~0_combout & ( (!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~9_sumout & (!\bcd|A14|WideOr1~0_combout $ (!\bcd|A14|WideOr3~0_combout ))) ) ) # (
+// !\bcd|A14|WideOr2~0_combout & ( !\bcd|A14|WideOr1~0_combout $ (!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~9_sumout ) ) )
+
+ .dataa(!\bcd|A14|WideOr1~0_combout ),
+ .datab(!\bcd|A14|WideOr3~0_combout ),
+ .datac(gnd),
+ .datad(!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~9_sumout ),
+ .datae(gnd),
+ .dataf(!\bcd|A14|WideOr2~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A17|WideOr3~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A17|WideOr3~0 .extended_lut = "off";
+defparam \bcd|A17|WideOr3~0 .lut_mask = 64'h55AA55AA66006600;
+defparam \bcd|A17|WideOr3~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X83_Y8_N6
+cyclonev_lcell_comb \bcd|A17|WideOr2~0 (
+// Equation(s):
+// \bcd|A17|WideOr2~0_combout = ( \bcd|A14|WideOr2~0_combout & ( (!\bcd|A14|WideOr1~0_combout & (\bcd|A14|WideOr3~0_combout & \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~9_sumout )) # (\bcd|A14|WideOr1~0_combout &
+// (!\bcd|A14|WideOr3~0_combout & !\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~9_sumout )) ) ) # ( !\bcd|A14|WideOr2~0_combout & ( !\bcd|A14|WideOr3~0_combout $ (((!\bcd|A14|WideOr1~0_combout ) #
+// (\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~9_sumout ))) ) )
+
+ .dataa(!\bcd|A14|WideOr1~0_combout ),
+ .datab(!\bcd|A14|WideOr3~0_combout ),
+ .datac(!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~9_sumout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\bcd|A14|WideOr2~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A17|WideOr2~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A17|WideOr2~0 .extended_lut = "off";
+defparam \bcd|A17|WideOr2~0 .lut_mask = 64'h6363636342424242;
+defparam \bcd|A17|WideOr2~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X83_Y8_N27
+cyclonev_lcell_comb \bcd|A21|WideOr3~0 (
+// Equation(s):
+// \bcd|A21|WideOr3~0_combout = ( \bcd|A17|WideOr2~0_combout & ( (!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~5_sumout & (!\bcd|A17|WideOr1~0_combout $ (!\bcd|A17|WideOr3~0_combout ))) ) ) # (
+// !\bcd|A17|WideOr2~0_combout & ( !\bcd|A17|WideOr1~0_combout $ (!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~5_sumout ) ) )
+
+ .dataa(!\bcd|A17|WideOr1~0_combout ),
+ .datab(!\bcd|A17|WideOr3~0_combout ),
+ .datac(!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~5_sumout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\bcd|A17|WideOr2~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A21|WideOr3~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A21|WideOr3~0 .extended_lut = "off";
+defparam \bcd|A21|WideOr3~0 .lut_mask = 64'h5A5A5A5A60606060;
+defparam \bcd|A21|WideOr3~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X83_Y8_N18
+cyclonev_lcell_comb \bcd|A21|WideOr1~0 (
+// Equation(s):
+// \bcd|A21|WideOr1~0_combout = ( \bcd|A17|WideOr3~0_combout & ( (!\bcd|A17|WideOr2~0_combout & \bcd|A17|WideOr1~0_combout ) ) ) # ( !\bcd|A17|WideOr3~0_combout & ( (!\bcd|A17|WideOr2~0_combout & (\bcd|A17|WideOr1~0_combout &
+// \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~5_sumout )) # (\bcd|A17|WideOr2~0_combout & ((!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~5_sumout ))) ) )
+
+ .dataa(gnd),
+ .datab(!\bcd|A17|WideOr2~0_combout ),
+ .datac(!\bcd|A17|WideOr1~0_combout ),
+ .datad(!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~5_sumout ),
+ .datae(gnd),
+ .dataf(!\bcd|A17|WideOr3~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A21|WideOr1~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A21|WideOr1~0 .extended_lut = "off";
+defparam \bcd|A21|WideOr1~0 .lut_mask = 64'h330C330C0C0C0C0C;
+defparam \bcd|A21|WideOr1~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X83_Y8_N24
+cyclonev_lcell_comb \bcd|A21|WideOr2~0 (
+// Equation(s):
+// \bcd|A21|WideOr2~0_combout = ( \bcd|A17|WideOr2~0_combout & ( (!\bcd|A17|WideOr1~0_combout & (\bcd|A17|WideOr3~0_combout & \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~5_sumout )) # (\bcd|A17|WideOr1~0_combout &
+// (!\bcd|A17|WideOr3~0_combout & !\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~5_sumout )) ) ) # ( !\bcd|A17|WideOr2~0_combout & ( !\bcd|A17|WideOr3~0_combout $ (((!\bcd|A17|WideOr1~0_combout ) #
+// (\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~5_sumout ))) ) )
+
+ .dataa(!\bcd|A17|WideOr1~0_combout ),
+ .datab(!\bcd|A17|WideOr3~0_combout ),
+ .datac(gnd),
+ .datad(!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~5_sumout ),
+ .datae(gnd),
+ .dataf(!\bcd|A17|WideOr2~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A21|WideOr2~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A21|WideOr2~0 .extended_lut = "off";
+defparam \bcd|A21|WideOr2~0 .lut_mask = 64'h6633663344224422;
+defparam \bcd|A21|WideOr2~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X83_Y8_N48
+cyclonev_lcell_comb \bcd|A25|WideOr3~0 (
+// Equation(s):
+// \bcd|A25|WideOr3~0_combout = ( \bcd|A21|WideOr2~0_combout & ( (!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~1_sumout & (!\bcd|A21|WideOr3~0_combout $ (!\bcd|A21|WideOr1~0_combout ))) ) ) # (
+// !\bcd|A21|WideOr2~0_combout & ( !\bcd|A21|WideOr1~0_combout $ (!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~1_sumout ) ) )
+
+ .dataa(!\bcd|A21|WideOr3~0_combout ),
+ .datab(!\bcd|A21|WideOr1~0_combout ),
+ .datac(!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~1_sumout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\bcd|A21|WideOr2~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A25|WideOr3~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A25|WideOr3~0 .extended_lut = "off";
+defparam \bcd|A25|WideOr3~0 .lut_mask = 64'h3C3C3C3C60606060;
+defparam \bcd|A25|WideOr3~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X83_Y8_N30
+cyclonev_lcell_comb \bcd|A25|WideOr1~0 (
+// Equation(s):
+// \bcd|A25|WideOr1~0_combout = ( \bcd|A21|WideOr2~0_combout & ( (!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~1_sumout & !\bcd|A21|WideOr3~0_combout ) ) ) # ( !\bcd|A21|WideOr2~0_combout & (
+// (\bcd|A21|WideOr1~0_combout & ((\bcd|A21|WideOr3~0_combout ) # (\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~1_sumout ))) ) )
+
+ .dataa(gnd),
+ .datab(!\bcd|A21|WideOr1~0_combout ),
+ .datac(!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~1_sumout ),
+ .datad(!\bcd|A21|WideOr3~0_combout ),
+ .datae(gnd),
+ .dataf(!\bcd|A21|WideOr2~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A25|WideOr1~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A25|WideOr1~0 .extended_lut = "off";
+defparam \bcd|A25|WideOr1~0 .lut_mask = 64'h03330333F000F000;
+defparam \bcd|A25|WideOr1~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X83_Y8_N51
+cyclonev_lcell_comb \bcd|A25|WideOr2~0 (
+// Equation(s):
+// \bcd|A25|WideOr2~0_combout = ( \bcd|A21|WideOr2~0_combout & ( (!\bcd|A21|WideOr3~0_combout & (\bcd|A21|WideOr1~0_combout & !\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~1_sumout )) # (\bcd|A21|WideOr3~0_combout &
+// (!\bcd|A21|WideOr1~0_combout & \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~1_sumout )) ) ) # ( !\bcd|A21|WideOr2~0_combout & ( !\bcd|A21|WideOr3~0_combout $ (((!\bcd|A21|WideOr1~0_combout ) #
+// (\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~1_sumout ))) ) )
+
+ .dataa(!\bcd|A21|WideOr3~0_combout ),
+ .datab(!\bcd|A21|WideOr1~0_combout ),
+ .datac(gnd),
+ .datad(!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~1_sumout ),
+ .datae(gnd),
+ .dataf(!\bcd|A21|WideOr2~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A25|WideOr2~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A25|WideOr2~0 .extended_lut = "off";
+defparam \bcd|A25|WideOr2~0 .lut_mask = 64'h6655665522442244;
+defparam \bcd|A25|WideOr2~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X83_Y8_N54
+cyclonev_lcell_comb \bcd|A29|WideOr1~0 (
+// Equation(s):
+// \bcd|A29|WideOr1~0_combout = ( \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~5_sumout & ( (\bcd|A25|WideOr1~0_combout & !\bcd|A25|WideOr2~0_combout ) ) ) # (
+// !\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~5_sumout & ( (!\bcd|A25|WideOr3~0_combout & ((\bcd|A25|WideOr2~0_combout ))) # (\bcd|A25|WideOr3~0_combout & (\bcd|A25|WideOr1~0_combout & !\bcd|A25|WideOr2~0_combout )) ) )
+
+ .dataa(!\bcd|A25|WideOr3~0_combout ),
+ .datab(!\bcd|A25|WideOr1~0_combout ),
+ .datac(!\bcd|A25|WideOr2~0_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~5_sumout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A29|WideOr1~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A29|WideOr1~0 .extended_lut = "off";
+defparam \bcd|A29|WideOr1~0 .lut_mask = 64'h1A1A1A1A30303030;
+defparam \bcd|A29|WideOr1~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X83_Y8_N3
+cyclonev_lcell_comb \bcd|A29|WideOr2~0 (
+// Equation(s):
+// \bcd|A29|WideOr2~0_combout = ( \bcd|A25|WideOr2~0_combout & ( (!\bcd|A25|WideOr3~0_combout & (\bcd|A25|WideOr1~0_combout & !\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~5_sumout )) # (\bcd|A25|WideOr3~0_combout &
+// (!\bcd|A25|WideOr1~0_combout & \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~5_sumout )) ) ) # ( !\bcd|A25|WideOr2~0_combout & ( !\bcd|A25|WideOr3~0_combout $ (((!\bcd|A25|WideOr1~0_combout ) #
+// (\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~5_sumout ))) ) )
+
+ .dataa(!\bcd|A25|WideOr3~0_combout ),
+ .datab(!\bcd|A25|WideOr1~0_combout ),
+ .datac(!\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~5_sumout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\bcd|A25|WideOr2~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A29|WideOr2~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A29|WideOr2~0 .extended_lut = "off";
+defparam \bcd|A29|WideOr2~0 .lut_mask = 64'h6565656524242424;
+defparam \bcd|A29|WideOr2~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X83_Y8_N0
+cyclonev_lcell_comb \bcd|A29|WideOr3~0 (
+// Equation(s):
+// \bcd|A29|WideOr3~0_combout = ( \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~5_sumout & ( (!\bcd|A25|WideOr1~0_combout & !\bcd|A25|WideOr2~0_combout ) ) ) # (
+// !\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~5_sumout & ( !\bcd|A25|WideOr1~0_combout $ (((!\bcd|A25|WideOr3~0_combout ) # (!\bcd|A25|WideOr2~0_combout ))) ) )
+
+ .dataa(!\bcd|A25|WideOr3~0_combout ),
+ .datab(!\bcd|A25|WideOr1~0_combout ),
+ .datac(!\bcd|A25|WideOr2~0_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~5_sumout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A29|WideOr3~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A29|WideOr3~0 .extended_lut = "off";
+defparam \bcd|A29|WideOr3~0 .lut_mask = 64'h36363636C0C0C0C0;
+defparam \bcd|A29|WideOr3~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X84_Y8_N15
+cyclonev_lcell_comb \h0|WideOr6~0 (
+// Equation(s):
+// \h0|WideOr6~0_combout = ( \bcd|A29|WideOr3~0_combout & ( (\bcd|A29|WideOr1~0_combout & (!\bcd|A29|WideOr2~0_combout & \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~1_sumout )) ) ) # ( !\bcd|A29|WideOr3~0_combout & (
+// (!\bcd|A29|WideOr1~0_combout & (!\bcd|A29|WideOr2~0_combout $ (!\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~1_sumout ))) # (\bcd|A29|WideOr1~0_combout & (\bcd|A29|WideOr2~0_combout &
+// \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~1_sumout )) ) )
+
+ .dataa(!\bcd|A29|WideOr1~0_combout ),
+ .datab(!\bcd|A29|WideOr2~0_combout ),
+ .datac(!\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~1_sumout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\bcd|A29|WideOr3~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\h0|WideOr6~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \h0|WideOr6~0 .extended_lut = "off";
+defparam \h0|WideOr6~0 .lut_mask = 64'h2929292904040404;
+defparam \h0|WideOr6~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X84_Y8_N42
+cyclonev_lcell_comb \h0|WideOr5~0 (
+// Equation(s):
+// \h0|WideOr5~0_combout = ( \bcd|A29|WideOr3~0_combout & ( (!\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~1_sumout & (\bcd|A29|WideOr2~0_combout )) #
+// (\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~1_sumout & ((\bcd|A29|WideOr1~0_combout ))) ) ) # ( !\bcd|A29|WideOr3~0_combout & ( (\bcd|A29|WideOr2~0_combout & (!\bcd|A29|WideOr1~0_combout $
+// (!\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~1_sumout ))) ) )
+
+ .dataa(gnd),
+ .datab(!\bcd|A29|WideOr2~0_combout ),
+ .datac(!\bcd|A29|WideOr1~0_combout ),
+ .datad(!\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~1_sumout ),
+ .datae(gnd),
+ .dataf(!\bcd|A29|WideOr3~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\h0|WideOr5~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \h0|WideOr5~0 .extended_lut = "off";
+defparam \h0|WideOr5~0 .lut_mask = 64'h03300330330F330F;
+defparam \h0|WideOr5~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X84_Y8_N39
+cyclonev_lcell_comb \h0|WideOr4~0 (
+// Equation(s):
+// \h0|WideOr4~0_combout = ( \bcd|A29|WideOr3~0_combout & ( (!\bcd|A29|WideOr1~0_combout & (!\bcd|A29|WideOr2~0_combout & !\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~1_sumout )) # (\bcd|A29|WideOr1~0_combout &
+// (\bcd|A29|WideOr2~0_combout )) ) ) # ( !\bcd|A29|WideOr3~0_combout & ( (\bcd|A29|WideOr1~0_combout & (\bcd|A29|WideOr2~0_combout & !\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~1_sumout )) ) )
+
+ .dataa(!\bcd|A29|WideOr1~0_combout ),
+ .datab(!\bcd|A29|WideOr2~0_combout ),
+ .datac(!\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~1_sumout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\bcd|A29|WideOr3~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\h0|WideOr4~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \h0|WideOr4~0 .extended_lut = "off";
+defparam \h0|WideOr4~0 .lut_mask = 64'h1010101091919191;
+defparam \h0|WideOr4~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X84_Y8_N6
+cyclonev_lcell_comb \h0|WideOr3~0 (
+// Equation(s):
+// \h0|WideOr3~0_combout = ( \bcd|A29|WideOr3~0_combout & ( (!\bcd|A29|WideOr2~0_combout & (\bcd|A29|WideOr1~0_combout & !\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~1_sumout )) # (\bcd|A29|WideOr2~0_combout &
+// ((\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~1_sumout ))) ) ) # ( !\bcd|A29|WideOr3~0_combout & ( (!\bcd|A29|WideOr2~0_combout & ((\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~1_sumout ))) #
+// (\bcd|A29|WideOr2~0_combout & (!\bcd|A29|WideOr1~0_combout & !\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~1_sumout )) ) )
+
+ .dataa(!\bcd|A29|WideOr1~0_combout ),
+ .datab(!\bcd|A29|WideOr2~0_combout ),
+ .datac(!\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~1_sumout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\bcd|A29|WideOr3~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\h0|WideOr3~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \h0|WideOr3~0 .extended_lut = "off";
+defparam \h0|WideOr3~0 .lut_mask = 64'h2C2C2C2C43434343;
+defparam \h0|WideOr3~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X84_Y8_N9
+cyclonev_lcell_comb \h0|WideOr2~0 (
+// Equation(s):
+// \h0|WideOr2~0_combout = ( \bcd|A29|WideOr3~0_combout & ( (!\bcd|A29|WideOr1~0_combout & \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~1_sumout ) ) ) # ( !\bcd|A29|WideOr3~0_combout & ( (!\bcd|A29|WideOr2~0_combout &
+// ((\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~1_sumout ))) # (\bcd|A29|WideOr2~0_combout & (!\bcd|A29|WideOr1~0_combout )) ) )
+
+ .dataa(!\bcd|A29|WideOr1~0_combout ),
+ .datab(gnd),
+ .datac(!\bcd|A29|WideOr2~0_combout ),
+ .datad(!\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~1_sumout ),
+ .datae(gnd),
+ .dataf(!\bcd|A29|WideOr3~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\h0|WideOr2~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \h0|WideOr2~0 .extended_lut = "off";
+defparam \h0|WideOr2~0 .lut_mask = 64'h0AFA0AFA00AA00AA;
+defparam \h0|WideOr2~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X84_Y8_N24
+cyclonev_lcell_comb \h0|WideOr1~0 (
+// Equation(s):
+// \h0|WideOr1~0_combout = ( \bcd|A29|WideOr3~0_combout & ( (!\bcd|A29|WideOr1~0_combout & ((!\bcd|A29|WideOr2~0_combout ) # (\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~1_sumout ))) ) ) # ( !\bcd|A29|WideOr3~0_combout & (
+// (\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~1_sumout & (!\bcd|A29|WideOr1~0_combout $ (\bcd|A29|WideOr2~0_combout ))) ) )
+
+ .dataa(!\bcd|A29|WideOr1~0_combout ),
+ .datab(!\bcd|A29|WideOr2~0_combout ),
+ .datac(!\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~1_sumout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\bcd|A29|WideOr3~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\h0|WideOr1~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \h0|WideOr1~0 .extended_lut = "off";
+defparam \h0|WideOr1~0 .lut_mask = 64'h090909098A8A8A8A;
+defparam \h0|WideOr1~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X84_Y8_N27
+cyclonev_lcell_comb \h0|WideOr0~0 (
+// Equation(s):
+// \h0|WideOr0~0_combout = ( \bcd|A29|WideOr3~0_combout & ( ((!\bcd|A29|WideOr2~0_combout ) # (!\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~1_sumout )) # (\bcd|A29|WideOr1~0_combout ) ) ) # ( !\bcd|A29|WideOr3~0_combout & (
+// (!\bcd|A29|WideOr1~0_combout & (\bcd|A29|WideOr2~0_combout )) # (\bcd|A29|WideOr1~0_combout & ((!\bcd|A29|WideOr2~0_combout ) # (\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~1_sumout ))) ) )
+
+ .dataa(!\bcd|A29|WideOr1~0_combout ),
+ .datab(!\bcd|A29|WideOr2~0_combout ),
+ .datac(!\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~1_sumout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\bcd|A29|WideOr3~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\h0|WideOr0~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \h0|WideOr0~0 .extended_lut = "off";
+defparam \h0|WideOr0~0 .lut_mask = 64'h67676767FDFDFDFD;
+defparam \h0|WideOr0~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X83_Y8_N33
+cyclonev_lcell_comb \bcd|A25|WideOr0~0 (
+// Equation(s):
+// \bcd|A25|WideOr0~0_combout = ( \bcd|A21|WideOr2~0_combout & ( !\bcd|A21|WideOr1~0_combout $ (((!\bcd|A21|WideOr3~0_combout & !\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~1_sumout ))) ) ) # (
+// !\bcd|A21|WideOr2~0_combout & ( \bcd|A21|WideOr1~0_combout ) )
+
+ .dataa(!\bcd|A21|WideOr3~0_combout ),
+ .datab(!\bcd|A21|WideOr1~0_combout ),
+ .datac(gnd),
+ .datad(!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~1_sumout ),
+ .datae(gnd),
+ .dataf(!\bcd|A21|WideOr2~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A25|WideOr0~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A25|WideOr0~0 .extended_lut = "off";
+defparam \bcd|A25|WideOr0~0 .lut_mask = 64'h3333333366CC66CC;
+defparam \bcd|A25|WideOr0~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X83_Y8_N9
+cyclonev_lcell_comb \bcd|A17|WideOr0~0 (
+// Equation(s):
+// \bcd|A17|WideOr0~0_combout = ( \bcd|A14|WideOr2~0_combout & ( !\bcd|A14|WideOr1~0_combout $ (((!\bcd|A14|WideOr3~0_combout & !\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~9_sumout ))) ) ) # (
+// !\bcd|A14|WideOr2~0_combout & ( \bcd|A14|WideOr1~0_combout ) )
+
+ .dataa(!\bcd|A14|WideOr1~0_combout ),
+ .datab(!\bcd|A14|WideOr3~0_combout ),
+ .datac(gnd),
+ .datad(!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~9_sumout ),
+ .datae(gnd),
+ .dataf(!\bcd|A14|WideOr2~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A17|WideOr0~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A17|WideOr0~0 .extended_lut = "off";
+defparam \bcd|A17|WideOr0~0 .lut_mask = 64'h5555555566AA66AA;
+defparam \bcd|A17|WideOr0~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X84_Y12_N24
+cyclonev_lcell_comb \bcd|A14|WideOr0~0 (
+// Equation(s):
+// \bcd|A14|WideOr0~0_combout = ( \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~13_sumout & ( !\bcd|A11|WideOr2~0_combout $ (!\bcd|A11|WideOr1~0_combout ) ) ) # (
+// !\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~13_sumout & ( !\bcd|A11|WideOr1~0_combout $ (((!\bcd|A11|WideOr3~0_combout ) # (!\bcd|A11|WideOr2~0_combout ))) ) )
+
+ .dataa(!\bcd|A11|WideOr3~0_combout ),
+ .datab(!\bcd|A11|WideOr2~0_combout ),
+ .datac(!\bcd|A11|WideOr1~0_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~13_sumout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A14|WideOr0~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A14|WideOr0~0 .extended_lut = "off";
+defparam \bcd|A14|WideOr0~0 .lut_mask = 64'h1E1E1E1E3C3C3C3C;
+defparam \bcd|A14|WideOr0~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X78_Y6_N48
+cyclonev_lcell_comb \bcd|A7|WideOr2~0 (
+// Equation(s):
+// \bcd|A7|WideOr2~0_combout = ( \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~37_sumout & ( \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~45_sumout & (
+// (!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~41_sumout & ((!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~33_sumout ) #
+// ((!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~29_sumout & !\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~25_sumout )))) #
+// (\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~41_sumout & (((\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~33_sumout )))) ) ) ) # (
+// !\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~37_sumout & ( \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~45_sumout & (
+// (!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~41_sumout & (((\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~29_sumout &
+// \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~25_sumout )) # (\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~33_sumout ))) ) ) ) # (
+// \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~37_sumout & ( !\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~45_sumout & (
+// (!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~41_sumout & ((\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~33_sumout ) #
+// (\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~29_sumout ))) ) ) ) # ( !\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~37_sumout & (
+// !\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~45_sumout & ( (\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~41_sumout &
+// !\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~33_sumout ) ) ) )
+
+ .dataa(!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~29_sumout ),
+ .datab(!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~41_sumout ),
+ .datac(!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~25_sumout ),
+ .datad(!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~33_sumout ),
+ .datae(!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~37_sumout ),
+ .dataf(!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~45_sumout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A7|WideOr2~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A7|WideOr2~0 .extended_lut = "off";
+defparam \bcd|A7|WideOr2~0 .lut_mask = 64'h330044CC04CCCCB3;
+defparam \bcd|A7|WideOr2~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X78_Y6_N42
+cyclonev_lcell_comb \bcd|A7|WideOr3~0 (
+// Equation(s):
+// \bcd|A7|WideOr3~0_combout = ( \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~37_sumout & ( \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~45_sumout & (
+// (!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~33_sumout ) # ((!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~29_sumout &
+// (!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~41_sumout & !\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~25_sumout ))) ) ) ) # (
+// !\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~37_sumout & ( \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~45_sumout & (
+// (!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~41_sumout & (!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~33_sumout &
+// ((!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~29_sumout ) # (!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~25_sumout )))) #
+// (\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~41_sumout & (\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~29_sumout &
+// (\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~25_sumout & \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~33_sumout ))) ) ) ) # (
+// \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~37_sumout & ( !\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~45_sumout & (
+// (!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~29_sumout & (!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~41_sumout &
+// ((!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~33_sumout )))) # (\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~29_sumout &
+// (\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~33_sumout & ((\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~25_sumout ) #
+// (\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~41_sumout )))) ) ) ) # ( !\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~37_sumout & (
+// !\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~45_sumout & ( (!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~41_sumout &
+// (\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~33_sumout & ((\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~25_sumout ) #
+// (\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~29_sumout )))) # (\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~41_sumout &
+// (((!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~33_sumout )))) ) ) )
+
+ .dataa(!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~29_sumout ),
+ .datab(!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~41_sumout ),
+ .datac(!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~25_sumout ),
+ .datad(!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~33_sumout ),
+ .datae(!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~37_sumout ),
+ .dataf(!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~45_sumout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A7|WideOr3~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A7|WideOr3~0 .extended_lut = "off";
+defparam \bcd|A7|WideOr3~0 .lut_mask = 64'h334C8815C801FF80;
+defparam \bcd|A7|WideOr3~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X80_Y8_N24
+cyclonev_lcell_comb \bcd|A8|WideOr0~0 (
+// Equation(s):
+// \bcd|A8|WideOr0~0_combout = ( \bcd|A6|WideOr3~0_combout & ( !\bcd|A6|WideOr1~0_combout $ (!\bcd|A6|WideOr2~0_combout ) ) ) # ( !\bcd|A6|WideOr3~0_combout & ( !\bcd|A6|WideOr1~0_combout $ (((!\bcd|A6|WideOr2~0_combout ) #
+// (!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~21_sumout ))) ) )
+
+ .dataa(!\bcd|A6|WideOr1~0_combout ),
+ .datab(!\bcd|A6|WideOr2~0_combout ),
+ .datac(gnd),
+ .datad(!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~21_sumout ),
+ .datae(gnd),
+ .dataf(!\bcd|A6|WideOr3~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A8|WideOr0~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A8|WideOr0~0 .extended_lut = "off";
+defparam \bcd|A8|WideOr0~0 .lut_mask = 64'h5566556666666666;
+defparam \bcd|A8|WideOr0~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X78_Y6_N54
+cyclonev_lcell_comb \bcd|A7|WideOr1~0 (
+// Equation(s):
+// \bcd|A7|WideOr1~0_combout = ( \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~37_sumout & ( \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~45_sumout & (
+// (!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~41_sumout & (\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~33_sumout &
+// ((\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~25_sumout ) # (\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~29_sumout )))) ) ) ) # (
+// !\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~37_sumout & ( \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~45_sumout & (
+// (!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~29_sumout & (\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~41_sumout &
+// !\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~33_sumout )) ) ) ) # ( \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~37_sumout & (
+// !\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~45_sumout & ( (!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~29_sumout &
+// (\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~41_sumout & (!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~25_sumout &
+// !\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~33_sumout ))) ) ) ) # ( !\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~37_sumout & (
+// !\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~45_sumout & ( (\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~41_sumout &
+// \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~33_sumout ) ) ) )
+
+ .dataa(!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~29_sumout ),
+ .datab(!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~41_sumout ),
+ .datac(!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~25_sumout ),
+ .datad(!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~33_sumout ),
+ .datae(!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~37_sumout ),
+ .dataf(!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~45_sumout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A7|WideOr1~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A7|WideOr1~0 .extended_lut = "off";
+defparam \bcd|A7|WideOr1~0 .lut_mask = 64'h003320002200004C;
+defparam \bcd|A7|WideOr1~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X84_Y8_N0
+cyclonev_lcell_comb \bcd|A10|WideOr2~0 (
+// Equation(s):
+// \bcd|A10|WideOr2~0_combout = ( \bcd|A8|WideOr0~0_combout & ( \bcd|A7|WideOr1~0_combout & ( (!\bcd|A7|WideOr2~0_combout & \bcd|A7|WideOr3~0_combout ) ) ) ) # ( !\bcd|A8|WideOr0~0_combout & ( \bcd|A7|WideOr1~0_combout & ( !\bcd|A7|WideOr3~0_combout )
+// ) ) # ( \bcd|A8|WideOr0~0_combout & ( !\bcd|A7|WideOr1~0_combout & ( \bcd|A7|WideOr3~0_combout ) ) ) # ( !\bcd|A8|WideOr0~0_combout & ( !\bcd|A7|WideOr1~0_combout & ( (!\bcd|A7|WideOr2~0_combout & \bcd|A7|WideOr3~0_combout ) ) ) )
+
+ .dataa(!\bcd|A7|WideOr2~0_combout ),
+ .datab(gnd),
+ .datac(!\bcd|A7|WideOr3~0_combout ),
+ .datad(gnd),
+ .datae(!\bcd|A8|WideOr0~0_combout ),
+ .dataf(!\bcd|A7|WideOr1~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A10|WideOr2~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A10|WideOr2~0 .extended_lut = "off";
+defparam \bcd|A10|WideOr2~0 .lut_mask = 64'h0A0A0F0FF0F00A0A;
+defparam \bcd|A10|WideOr2~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X80_Y8_N36
+cyclonev_lcell_comb \bcd|A11|WideOr0~0 (
+// Equation(s):
+// \bcd|A11|WideOr0~0_combout = ( \bcd|A8|WideOr1~0_combout & ( (!\bcd|A8|WideOr2~0_combout ) # ((!\bcd|A8|WideOr3~0_combout & !\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~17_sumout )) ) ) # (
+// !\bcd|A8|WideOr1~0_combout & ( (\bcd|A8|WideOr2~0_combout & ((\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~17_sumout ) # (\bcd|A8|WideOr3~0_combout ))) ) )
+
+ .dataa(!\bcd|A8|WideOr3~0_combout ),
+ .datab(!\bcd|A8|WideOr2~0_combout ),
+ .datac(!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~17_sumout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\bcd|A8|WideOr1~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A11|WideOr0~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A11|WideOr0~0 .extended_lut = "off";
+defparam \bcd|A11|WideOr0~0 .lut_mask = 64'h13131313ECECECEC;
+defparam \bcd|A11|WideOr0~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X84_Y8_N18
+cyclonev_lcell_comb \bcd|A10|WideOr1~0 (
+// Equation(s):
+// \bcd|A10|WideOr1~0_combout = ( \bcd|A7|WideOr2~0_combout & ( (!\bcd|A8|WideOr0~0_combout & !\bcd|A7|WideOr3~0_combout ) ) ) # ( !\bcd|A7|WideOr2~0_combout & ( (\bcd|A7|WideOr1~0_combout & ((\bcd|A7|WideOr3~0_combout ) # (\bcd|A8|WideOr0~0_combout )))
+// ) )
+
+ .dataa(!\bcd|A7|WideOr1~0_combout ),
+ .datab(!\bcd|A8|WideOr0~0_combout ),
+ .datac(!\bcd|A7|WideOr3~0_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\bcd|A7|WideOr2~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A10|WideOr1~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A10|WideOr1~0 .extended_lut = "off";
+defparam \bcd|A10|WideOr1~0 .lut_mask = 64'h15151515C0C0C0C0;
+defparam \bcd|A10|WideOr1~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X84_Y8_N21
+cyclonev_lcell_comb \bcd|A10|WideOr3~0 (
+// Equation(s):
+// \bcd|A10|WideOr3~0_combout = ( \bcd|A7|WideOr2~0_combout & ( (!\bcd|A8|WideOr0~0_combout & (!\bcd|A7|WideOr1~0_combout $ (!\bcd|A7|WideOr3~0_combout ))) ) ) # ( !\bcd|A7|WideOr2~0_combout & ( !\bcd|A7|WideOr1~0_combout $ (!\bcd|A8|WideOr0~0_combout
+// ) ) )
+
+ .dataa(!\bcd|A7|WideOr1~0_combout ),
+ .datab(!\bcd|A8|WideOr0~0_combout ),
+ .datac(!\bcd|A7|WideOr3~0_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\bcd|A7|WideOr2~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A10|WideOr3~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A10|WideOr3~0 .extended_lut = "off";
+defparam \bcd|A10|WideOr3~0 .lut_mask = 64'h6666666648484848;
+defparam \bcd|A10|WideOr3~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X83_Y10_N21
+cyclonev_lcell_comb \bcd|A13|WideOr1~0 (
+// Equation(s):
+// \bcd|A13|WideOr1~0_combout = ( \bcd|A10|WideOr1~0_combout & ( \bcd|A10|WideOr3~0_combout & ( !\bcd|A10|WideOr2~0_combout ) ) ) # ( \bcd|A10|WideOr1~0_combout & ( !\bcd|A10|WideOr3~0_combout & ( !\bcd|A10|WideOr2~0_combout $
+// (!\bcd|A11|WideOr0~0_combout ) ) ) ) # ( !\bcd|A10|WideOr1~0_combout & ( !\bcd|A10|WideOr3~0_combout & ( (\bcd|A10|WideOr2~0_combout & !\bcd|A11|WideOr0~0_combout ) ) ) )
+
+ .dataa(gnd),
+ .datab(!\bcd|A10|WideOr2~0_combout ),
+ .datac(!\bcd|A11|WideOr0~0_combout ),
+ .datad(gnd),
+ .datae(!\bcd|A10|WideOr1~0_combout ),
+ .dataf(!\bcd|A10|WideOr3~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A13|WideOr1~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A13|WideOr1~0 .extended_lut = "off";
+defparam \bcd|A13|WideOr1~0 .lut_mask = 64'h30303C3C0000CCCC;
+defparam \bcd|A13|WideOr1~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X83_Y10_N51
+cyclonev_lcell_comb \bcd|A13|WideOr2~0 (
+// Equation(s):
+// \bcd|A13|WideOr2~0_combout = ( \bcd|A11|WideOr0~0_combout & ( (\bcd|A10|WideOr3~0_combout & ((!\bcd|A10|WideOr2~0_combout ) # (!\bcd|A10|WideOr1~0_combout ))) ) ) # ( !\bcd|A11|WideOr0~0_combout & ( (!\bcd|A10|WideOr3~0_combout &
+// ((\bcd|A10|WideOr1~0_combout ))) # (\bcd|A10|WideOr3~0_combout & (!\bcd|A10|WideOr2~0_combout & !\bcd|A10|WideOr1~0_combout )) ) )
+
+ .dataa(!\bcd|A10|WideOr3~0_combout ),
+ .datab(gnd),
+ .datac(!\bcd|A10|WideOr2~0_combout ),
+ .datad(!\bcd|A10|WideOr1~0_combout ),
+ .datae(gnd),
+ .dataf(!\bcd|A11|WideOr0~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A13|WideOr2~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A13|WideOr2~0 .extended_lut = "off";
+defparam \bcd|A13|WideOr2~0 .lut_mask = 64'h50AA50AA55505550;
+defparam \bcd|A13|WideOr2~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X84_Y10_N24
+cyclonev_lcell_comb \bcd|A13|WideOr3~0 (
+// Equation(s):
+// \bcd|A13|WideOr3~0_combout = ( !\bcd|A10|WideOr1~0_combout & ( \bcd|A11|WideOr0~0_combout & ( !\bcd|A10|WideOr2~0_combout ) ) ) # ( \bcd|A10|WideOr1~0_combout & ( !\bcd|A11|WideOr0~0_combout & ( (!\bcd|A10|WideOr2~0_combout ) #
+// (!\bcd|A10|WideOr3~0_combout ) ) ) ) # ( !\bcd|A10|WideOr1~0_combout & ( !\bcd|A11|WideOr0~0_combout & ( (\bcd|A10|WideOr2~0_combout & \bcd|A10|WideOr3~0_combout ) ) ) )
+
+ .dataa(gnd),
+ .datab(!\bcd|A10|WideOr2~0_combout ),
+ .datac(!\bcd|A10|WideOr3~0_combout ),
+ .datad(gnd),
+ .datae(!\bcd|A10|WideOr1~0_combout ),
+ .dataf(!\bcd|A11|WideOr0~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A13|WideOr3~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A13|WideOr3~0 .extended_lut = "off";
+defparam \bcd|A13|WideOr3~0 .lut_mask = 64'h0303FCFCCCCC0000;
+defparam \bcd|A13|WideOr3~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X84_Y12_N15
+cyclonev_lcell_comb \bcd|A16|WideOr1~0 (
+// Equation(s):
+// \bcd|A16|WideOr1~0_combout = ( \bcd|A13|WideOr3~0_combout & ( (\bcd|A13|WideOr1~0_combout & !\bcd|A13|WideOr2~0_combout ) ) ) # ( !\bcd|A13|WideOr3~0_combout & ( (!\bcd|A14|WideOr0~0_combout & ((\bcd|A13|WideOr2~0_combout ))) #
+// (\bcd|A14|WideOr0~0_combout & (\bcd|A13|WideOr1~0_combout & !\bcd|A13|WideOr2~0_combout )) ) )
+
+ .dataa(!\bcd|A14|WideOr0~0_combout ),
+ .datab(!\bcd|A13|WideOr1~0_combout ),
+ .datac(!\bcd|A13|WideOr2~0_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\bcd|A13|WideOr3~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A16|WideOr1~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A16|WideOr1~0 .extended_lut = "off";
+defparam \bcd|A16|WideOr1~0 .lut_mask = 64'h1A1A1A1A30303030;
+defparam \bcd|A16|WideOr1~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X84_Y12_N9
+cyclonev_lcell_comb \bcd|A16|WideOr3~0 (
+// Equation(s):
+// \bcd|A16|WideOr3~0_combout = ( \bcd|A13|WideOr3~0_combout & ( (!\bcd|A14|WideOr0~0_combout & (!\bcd|A13|WideOr1~0_combout $ (!\bcd|A13|WideOr2~0_combout ))) # (\bcd|A14|WideOr0~0_combout & (!\bcd|A13|WideOr1~0_combout & !\bcd|A13|WideOr2~0_combout
+// )) ) ) # ( !\bcd|A13|WideOr3~0_combout & ( (!\bcd|A14|WideOr0~0_combout & (\bcd|A13|WideOr1~0_combout )) # (\bcd|A14|WideOr0~0_combout & (!\bcd|A13|WideOr1~0_combout & !\bcd|A13|WideOr2~0_combout )) ) )
+
+ .dataa(!\bcd|A14|WideOr0~0_combout ),
+ .datab(gnd),
+ .datac(!\bcd|A13|WideOr1~0_combout ),
+ .datad(!\bcd|A13|WideOr2~0_combout ),
+ .datae(gnd),
+ .dataf(!\bcd|A13|WideOr3~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A16|WideOr3~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A16|WideOr3~0 .extended_lut = "off";
+defparam \bcd|A16|WideOr3~0 .lut_mask = 64'h5A0A5A0A5AA05AA0;
+defparam \bcd|A16|WideOr3~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X84_Y12_N12
+cyclonev_lcell_comb \bcd|A16|WideOr2~0 (
+// Equation(s):
+// \bcd|A16|WideOr2~0_combout = ( \bcd|A13|WideOr3~0_combout & ( (!\bcd|A14|WideOr0~0_combout & (!\bcd|A13|WideOr1~0_combout & !\bcd|A13|WideOr2~0_combout )) # (\bcd|A14|WideOr0~0_combout & ((!\bcd|A13|WideOr1~0_combout ) # (!\bcd|A13|WideOr2~0_combout
+// ))) ) ) # ( !\bcd|A13|WideOr3~0_combout & ( (!\bcd|A14|WideOr0~0_combout & \bcd|A13|WideOr1~0_combout ) ) )
+
+ .dataa(!\bcd|A14|WideOr0~0_combout ),
+ .datab(!\bcd|A13|WideOr1~0_combout ),
+ .datac(gnd),
+ .datad(!\bcd|A13|WideOr2~0_combout ),
+ .datae(gnd),
+ .dataf(!\bcd|A13|WideOr3~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A16|WideOr2~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A16|WideOr2~0 .extended_lut = "off";
+defparam \bcd|A16|WideOr2~0 .lut_mask = 64'h22222222DD44DD44;
+defparam \bcd|A16|WideOr2~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X84_Y12_N54
+cyclonev_lcell_comb \bcd|A20|WideOr3~0 (
+// Equation(s):
+// \bcd|A20|WideOr3~0_combout = ( \bcd|A16|WideOr2~0_combout & ( (!\bcd|A17|WideOr0~0_combout & (!\bcd|A16|WideOr1~0_combout $ (!\bcd|A16|WideOr3~0_combout ))) ) ) # ( !\bcd|A16|WideOr2~0_combout & ( !\bcd|A17|WideOr0~0_combout $
+// (!\bcd|A16|WideOr1~0_combout ) ) )
+
+ .dataa(!\bcd|A17|WideOr0~0_combout ),
+ .datab(!\bcd|A16|WideOr1~0_combout ),
+ .datac(!\bcd|A16|WideOr3~0_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\bcd|A16|WideOr2~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A20|WideOr3~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A20|WideOr3~0 .extended_lut = "off";
+defparam \bcd|A20|WideOr3~0 .lut_mask = 64'h6666666628282828;
+defparam \bcd|A20|WideOr3~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X84_Y12_N57
+cyclonev_lcell_comb \bcd|A20|WideOr2~0 (
+// Equation(s):
+// \bcd|A20|WideOr2~0_combout = ( \bcd|A16|WideOr2~0_combout & ( (!\bcd|A17|WideOr0~0_combout & (\bcd|A16|WideOr1~0_combout & !\bcd|A16|WideOr3~0_combout )) # (\bcd|A17|WideOr0~0_combout & (!\bcd|A16|WideOr1~0_combout & \bcd|A16|WideOr3~0_combout )) )
+// ) # ( !\bcd|A16|WideOr2~0_combout & ( !\bcd|A16|WideOr3~0_combout $ (((!\bcd|A16|WideOr1~0_combout ) # (\bcd|A17|WideOr0~0_combout ))) ) )
+
+ .dataa(!\bcd|A17|WideOr0~0_combout ),
+ .datab(!\bcd|A16|WideOr1~0_combout ),
+ .datac(!\bcd|A16|WideOr3~0_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\bcd|A16|WideOr2~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A20|WideOr2~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A20|WideOr2~0 .extended_lut = "off";
+defparam \bcd|A20|WideOr2~0 .lut_mask = 64'h2D2D2D2D24242424;
+defparam \bcd|A20|WideOr2~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X83_Y8_N21
+cyclonev_lcell_comb \bcd|A21|WideOr0~0 (
+// Equation(s):
+// \bcd|A21|WideOr0~0_combout = ( \bcd|A17|WideOr2~0_combout & ( !\bcd|A17|WideOr1~0_combout $ (((!\bcd|A17|WideOr3~0_combout & !\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~5_sumout ))) ) ) # (
+// !\bcd|A17|WideOr2~0_combout & ( \bcd|A17|WideOr1~0_combout ) )
+
+ .dataa(!\bcd|A17|WideOr1~0_combout ),
+ .datab(gnd),
+ .datac(!\bcd|A17|WideOr3~0_combout ),
+ .datad(!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~5_sumout ),
+ .datae(gnd),
+ .dataf(!\bcd|A17|WideOr2~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A21|WideOr0~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A21|WideOr0~0 .extended_lut = "off";
+defparam \bcd|A21|WideOr0~0 .lut_mask = 64'h555555555AAA5AAA;
+defparam \bcd|A21|WideOr0~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X84_Y12_N6
+cyclonev_lcell_comb \bcd|A20|WideOr1~0 (
+// Equation(s):
+// \bcd|A20|WideOr1~0_combout = ( \bcd|A16|WideOr1~0_combout & ( !\bcd|A16|WideOr2~0_combout $ (((!\bcd|A17|WideOr0~0_combout & !\bcd|A16|WideOr3~0_combout ))) ) ) # ( !\bcd|A16|WideOr1~0_combout & ( (\bcd|A16|WideOr2~0_combout &
+// (!\bcd|A17|WideOr0~0_combout & !\bcd|A16|WideOr3~0_combout )) ) )
+
+ .dataa(gnd),
+ .datab(!\bcd|A16|WideOr2~0_combout ),
+ .datac(!\bcd|A17|WideOr0~0_combout ),
+ .datad(!\bcd|A16|WideOr3~0_combout ),
+ .datae(gnd),
+ .dataf(!\bcd|A16|WideOr1~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A20|WideOr1~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A20|WideOr1~0 .extended_lut = "off";
+defparam \bcd|A20|WideOr1~0 .lut_mask = 64'h300030003CCC3CCC;
+defparam \bcd|A20|WideOr1~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X83_Y8_N42
+cyclonev_lcell_comb \bcd|A24|WideOr1~0 (
+// Equation(s):
+// \bcd|A24|WideOr1~0_combout = ( \bcd|A20|WideOr1~0_combout & ( !\bcd|A20|WideOr2~0_combout $ (((!\bcd|A20|WideOr3~0_combout & !\bcd|A21|WideOr0~0_combout ))) ) ) # ( !\bcd|A20|WideOr1~0_combout & ( (!\bcd|A20|WideOr3~0_combout &
+// (\bcd|A20|WideOr2~0_combout & !\bcd|A21|WideOr0~0_combout )) ) )
+
+ .dataa(gnd),
+ .datab(!\bcd|A20|WideOr3~0_combout ),
+ .datac(!\bcd|A20|WideOr2~0_combout ),
+ .datad(!\bcd|A21|WideOr0~0_combout ),
+ .datae(gnd),
+ .dataf(!\bcd|A20|WideOr1~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A24|WideOr1~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A24|WideOr1~0 .extended_lut = "off";
+defparam \bcd|A24|WideOr1~0 .lut_mask = 64'h0C000C003CF03CF0;
+defparam \bcd|A24|WideOr1~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X83_Y8_N15
+cyclonev_lcell_comb \bcd|A24|WideOr2~0 (
+// Equation(s):
+// \bcd|A24|WideOr2~0_combout = ( \bcd|A20|WideOr1~0_combout & ( (!\bcd|A20|WideOr3~0_combout & ((!\bcd|A21|WideOr0~0_combout ))) # (\bcd|A20|WideOr3~0_combout & (!\bcd|A20|WideOr2~0_combout & \bcd|A21|WideOr0~0_combout )) ) ) # (
+// !\bcd|A20|WideOr1~0_combout & ( (\bcd|A20|WideOr3~0_combout & ((!\bcd|A20|WideOr2~0_combout ) # (\bcd|A21|WideOr0~0_combout ))) ) )
+
+ .dataa(!\bcd|A20|WideOr2~0_combout ),
+ .datab(gnd),
+ .datac(!\bcd|A20|WideOr3~0_combout ),
+ .datad(!\bcd|A21|WideOr0~0_combout ),
+ .datae(gnd),
+ .dataf(!\bcd|A20|WideOr1~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A24|WideOr2~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A24|WideOr2~0 .extended_lut = "off";
+defparam \bcd|A24|WideOr2~0 .lut_mask = 64'h0A0F0A0FF00AF00A;
+defparam \bcd|A24|WideOr2~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X83_Y8_N12
+cyclonev_lcell_comb \bcd|A24|WideOr3~0 (
+// Equation(s):
+// \bcd|A24|WideOr3~0_combout = ( \bcd|A20|WideOr1~0_combout & ( (!\bcd|A21|WideOr0~0_combout & ((!\bcd|A20|WideOr2~0_combout ) # (!\bcd|A20|WideOr3~0_combout ))) ) ) # ( !\bcd|A20|WideOr1~0_combout & ( (!\bcd|A20|WideOr2~0_combout &
+// ((\bcd|A21|WideOr0~0_combout ))) # (\bcd|A20|WideOr2~0_combout & (\bcd|A20|WideOr3~0_combout & !\bcd|A21|WideOr0~0_combout )) ) )
+
+ .dataa(!\bcd|A20|WideOr2~0_combout ),
+ .datab(!\bcd|A20|WideOr3~0_combout ),
+ .datac(!\bcd|A21|WideOr0~0_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\bcd|A20|WideOr1~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A24|WideOr3~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A24|WideOr3~0 .extended_lut = "off";
+defparam \bcd|A24|WideOr3~0 .lut_mask = 64'h1A1A1A1AE0E0E0E0;
+defparam \bcd|A24|WideOr3~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X88_Y12_N51
+cyclonev_lcell_comb \bcd|A28|WideOr3~0 (
+// Equation(s):
+// \bcd|A28|WideOr3~0_combout = ( \bcd|A24|WideOr3~0_combout & ( (!\bcd|A25|WideOr0~0_combout & (!\bcd|A24|WideOr1~0_combout $ (!\bcd|A24|WideOr2~0_combout ))) # (\bcd|A25|WideOr0~0_combout & (!\bcd|A24|WideOr1~0_combout & !\bcd|A24|WideOr2~0_combout
+// )) ) ) # ( !\bcd|A24|WideOr3~0_combout & ( (!\bcd|A25|WideOr0~0_combout & (\bcd|A24|WideOr1~0_combout )) # (\bcd|A25|WideOr0~0_combout & (!\bcd|A24|WideOr1~0_combout & !\bcd|A24|WideOr2~0_combout )) ) )
+
+ .dataa(!\bcd|A25|WideOr0~0_combout ),
+ .datab(gnd),
+ .datac(!\bcd|A24|WideOr1~0_combout ),
+ .datad(!\bcd|A24|WideOr2~0_combout ),
+ .datae(gnd),
+ .dataf(!\bcd|A24|WideOr3~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A28|WideOr3~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A28|WideOr3~0 .extended_lut = "off";
+defparam \bcd|A28|WideOr3~0 .lut_mask = 64'h5A0A5A0A5AA05AA0;
+defparam \bcd|A28|WideOr3~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X88_Y12_N18
+cyclonev_lcell_comb \bcd|A28|WideOr2~0 (
+// Equation(s):
+// \bcd|A28|WideOr2~0_combout = ( \bcd|A24|WideOr3~0_combout & ( (!\bcd|A25|WideOr0~0_combout & (!\bcd|A24|WideOr1~0_combout & !\bcd|A24|WideOr2~0_combout )) # (\bcd|A25|WideOr0~0_combout & ((!\bcd|A24|WideOr1~0_combout ) # (!\bcd|A24|WideOr2~0_combout
+// ))) ) ) # ( !\bcd|A24|WideOr3~0_combout & ( (!\bcd|A25|WideOr0~0_combout & \bcd|A24|WideOr1~0_combout ) ) )
+
+ .dataa(!\bcd|A25|WideOr0~0_combout ),
+ .datab(!\bcd|A24|WideOr1~0_combout ),
+ .datac(!\bcd|A24|WideOr2~0_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\bcd|A24|WideOr3~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A28|WideOr2~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A28|WideOr2~0 .extended_lut = "off";
+defparam \bcd|A28|WideOr2~0 .lut_mask = 64'h22222222D4D4D4D4;
+defparam \bcd|A28|WideOr2~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X83_Y8_N57
+cyclonev_lcell_comb \bcd|A29|WideOr0~0 (
+// Equation(s):
+// \bcd|A29|WideOr0~0_combout = ( \bcd|A25|WideOr2~0_combout & ( !\bcd|A25|WideOr1~0_combout $ (((!\bcd|A25|WideOr3~0_combout & !\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~5_sumout ))) ) ) # ( !\bcd|A25|WideOr2~0_combout & (
+// \bcd|A25|WideOr1~0_combout ) )
+
+ .dataa(!\bcd|A25|WideOr3~0_combout ),
+ .datab(!\bcd|A25|WideOr1~0_combout ),
+ .datac(!\mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~5_sumout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\bcd|A25|WideOr2~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A29|WideOr0~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A29|WideOr0~0 .extended_lut = "off";
+defparam \bcd|A29|WideOr0~0 .lut_mask = 64'h333333336C6C6C6C;
+defparam \bcd|A29|WideOr0~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X88_Y12_N21
+cyclonev_lcell_comb \bcd|A28|WideOr1~0 (
+// Equation(s):
+// \bcd|A28|WideOr1~0_combout = ( \bcd|A24|WideOr3~0_combout & ( (\bcd|A24|WideOr1~0_combout & !\bcd|A24|WideOr2~0_combout ) ) ) # ( !\bcd|A24|WideOr3~0_combout & ( (!\bcd|A25|WideOr0~0_combout & ((\bcd|A24|WideOr2~0_combout ))) #
+// (\bcd|A25|WideOr0~0_combout & (\bcd|A24|WideOr1~0_combout & !\bcd|A24|WideOr2~0_combout )) ) )
+
+ .dataa(!\bcd|A25|WideOr0~0_combout ),
+ .datab(!\bcd|A24|WideOr1~0_combout ),
+ .datac(gnd),
+ .datad(!\bcd|A24|WideOr2~0_combout ),
+ .datae(gnd),
+ .dataf(!\bcd|A24|WideOr3~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A28|WideOr1~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A28|WideOr1~0 .extended_lut = "off";
+defparam \bcd|A28|WideOr1~0 .lut_mask = 64'h11AA11AA33003300;
+defparam \bcd|A28|WideOr1~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X84_Y12_N48
+cyclonev_lcell_comb \h1|WideOr6~0 (
+// Equation(s):
+// \h1|WideOr6~0_combout = ( \bcd|A28|WideOr1~0_combout & ( (!\bcd|A29|WideOr0~0_combout ) # (!\bcd|A28|WideOr3~0_combout $ (\bcd|A28|WideOr2~0_combout )) ) ) # ( !\bcd|A28|WideOr1~0_combout & ( (!\bcd|A28|WideOr2~0_combout $ (\bcd|A29|WideOr0~0_combout
+// )) # (\bcd|A28|WideOr3~0_combout ) ) )
+
+ .dataa(!\bcd|A28|WideOr3~0_combout ),
+ .datab(!\bcd|A28|WideOr2~0_combout ),
+ .datac(!\bcd|A29|WideOr0~0_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\bcd|A28|WideOr1~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\h1|WideOr6~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \h1|WideOr6~0 .extended_lut = "off";
+defparam \h1|WideOr6~0 .lut_mask = 64'hD7D7D7D7F9F9F9F9;
+defparam \h1|WideOr6~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X84_Y12_N51
+cyclonev_lcell_comb \h1|WideOr5~0 (
+// Equation(s):
+// \h1|WideOr5~0_combout = (!\bcd|A28|WideOr3~0_combout & (\bcd|A28|WideOr2~0_combout & (!\bcd|A28|WideOr1~0_combout $ (!\bcd|A29|WideOr0~0_combout )))) # (\bcd|A28|WideOr3~0_combout & ((!\bcd|A29|WideOr0~0_combout & (\bcd|A28|WideOr2~0_combout )) #
+// (\bcd|A29|WideOr0~0_combout & ((\bcd|A28|WideOr1~0_combout )))))
+
+ .dataa(!\bcd|A28|WideOr3~0_combout ),
+ .datab(!\bcd|A28|WideOr2~0_combout ),
+ .datac(!\bcd|A28|WideOr1~0_combout ),
+ .datad(!\bcd|A29|WideOr0~0_combout ),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\h1|WideOr5~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \h1|WideOr5~0 .extended_lut = "off";
+defparam \h1|WideOr5~0 .lut_mask = 64'h1325132513251325;
+defparam \h1|WideOr5~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X84_Y12_N42
+cyclonev_lcell_comb \h1|WideOr4~0 (
+// Equation(s):
+// \h1|WideOr4~0_combout = ( \bcd|A28|WideOr1~0_combout & ( (\bcd|A28|WideOr2~0_combout & ((!\bcd|A29|WideOr0~0_combout ) # (\bcd|A28|WideOr3~0_combout ))) ) ) # ( !\bcd|A28|WideOr1~0_combout & ( (\bcd|A28|WideOr3~0_combout &
+// (!\bcd|A28|WideOr2~0_combout & !\bcd|A29|WideOr0~0_combout )) ) )
+
+ .dataa(!\bcd|A28|WideOr3~0_combout ),
+ .datab(!\bcd|A28|WideOr2~0_combout ),
+ .datac(!\bcd|A29|WideOr0~0_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\bcd|A28|WideOr1~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\h1|WideOr4~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \h1|WideOr4~0 .extended_lut = "off";
+defparam \h1|WideOr4~0 .lut_mask = 64'h4040404031313131;
+defparam \h1|WideOr4~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X84_Y12_N45
+cyclonev_lcell_comb \h1|WideOr3~0 (
+// Equation(s):
+// \h1|WideOr3~0_combout = (!\bcd|A29|WideOr0~0_combout & ((!\bcd|A28|WideOr3~0_combout & ((!\bcd|A28|WideOr2~0_combout ) # (\bcd|A28|WideOr1~0_combout ))) # (\bcd|A28|WideOr3~0_combout & ((!\bcd|A28|WideOr1~0_combout ) # (\bcd|A28|WideOr2~0_combout
+// ))))) # (\bcd|A29|WideOr0~0_combout & (!\bcd|A28|WideOr3~0_combout $ ((!\bcd|A28|WideOr2~0_combout ))))
+
+ .dataa(!\bcd|A28|WideOr3~0_combout ),
+ .datab(!\bcd|A28|WideOr2~0_combout ),
+ .datac(!\bcd|A28|WideOr1~0_combout ),
+ .datad(!\bcd|A29|WideOr0~0_combout ),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\h1|WideOr3~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \h1|WideOr3~0 .extended_lut = "off";
+defparam \h1|WideOr3~0 .lut_mask = 64'hDB66DB66DB66DB66;
+defparam \h1|WideOr3~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X84_Y12_N36
+cyclonev_lcell_comb \h1|WideOr2~0 (
+// Equation(s):
+// \h1|WideOr2~0_combout = ( \bcd|A28|WideOr1~0_combout & ( ((!\bcd|A29|WideOr0~0_combout ) # (\bcd|A28|WideOr2~0_combout )) # (\bcd|A28|WideOr3~0_combout ) ) ) # ( !\bcd|A28|WideOr1~0_combout & ( (!\bcd|A29|WideOr0~0_combout &
+// ((!\bcd|A28|WideOr2~0_combout ) # (\bcd|A28|WideOr3~0_combout ))) ) )
+
+ .dataa(!\bcd|A28|WideOr3~0_combout ),
+ .datab(!\bcd|A28|WideOr2~0_combout ),
+ .datac(!\bcd|A29|WideOr0~0_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\bcd|A28|WideOr1~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\h1|WideOr2~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \h1|WideOr2~0 .extended_lut = "off";
+defparam \h1|WideOr2~0 .lut_mask = 64'hD0D0D0D0F7F7F7F7;
+defparam \h1|WideOr2~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X84_Y12_N39
+cyclonev_lcell_comb \h1|WideOr1~0 (
+// Equation(s):
+// \h1|WideOr1~0_combout = (!\bcd|A28|WideOr3~0_combout & ((!\bcd|A29|WideOr0~0_combout ) # (!\bcd|A28|WideOr2~0_combout $ (!\bcd|A28|WideOr1~0_combout )))) # (\bcd|A28|WideOr3~0_combout & (((\bcd|A28|WideOr2~0_combout & !\bcd|A29|WideOr0~0_combout )) #
+// (\bcd|A28|WideOr1~0_combout )))
+
+ .dataa(!\bcd|A28|WideOr3~0_combout ),
+ .datab(!\bcd|A28|WideOr2~0_combout ),
+ .datac(!\bcd|A28|WideOr1~0_combout ),
+ .datad(!\bcd|A29|WideOr0~0_combout ),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\h1|WideOr1~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \h1|WideOr1~0 .extended_lut = "off";
+defparam \h1|WideOr1~0 .lut_mask = 64'hBF2DBF2DBF2DBF2D;
+defparam \h1|WideOr1~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X84_Y12_N18
+cyclonev_lcell_comb \h1|WideOr0~0 (
+// Equation(s):
+// \h1|WideOr0~0_combout = ( \bcd|A28|WideOr1~0_combout & ( ((!\bcd|A28|WideOr2~0_combout ) # (\bcd|A28|WideOr3~0_combout )) # (\bcd|A29|WideOr0~0_combout ) ) ) # ( !\bcd|A28|WideOr1~0_combout & ( (!\bcd|A28|WideOr3~0_combout &
+// ((\bcd|A28|WideOr2~0_combout ))) # (\bcd|A28|WideOr3~0_combout & ((!\bcd|A29|WideOr0~0_combout ) # (!\bcd|A28|WideOr2~0_combout ))) ) )
+
+ .dataa(!\bcd|A29|WideOr0~0_combout ),
+ .datab(gnd),
+ .datac(!\bcd|A28|WideOr3~0_combout ),
+ .datad(!\bcd|A28|WideOr2~0_combout ),
+ .datae(gnd),
+ .dataf(!\bcd|A28|WideOr1~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\h1|WideOr0~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \h1|WideOr0~0 .extended_lut = "off";
+defparam \h1|WideOr0~0 .lut_mask = 64'h0FFA0FFAFF5FFF5F;
+defparam \h1|WideOr0~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X80_Y8_N12
+cyclonev_lcell_comb \bcd|A6|WideOr0~0 (
+// Equation(s):
+// \bcd|A6|WideOr0~0_combout = ( \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~25_sumout & ( !\bcd|A4|WideOr2~0_combout $ (!\bcd|A4|WideOr1~0_combout ) ) ) # (
+// !\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~25_sumout & ( !\bcd|A4|WideOr1~0_combout $ (((!\bcd|A4|WideOr2~0_combout ) # (!\bcd|A4|WideOr3~0_combout ))) ) )
+
+ .dataa(!\bcd|A4|WideOr2~0_combout ),
+ .datab(!\bcd|A4|WideOr1~0_combout ),
+ .datac(!\bcd|A4|WideOr3~0_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~25_sumout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A6|WideOr0~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A6|WideOr0~0 .extended_lut = "off";
+defparam \bcd|A6|WideOr0~0 .lut_mask = 64'h3636363666666666;
+defparam \bcd|A6|WideOr0~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X75_Y8_N3
+cyclonev_lcell_comb \bcd|A1|WideOr0~0 (
+// Equation(s):
+// \bcd|A1|WideOr0~0_combout = ( \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~41_sumout & ( \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~45_sumout ) ) # (
+// !\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~41_sumout & ( \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~45_sumout & (
+// \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~37_sumout ) ) )
+
+ .dataa(!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~37_sumout ),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~41_sumout ),
+ .dataf(!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~45_sumout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A1|WideOr0~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A1|WideOr0~0 .extended_lut = "off";
+defparam \bcd|A1|WideOr0~0 .lut_mask = 64'h000000005555FFFF;
+defparam \bcd|A1|WideOr0~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X80_Y8_N48
+cyclonev_lcell_comb \bcd|A2|WideOr0~0 (
+// Equation(s):
+// \bcd|A2|WideOr0~0_combout = ( \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~41_sumout & ( (!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~37_sumout &
+// (!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~45_sumout & \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~33_sumout )) #
+// (\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~37_sumout & ((!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~45_sumout ) #
+// (\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~33_sumout ))) ) ) # ( !\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~41_sumout & (
+// (!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~37_sumout & \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~45_sumout ) ) )
+
+ .dataa(gnd),
+ .datab(!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~37_sumout ),
+ .datac(!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~45_sumout ),
+ .datad(!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~33_sumout ),
+ .datae(gnd),
+ .dataf(!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~41_sumout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A2|WideOr0~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A2|WideOr0~0 .extended_lut = "off";
+defparam \bcd|A2|WideOr0~0 .lut_mask = 64'h0C0C0C0C30F330F3;
+defparam \bcd|A2|WideOr0~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X80_Y8_N0
+cyclonev_lcell_comb \bcd|A4|WideOr0~0 (
+// Equation(s):
+// \bcd|A4|WideOr0~0_combout = ( \bcd|A2|WideOr2~0_combout & ( !\bcd|A2|WideOr1~0_combout $ (((!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~29_sumout & !\bcd|A2|WideOr3~0_combout ))) ) ) # (
+// !\bcd|A2|WideOr2~0_combout & ( \bcd|A2|WideOr1~0_combout ) )
+
+ .dataa(!\bcd|A2|WideOr1~0_combout ),
+ .datab(!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~29_sumout ),
+ .datac(!\bcd|A2|WideOr3~0_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\bcd|A2|WideOr2~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A4|WideOr0~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A4|WideOr0~0 .extended_lut = "off";
+defparam \bcd|A4|WideOr0~0 .lut_mask = 64'h555555556A6A6A6A;
+defparam \bcd|A4|WideOr0~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X83_Y10_N6
+cyclonev_lcell_comb \bcd|A15|WideOr1~0 (
+// Equation(s):
+// \bcd|A15|WideOr1~0_combout = ( \bcd|A2|WideOr0~0_combout & ( \bcd|A4|WideOr0~0_combout & ( (!\bcd|A6|WideOr0~0_combout & (!\bcd|A11|WideOr0~0_combout & (!\bcd|A8|WideOr0~0_combout & !\bcd|A1|WideOr0~0_combout ))) ) ) ) # ( !\bcd|A2|WideOr0~0_combout
+// & ( \bcd|A4|WideOr0~0_combout & ( (\bcd|A6|WideOr0~0_combout & (\bcd|A1|WideOr0~0_combout & ((\bcd|A8|WideOr0~0_combout ) # (\bcd|A11|WideOr0~0_combout )))) ) ) ) # ( \bcd|A2|WideOr0~0_combout & ( !\bcd|A4|WideOr0~0_combout & (
+// (!\bcd|A6|WideOr0~0_combout & (!\bcd|A8|WideOr0~0_combout & \bcd|A1|WideOr0~0_combout )) # (\bcd|A6|WideOr0~0_combout & ((!\bcd|A1|WideOr0~0_combout ))) ) ) )
+
+ .dataa(!\bcd|A6|WideOr0~0_combout ),
+ .datab(!\bcd|A11|WideOr0~0_combout ),
+ .datac(!\bcd|A8|WideOr0~0_combout ),
+ .datad(!\bcd|A1|WideOr0~0_combout ),
+ .datae(!\bcd|A2|WideOr0~0_combout ),
+ .dataf(!\bcd|A4|WideOr0~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A15|WideOr1~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A15|WideOr1~0 .extended_lut = "off";
+defparam \bcd|A15|WideOr1~0 .lut_mask = 64'h000055A000158000;
+defparam \bcd|A15|WideOr1~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X84_Y12_N3
+cyclonev_lcell_comb \bcd|A16|WideOr0~0 (
+// Equation(s):
+// \bcd|A16|WideOr0~0_combout = ( \bcd|A13|WideOr1~0_combout & ( (!\bcd|A13|WideOr2~0_combout ) # ((!\bcd|A14|WideOr0~0_combout & !\bcd|A13|WideOr3~0_combout )) ) ) # ( !\bcd|A13|WideOr1~0_combout & ( (\bcd|A13|WideOr2~0_combout &
+// ((\bcd|A13|WideOr3~0_combout ) # (\bcd|A14|WideOr0~0_combout ))) ) )
+
+ .dataa(!\bcd|A14|WideOr0~0_combout ),
+ .datab(!\bcd|A13|WideOr3~0_combout ),
+ .datac(!\bcd|A13|WideOr2~0_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\bcd|A13|WideOr1~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A16|WideOr0~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A16|WideOr0~0 .extended_lut = "off";
+defparam \bcd|A16|WideOr0~0 .lut_mask = 64'h07070707F8F8F8F8;
+defparam \bcd|A16|WideOr0~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X83_Y10_N54
+cyclonev_lcell_comb \bcd|A15|WideOr3~0 (
+// Equation(s):
+// \bcd|A15|WideOr3~0_combout = ( \bcd|A2|WideOr0~0_combout & ( \bcd|A4|WideOr0~0_combout & ( (!\bcd|A6|WideOr0~0_combout & ((\bcd|A1|WideOr0~0_combout ))) # (\bcd|A6|WideOr0~0_combout & (\bcd|A8|WideOr0~0_combout & !\bcd|A1|WideOr0~0_combout )) ) ) )
+// # ( !\bcd|A2|WideOr0~0_combout & ( \bcd|A4|WideOr0~0_combout & ( (!\bcd|A6|WideOr0~0_combout & (((!\bcd|A8|WideOr0~0_combout ) # (\bcd|A1|WideOr0~0_combout )))) # (\bcd|A6|WideOr0~0_combout & ((!\bcd|A11|WideOr0~0_combout &
+// (!\bcd|A8|WideOr0~0_combout & \bcd|A1|WideOr0~0_combout )) # (\bcd|A11|WideOr0~0_combout & (\bcd|A8|WideOr0~0_combout & !\bcd|A1|WideOr0~0_combout )))) ) ) ) # ( \bcd|A2|WideOr0~0_combout & ( !\bcd|A4|WideOr0~0_combout & ( (!\bcd|A6|WideOr0~0_combout
+// & (((!\bcd|A1|WideOr0~0_combout )))) # (\bcd|A6|WideOr0~0_combout & (\bcd|A11|WideOr0~0_combout & (\bcd|A8|WideOr0~0_combout & \bcd|A1|WideOr0~0_combout ))) ) ) ) # ( !\bcd|A2|WideOr0~0_combout & ( !\bcd|A4|WideOr0~0_combout & (
+// (!\bcd|A6|WideOr0~0_combout & (\bcd|A1|WideOr0~0_combout & ((!\bcd|A11|WideOr0~0_combout ) # (!\bcd|A8|WideOr0~0_combout )))) # (\bcd|A6|WideOr0~0_combout & (!\bcd|A1|WideOr0~0_combout & ((\bcd|A8|WideOr0~0_combout ) # (\bcd|A11|WideOr0~0_combout ))))
+// ) ) )
+
+ .dataa(!\bcd|A6|WideOr0~0_combout ),
+ .datab(!\bcd|A11|WideOr0~0_combout ),
+ .datac(!\bcd|A8|WideOr0~0_combout ),
+ .datad(!\bcd|A1|WideOr0~0_combout ),
+ .datae(!\bcd|A2|WideOr0~0_combout ),
+ .dataf(!\bcd|A4|WideOr0~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A15|WideOr3~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A15|WideOr3~0 .extended_lut = "off";
+defparam \bcd|A15|WideOr3~0 .lut_mask = 64'h15A8AA01A1EA05AA;
+defparam \bcd|A15|WideOr3~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X83_Y10_N24
+cyclonev_lcell_comb \bcd|A15|WideOr2~0 (
+// Equation(s):
+// \bcd|A15|WideOr2~0_combout = ( \bcd|A2|WideOr0~0_combout & ( \bcd|A4|WideOr0~0_combout & ( (\bcd|A6|WideOr0~0_combout & \bcd|A1|WideOr0~0_combout ) ) ) ) # ( !\bcd|A2|WideOr0~0_combout & ( \bcd|A4|WideOr0~0_combout & ( (!\bcd|A6|WideOr0~0_combout &
+// (((\bcd|A1|WideOr0~0_combout ) # (\bcd|A8|WideOr0~0_combout )))) # (\bcd|A6|WideOr0~0_combout & ((!\bcd|A1|WideOr0~0_combout ) # ((!\bcd|A11|WideOr0~0_combout & !\bcd|A8|WideOr0~0_combout )))) ) ) ) # ( \bcd|A2|WideOr0~0_combout & (
+// !\bcd|A4|WideOr0~0_combout & ( (!\bcd|A6|WideOr0~0_combout & !\bcd|A1|WideOr0~0_combout ) ) ) ) # ( !\bcd|A2|WideOr0~0_combout & ( !\bcd|A4|WideOr0~0_combout & ( (\bcd|A1|WideOr0~0_combout & (((\bcd|A11|WideOr0~0_combout & \bcd|A8|WideOr0~0_combout
+// )) # (\bcd|A6|WideOr0~0_combout ))) ) ) )
+
+ .dataa(!\bcd|A6|WideOr0~0_combout ),
+ .datab(!\bcd|A11|WideOr0~0_combout ),
+ .datac(!\bcd|A8|WideOr0~0_combout ),
+ .datad(!\bcd|A1|WideOr0~0_combout ),
+ .datae(!\bcd|A2|WideOr0~0_combout ),
+ .dataf(!\bcd|A4|WideOr0~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A15|WideOr2~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A15|WideOr2~0 .extended_lut = "off";
+defparam \bcd|A15|WideOr2~0 .lut_mask = 64'h0057AA005FEA0055;
+defparam \bcd|A15|WideOr2~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X83_Y10_N48
+cyclonev_lcell_comb \bcd|A19|WideOr1~0 (
+// Equation(s):
+// \bcd|A19|WideOr1~0_combout = ( \bcd|A15|WideOr2~0_combout & ( (!\bcd|A16|WideOr0~0_combout & !\bcd|A15|WideOr3~0_combout ) ) ) # ( !\bcd|A15|WideOr2~0_combout & ( (\bcd|A15|WideOr1~0_combout & ((\bcd|A15|WideOr3~0_combout ) #
+// (\bcd|A16|WideOr0~0_combout ))) ) )
+
+ .dataa(gnd),
+ .datab(!\bcd|A15|WideOr1~0_combout ),
+ .datac(!\bcd|A16|WideOr0~0_combout ),
+ .datad(!\bcd|A15|WideOr3~0_combout ),
+ .datae(gnd),
+ .dataf(!\bcd|A15|WideOr2~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A19|WideOr1~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A19|WideOr1~0 .extended_lut = "off";
+defparam \bcd|A19|WideOr1~0 .lut_mask = 64'h03330333F000F000;
+defparam \bcd|A19|WideOr1~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X84_Y12_N21
+cyclonev_lcell_comb \bcd|A20|WideOr0~0 (
+// Equation(s):
+// \bcd|A20|WideOr0~0_combout = ( \bcd|A16|WideOr3~0_combout & ( !\bcd|A16|WideOr1~0_combout $ (!\bcd|A16|WideOr2~0_combout ) ) ) # ( !\bcd|A16|WideOr3~0_combout & ( !\bcd|A16|WideOr1~0_combout $ (((!\bcd|A16|WideOr2~0_combout ) #
+// (!\bcd|A17|WideOr0~0_combout ))) ) )
+
+ .dataa(gnd),
+ .datab(!\bcd|A16|WideOr1~0_combout ),
+ .datac(!\bcd|A16|WideOr2~0_combout ),
+ .datad(!\bcd|A17|WideOr0~0_combout ),
+ .datae(gnd),
+ .dataf(!\bcd|A16|WideOr3~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A20|WideOr0~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A20|WideOr0~0 .extended_lut = "off";
+defparam \bcd|A20|WideOr0~0 .lut_mask = 64'h333C333C3C3C3C3C;
+defparam \bcd|A20|WideOr0~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X83_Y10_N15
+cyclonev_lcell_comb \bcd|A19|WideOr2~0 (
+// Equation(s):
+// \bcd|A19|WideOr2~0_combout = ( \bcd|A15|WideOr2~0_combout & ( (!\bcd|A16|WideOr0~0_combout & (\bcd|A15|WideOr1~0_combout & !\bcd|A15|WideOr3~0_combout )) # (\bcd|A16|WideOr0~0_combout & (!\bcd|A15|WideOr1~0_combout & \bcd|A15|WideOr3~0_combout )) )
+// ) # ( !\bcd|A15|WideOr2~0_combout & ( !\bcd|A15|WideOr3~0_combout $ (((!\bcd|A15|WideOr1~0_combout ) # (\bcd|A16|WideOr0~0_combout ))) ) )
+
+ .dataa(!\bcd|A16|WideOr0~0_combout ),
+ .datab(!\bcd|A15|WideOr1~0_combout ),
+ .datac(gnd),
+ .datad(!\bcd|A15|WideOr3~0_combout ),
+ .datae(gnd),
+ .dataf(!\bcd|A15|WideOr2~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A19|WideOr2~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A19|WideOr2~0 .extended_lut = "off";
+defparam \bcd|A19|WideOr2~0 .lut_mask = 64'h22DD22DD22442244;
+defparam \bcd|A19|WideOr2~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X83_Y10_N12
+cyclonev_lcell_comb \bcd|A19|WideOr3~0 (
+// Equation(s):
+// \bcd|A19|WideOr3~0_combout = ( \bcd|A15|WideOr2~0_combout & ( (!\bcd|A16|WideOr0~0_combout & (!\bcd|A15|WideOr1~0_combout $ (!\bcd|A15|WideOr3~0_combout ))) ) ) # ( !\bcd|A15|WideOr2~0_combout & ( !\bcd|A15|WideOr1~0_combout $
+// (!\bcd|A16|WideOr0~0_combout ) ) )
+
+ .dataa(gnd),
+ .datab(!\bcd|A15|WideOr1~0_combout ),
+ .datac(!\bcd|A16|WideOr0~0_combout ),
+ .datad(!\bcd|A15|WideOr3~0_combout ),
+ .datae(gnd),
+ .dataf(!\bcd|A15|WideOr2~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A19|WideOr3~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A19|WideOr3~0 .extended_lut = "off";
+defparam \bcd|A19|WideOr3~0 .lut_mask = 64'h3C3C3C3C30C030C0;
+defparam \bcd|A19|WideOr3~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X87_Y12_N30
+cyclonev_lcell_comb \bcd|A23|WideOr2~0 (
+// Equation(s):
+// \bcd|A23|WideOr2~0_combout = ( \bcd|A19|WideOr3~0_combout & ( (!\bcd|A19|WideOr1~0_combout & ((!\bcd|A19|WideOr2~0_combout ) # (\bcd|A20|WideOr0~0_combout ))) # (\bcd|A19|WideOr1~0_combout & (\bcd|A20|WideOr0~0_combout & !\bcd|A19|WideOr2~0_combout
+// )) ) ) # ( !\bcd|A19|WideOr3~0_combout & ( (\bcd|A19|WideOr1~0_combout & !\bcd|A20|WideOr0~0_combout ) ) )
+
+ .dataa(!\bcd|A19|WideOr1~0_combout ),
+ .datab(!\bcd|A20|WideOr0~0_combout ),
+ .datac(!\bcd|A19|WideOr2~0_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\bcd|A19|WideOr3~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A23|WideOr2~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A23|WideOr2~0 .extended_lut = "off";
+defparam \bcd|A23|WideOr2~0 .lut_mask = 64'h44444444B2B2B2B2;
+defparam \bcd|A23|WideOr2~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X87_Y12_N3
+cyclonev_lcell_comb \bcd|A23|WideOr3~0 (
+// Equation(s):
+// \bcd|A23|WideOr3~0_combout = ( \bcd|A19|WideOr3~0_combout & ( (!\bcd|A19|WideOr1~0_combout & (!\bcd|A20|WideOr0~0_combout $ (!\bcd|A19|WideOr2~0_combout ))) # (\bcd|A19|WideOr1~0_combout & (!\bcd|A20|WideOr0~0_combout & !\bcd|A19|WideOr2~0_combout
+// )) ) ) # ( !\bcd|A19|WideOr3~0_combout & ( (!\bcd|A19|WideOr1~0_combout & (\bcd|A20|WideOr0~0_combout & !\bcd|A19|WideOr2~0_combout )) # (\bcd|A19|WideOr1~0_combout & (!\bcd|A20|WideOr0~0_combout )) ) )
+
+ .dataa(!\bcd|A19|WideOr1~0_combout ),
+ .datab(!\bcd|A20|WideOr0~0_combout ),
+ .datac(gnd),
+ .datad(!\bcd|A19|WideOr2~0_combout ),
+ .datae(gnd),
+ .dataf(!\bcd|A19|WideOr3~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A23|WideOr3~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A23|WideOr3~0 .extended_lut = "off";
+defparam \bcd|A23|WideOr3~0 .lut_mask = 64'h6644664466886688;
+defparam \bcd|A23|WideOr3~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X87_Y12_N33
+cyclonev_lcell_comb \bcd|A23|WideOr1~0 (
+// Equation(s):
+// \bcd|A23|WideOr1~0_combout = ( \bcd|A19|WideOr3~0_combout & ( (\bcd|A19|WideOr1~0_combout & !\bcd|A19|WideOr2~0_combout ) ) ) # ( !\bcd|A19|WideOr3~0_combout & ( (!\bcd|A20|WideOr0~0_combout & ((\bcd|A19|WideOr2~0_combout ))) #
+// (\bcd|A20|WideOr0~0_combout & (\bcd|A19|WideOr1~0_combout & !\bcd|A19|WideOr2~0_combout )) ) )
+
+ .dataa(!\bcd|A19|WideOr1~0_combout ),
+ .datab(!\bcd|A20|WideOr0~0_combout ),
+ .datac(gnd),
+ .datad(!\bcd|A19|WideOr2~0_combout ),
+ .datae(gnd),
+ .dataf(!\bcd|A19|WideOr3~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A23|WideOr1~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A23|WideOr1~0 .extended_lut = "off";
+defparam \bcd|A23|WideOr1~0 .lut_mask = 64'h11CC11CC55005500;
+defparam \bcd|A23|WideOr1~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X83_Y8_N45
+cyclonev_lcell_comb \bcd|A24|WideOr0~0 (
+// Equation(s):
+// \bcd|A24|WideOr0~0_combout = ( \bcd|A20|WideOr1~0_combout & ( (!\bcd|A20|WideOr2~0_combout ) # ((!\bcd|A20|WideOr3~0_combout & !\bcd|A21|WideOr0~0_combout )) ) ) # ( !\bcd|A20|WideOr1~0_combout & ( (\bcd|A20|WideOr2~0_combout &
+// ((\bcd|A21|WideOr0~0_combout ) # (\bcd|A20|WideOr3~0_combout ))) ) )
+
+ .dataa(!\bcd|A20|WideOr2~0_combout ),
+ .datab(!\bcd|A20|WideOr3~0_combout ),
+ .datac(gnd),
+ .datad(!\bcd|A21|WideOr0~0_combout ),
+ .datae(gnd),
+ .dataf(!\bcd|A20|WideOr1~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A24|WideOr0~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A24|WideOr0~0 .extended_lut = "off";
+defparam \bcd|A24|WideOr0~0 .lut_mask = 64'h11551155EEAAEEAA;
+defparam \bcd|A24|WideOr0~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X88_Y12_N0
+cyclonev_lcell_comb \bcd|A27|WideOr3~0 (
+// Equation(s):
+// \bcd|A27|WideOr3~0_combout = ( \bcd|A24|WideOr0~0_combout & ( (!\bcd|A23|WideOr2~0_combout & !\bcd|A23|WideOr1~0_combout ) ) ) # ( !\bcd|A24|WideOr0~0_combout & ( !\bcd|A23|WideOr1~0_combout $ (((!\bcd|A23|WideOr2~0_combout ) #
+// (!\bcd|A23|WideOr3~0_combout ))) ) )
+
+ .dataa(!\bcd|A23|WideOr2~0_combout ),
+ .datab(!\bcd|A23|WideOr3~0_combout ),
+ .datac(gnd),
+ .datad(!\bcd|A23|WideOr1~0_combout ),
+ .datae(gnd),
+ .dataf(!\bcd|A24|WideOr0~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A27|WideOr3~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A27|WideOr3~0 .extended_lut = "off";
+defparam \bcd|A27|WideOr3~0 .lut_mask = 64'h11EE11EEAA00AA00;
+defparam \bcd|A27|WideOr3~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X88_Y12_N48
+cyclonev_lcell_comb \bcd|A28|WideOr0~0 (
+// Equation(s):
+// \bcd|A28|WideOr0~0_combout = ( \bcd|A24|WideOr3~0_combout & ( !\bcd|A24|WideOr1~0_combout $ (!\bcd|A24|WideOr2~0_combout ) ) ) # ( !\bcd|A24|WideOr3~0_combout & ( !\bcd|A24|WideOr1~0_combout $ (((!\bcd|A25|WideOr0~0_combout ) #
+// (!\bcd|A24|WideOr2~0_combout ))) ) )
+
+ .dataa(!\bcd|A25|WideOr0~0_combout ),
+ .datab(!\bcd|A24|WideOr1~0_combout ),
+ .datac(!\bcd|A24|WideOr2~0_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\bcd|A24|WideOr3~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A28|WideOr0~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A28|WideOr0~0 .extended_lut = "off";
+defparam \bcd|A28|WideOr0~0 .lut_mask = 64'h363636363C3C3C3C;
+defparam \bcd|A28|WideOr0~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X88_Y12_N3
+cyclonev_lcell_comb \bcd|A27|WideOr2~0 (
+// Equation(s):
+// \bcd|A27|WideOr2~0_combout = ( \bcd|A24|WideOr0~0_combout & ( (\bcd|A23|WideOr3~0_combout & ((!\bcd|A23|WideOr2~0_combout ) # (!\bcd|A23|WideOr1~0_combout ))) ) ) # ( !\bcd|A24|WideOr0~0_combout & ( (!\bcd|A23|WideOr3~0_combout &
+// ((\bcd|A23|WideOr1~0_combout ))) # (\bcd|A23|WideOr3~0_combout & (!\bcd|A23|WideOr2~0_combout & !\bcd|A23|WideOr1~0_combout )) ) )
+
+ .dataa(!\bcd|A23|WideOr2~0_combout ),
+ .datab(!\bcd|A23|WideOr3~0_combout ),
+ .datac(!\bcd|A23|WideOr1~0_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\bcd|A24|WideOr0~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A27|WideOr2~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A27|WideOr2~0 .extended_lut = "off";
+defparam \bcd|A27|WideOr2~0 .lut_mask = 64'h2C2C2C2C32323232;
+defparam \bcd|A27|WideOr2~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X88_Y12_N30
+cyclonev_lcell_comb \bcd|A27|WideOr1~0 (
+// Equation(s):
+// \bcd|A27|WideOr1~0_combout = ( \bcd|A24|WideOr0~0_combout & ( (!\bcd|A23|WideOr2~0_combout & \bcd|A23|WideOr1~0_combout ) ) ) # ( !\bcd|A24|WideOr0~0_combout & ( (!\bcd|A23|WideOr3~0_combout & (\bcd|A23|WideOr2~0_combout )) #
+// (\bcd|A23|WideOr3~0_combout & (!\bcd|A23|WideOr2~0_combout & \bcd|A23|WideOr1~0_combout )) ) )
+
+ .dataa(gnd),
+ .datab(!\bcd|A23|WideOr3~0_combout ),
+ .datac(!\bcd|A23|WideOr2~0_combout ),
+ .datad(!\bcd|A23|WideOr1~0_combout ),
+ .datae(gnd),
+ .dataf(!\bcd|A24|WideOr0~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A27|WideOr1~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A27|WideOr1~0 .extended_lut = "off";
+defparam \bcd|A27|WideOr1~0 .lut_mask = 64'h0C3C0C3C00F000F0;
+defparam \bcd|A27|WideOr1~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X88_Y12_N33
+cyclonev_lcell_comb \h2|WideOr6~0 (
+// Equation(s):
+// \h2|WideOr6~0_combout = ( \bcd|A27|WideOr1~0_combout & ( (!\bcd|A28|WideOr0~0_combout ) # (!\bcd|A27|WideOr3~0_combout $ (\bcd|A27|WideOr2~0_combout )) ) ) # ( !\bcd|A27|WideOr1~0_combout & ( (!\bcd|A28|WideOr0~0_combout $ (\bcd|A27|WideOr2~0_combout
+// )) # (\bcd|A27|WideOr3~0_combout ) ) )
+
+ .dataa(!\bcd|A27|WideOr3~0_combout ),
+ .datab(gnd),
+ .datac(!\bcd|A28|WideOr0~0_combout ),
+ .datad(!\bcd|A27|WideOr2~0_combout ),
+ .datae(gnd),
+ .dataf(!\bcd|A27|WideOr1~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\h2|WideOr6~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \h2|WideOr6~0 .extended_lut = "off";
+defparam \h2|WideOr6~0 .lut_mask = 64'hF55FF55FFAF5FAF5;
+defparam \h2|WideOr6~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X88_Y12_N12
+cyclonev_lcell_comb \h2|WideOr5~0 (
+// Equation(s):
+// \h2|WideOr5~0_combout = ( \bcd|A27|WideOr1~0_combout & ( (!\bcd|A28|WideOr0~0_combout & (\bcd|A27|WideOr2~0_combout )) # (\bcd|A28|WideOr0~0_combout & ((\bcd|A27|WideOr3~0_combout ))) ) ) # ( !\bcd|A27|WideOr1~0_combout & (
+// (\bcd|A27|WideOr2~0_combout & (!\bcd|A28|WideOr0~0_combout $ (!\bcd|A27|WideOr3~0_combout ))) ) )
+
+ .dataa(!\bcd|A27|WideOr2~0_combout ),
+ .datab(!\bcd|A28|WideOr0~0_combout ),
+ .datac(!\bcd|A27|WideOr3~0_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\bcd|A27|WideOr1~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\h2|WideOr5~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \h2|WideOr5~0 .extended_lut = "off";
+defparam \h2|WideOr5~0 .lut_mask = 64'h1414141447474747;
+defparam \h2|WideOr5~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X88_Y12_N15
+cyclonev_lcell_comb \h2|WideOr4~0 (
+// Equation(s):
+// \h2|WideOr4~0_combout = ( \bcd|A27|WideOr1~0_combout & ( (\bcd|A27|WideOr2~0_combout & ((!\bcd|A28|WideOr0~0_combout ) # (\bcd|A27|WideOr3~0_combout ))) ) ) # ( !\bcd|A27|WideOr1~0_combout & ( (!\bcd|A27|WideOr2~0_combout &
+// (!\bcd|A28|WideOr0~0_combout & \bcd|A27|WideOr3~0_combout )) ) )
+
+ .dataa(!\bcd|A27|WideOr2~0_combout ),
+ .datab(!\bcd|A28|WideOr0~0_combout ),
+ .datac(gnd),
+ .datad(!\bcd|A27|WideOr3~0_combout ),
+ .datae(gnd),
+ .dataf(!\bcd|A27|WideOr1~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\h2|WideOr4~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \h2|WideOr4~0 .extended_lut = "off";
+defparam \h2|WideOr4~0 .lut_mask = 64'h0088008844554455;
+defparam \h2|WideOr4~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X88_Y12_N42
+cyclonev_lcell_comb \h2|WideOr3~0 (
+// Equation(s):
+// \h2|WideOr3~0_combout = ( \bcd|A27|WideOr1~0_combout & ( (!\bcd|A28|WideOr0~0_combout & ((!\bcd|A27|WideOr3~0_combout ) # (\bcd|A27|WideOr2~0_combout ))) # (\bcd|A28|WideOr0~0_combout & (!\bcd|A27|WideOr2~0_combout $ (!\bcd|A27|WideOr3~0_combout )))
+// ) ) # ( !\bcd|A27|WideOr1~0_combout & ( (!\bcd|A28|WideOr0~0_combout & ((!\bcd|A27|WideOr2~0_combout ) # (\bcd|A27|WideOr3~0_combout ))) # (\bcd|A28|WideOr0~0_combout & (!\bcd|A27|WideOr2~0_combout $ (!\bcd|A27|WideOr3~0_combout ))) ) )
+
+ .dataa(gnd),
+ .datab(!\bcd|A28|WideOr0~0_combout ),
+ .datac(!\bcd|A27|WideOr2~0_combout ),
+ .datad(!\bcd|A27|WideOr3~0_combout ),
+ .datae(gnd),
+ .dataf(!\bcd|A27|WideOr1~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\h2|WideOr3~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \h2|WideOr3~0 .extended_lut = "off";
+defparam \h2|WideOr3~0 .lut_mask = 64'hC3FCC3FCCF3CCF3C;
+defparam \h2|WideOr3~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X88_Y12_N45
+cyclonev_lcell_comb \h2|WideOr2~0 (
+// Equation(s):
+// \h2|WideOr2~0_combout = ( \bcd|A27|WideOr1~0_combout & ( ((!\bcd|A28|WideOr0~0_combout ) # (\bcd|A27|WideOr3~0_combout )) # (\bcd|A27|WideOr2~0_combout ) ) ) # ( !\bcd|A27|WideOr1~0_combout & ( (!\bcd|A28|WideOr0~0_combout &
+// ((!\bcd|A27|WideOr2~0_combout ) # (\bcd|A27|WideOr3~0_combout ))) ) )
+
+ .dataa(!\bcd|A27|WideOr2~0_combout ),
+ .datab(!\bcd|A28|WideOr0~0_combout ),
+ .datac(gnd),
+ .datad(!\bcd|A27|WideOr3~0_combout ),
+ .datae(gnd),
+ .dataf(!\bcd|A27|WideOr1~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\h2|WideOr2~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \h2|WideOr2~0 .extended_lut = "off";
+defparam \h2|WideOr2~0 .lut_mask = 64'h88CC88CCDDFFDDFF;
+defparam \h2|WideOr2~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X84_Y12_N30
+cyclonev_lcell_comb \h2|WideOr1~0 (
+// Equation(s):
+// \h2|WideOr1~0_combout = ( \bcd|A27|WideOr3~0_combout & ( ((!\bcd|A28|WideOr0~0_combout & \bcd|A27|WideOr2~0_combout )) # (\bcd|A27|WideOr1~0_combout ) ) ) # ( !\bcd|A27|WideOr3~0_combout & ( (!\bcd|A28|WideOr0~0_combout ) #
+// (!\bcd|A27|WideOr2~0_combout $ (!\bcd|A27|WideOr1~0_combout )) ) )
+
+ .dataa(gnd),
+ .datab(!\bcd|A28|WideOr0~0_combout ),
+ .datac(!\bcd|A27|WideOr2~0_combout ),
+ .datad(!\bcd|A27|WideOr1~0_combout ),
+ .datae(gnd),
+ .dataf(!\bcd|A27|WideOr3~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\h2|WideOr1~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \h2|WideOr1~0 .extended_lut = "off";
+defparam \h2|WideOr1~0 .lut_mask = 64'hCFFCCFFC0CFF0CFF;
+defparam \h2|WideOr1~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X84_Y12_N33
+cyclonev_lcell_comb \h2|WideOr0~0 (
+// Equation(s):
+// \h2|WideOr0~0_combout = ( \bcd|A27|WideOr3~0_combout & ( (!\bcd|A27|WideOr2~0_combout ) # ((!\bcd|A28|WideOr0~0_combout ) # (\bcd|A27|WideOr1~0_combout )) ) ) # ( !\bcd|A27|WideOr3~0_combout & ( (!\bcd|A27|WideOr2~0_combout &
+// ((\bcd|A27|WideOr1~0_combout ))) # (\bcd|A27|WideOr2~0_combout & ((!\bcd|A27|WideOr1~0_combout ) # (\bcd|A28|WideOr0~0_combout ))) ) )
+
+ .dataa(!\bcd|A27|WideOr2~0_combout ),
+ .datab(!\bcd|A28|WideOr0~0_combout ),
+ .datac(!\bcd|A27|WideOr1~0_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\bcd|A27|WideOr3~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\h2|WideOr0~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \h2|WideOr0~0 .extended_lut = "off";
+defparam \h2|WideOr0~0 .lut_mask = 64'h5B5B5B5BEFEFEFEF;
+defparam \h2|WideOr0~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X88_Y12_N39
+cyclonev_lcell_comb \bcd|A27|WideOr0~0 (
+// Equation(s):
+// \bcd|A27|WideOr0~0_combout = ( \bcd|A24|WideOr0~0_combout & ( !\bcd|A23|WideOr2~0_combout $ (!\bcd|A23|WideOr1~0_combout ) ) ) # ( !\bcd|A24|WideOr0~0_combout & ( !\bcd|A23|WideOr1~0_combout $ (((!\bcd|A23|WideOr2~0_combout ) #
+// (!\bcd|A23|WideOr3~0_combout ))) ) )
+
+ .dataa(!\bcd|A23|WideOr2~0_combout ),
+ .datab(gnd),
+ .datac(!\bcd|A23|WideOr3~0_combout ),
+ .datad(!\bcd|A23|WideOr1~0_combout ),
+ .datae(gnd),
+ .dataf(!\bcd|A24|WideOr0~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A27|WideOr0~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A27|WideOr0~0 .extended_lut = "off";
+defparam \bcd|A27|WideOr0~0 .lut_mask = 64'h05FA05FA55AA55AA;
+defparam \bcd|A27|WideOr0~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X87_Y12_N0
+cyclonev_lcell_comb \bcd|A23|WideOr0~0 (
+// Equation(s):
+// \bcd|A23|WideOr0~0_combout = ( \bcd|A19|WideOr3~0_combout & ( !\bcd|A19|WideOr1~0_combout $ (!\bcd|A19|WideOr2~0_combout ) ) ) # ( !\bcd|A19|WideOr3~0_combout & ( !\bcd|A19|WideOr1~0_combout $ (((!\bcd|A20|WideOr0~0_combout ) #
+// (!\bcd|A19|WideOr2~0_combout ))) ) )
+
+ .dataa(!\bcd|A19|WideOr1~0_combout ),
+ .datab(!\bcd|A20|WideOr0~0_combout ),
+ .datac(!\bcd|A19|WideOr2~0_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\bcd|A19|WideOr3~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A23|WideOr0~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A23|WideOr0~0 .extended_lut = "off";
+defparam \bcd|A23|WideOr0~0 .lut_mask = 64'h565656565A5A5A5A;
+defparam \bcd|A23|WideOr0~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X83_Y10_N45
+cyclonev_lcell_comb \bcd|A19|WideOr0~0 (
+// Equation(s):
+// \bcd|A19|WideOr0~0_combout = ( \bcd|A15|WideOr2~0_combout & ( !\bcd|A15|WideOr1~0_combout $ (((!\bcd|A16|WideOr0~0_combout & !\bcd|A15|WideOr3~0_combout ))) ) ) # ( !\bcd|A15|WideOr2~0_combout & ( \bcd|A15|WideOr1~0_combout ) )
+
+ .dataa(!\bcd|A16|WideOr0~0_combout ),
+ .datab(gnd),
+ .datac(!\bcd|A15|WideOr1~0_combout ),
+ .datad(!\bcd|A15|WideOr3~0_combout ),
+ .datae(gnd),
+ .dataf(!\bcd|A15|WideOr2~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A19|WideOr0~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A19|WideOr0~0 .extended_lut = "off";
+defparam \bcd|A19|WideOr0~0 .lut_mask = 64'h0F0F0F0F5AF05AF0;
+defparam \bcd|A19|WideOr0~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X83_Y10_N0
+cyclonev_lcell_comb \bcd|A15|WideOr0~0 (
+// Equation(s):
+// \bcd|A15|WideOr0~0_combout = ( \bcd|A2|WideOr0~0_combout & ( \bcd|A4|WideOr0~0_combout & ( (!\bcd|A1|WideOr0~0_combout & (((\bcd|A8|WideOr0~0_combout ) # (\bcd|A11|WideOr0~0_combout )) # (\bcd|A6|WideOr0~0_combout ))) ) ) ) # (
+// !\bcd|A2|WideOr0~0_combout & ( \bcd|A4|WideOr0~0_combout & ( \bcd|A1|WideOr0~0_combout ) ) ) # ( \bcd|A2|WideOr0~0_combout & ( !\bcd|A4|WideOr0~0_combout & ( (!\bcd|A6|WideOr0~0_combout & (!\bcd|A8|WideOr0~0_combout & \bcd|A1|WideOr0~0_combout )) )
+// ) ) # ( !\bcd|A2|WideOr0~0_combout & ( !\bcd|A4|WideOr0~0_combout & ( \bcd|A1|WideOr0~0_combout ) ) )
+
+ .dataa(!\bcd|A6|WideOr0~0_combout ),
+ .datab(!\bcd|A11|WideOr0~0_combout ),
+ .datac(!\bcd|A8|WideOr0~0_combout ),
+ .datad(!\bcd|A1|WideOr0~0_combout ),
+ .datae(!\bcd|A2|WideOr0~0_combout ),
+ .dataf(!\bcd|A4|WideOr0~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A15|WideOr0~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A15|WideOr0~0 .extended_lut = "off";
+defparam \bcd|A15|WideOr0~0 .lut_mask = 64'h00FF00A000FF7F00;
+defparam \bcd|A15|WideOr0~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X84_Y8_N54
+cyclonev_lcell_comb \bcd|A10|WideOr0~0 (
+// Equation(s):
+// \bcd|A10|WideOr0~0_combout = ( \bcd|A8|WideOr0~0_combout & ( \bcd|A7|WideOr1~0_combout & ( !\bcd|A7|WideOr2~0_combout ) ) ) # ( !\bcd|A8|WideOr0~0_combout & ( \bcd|A7|WideOr1~0_combout & ( (!\bcd|A7|WideOr2~0_combout ) # (!\bcd|A7|WideOr3~0_combout
+// ) ) ) ) # ( \bcd|A8|WideOr0~0_combout & ( !\bcd|A7|WideOr1~0_combout & ( \bcd|A7|WideOr2~0_combout ) ) ) # ( !\bcd|A8|WideOr0~0_combout & ( !\bcd|A7|WideOr1~0_combout & ( (\bcd|A7|WideOr2~0_combout & \bcd|A7|WideOr3~0_combout ) ) ) )
+
+ .dataa(!\bcd|A7|WideOr2~0_combout ),
+ .datab(gnd),
+ .datac(!\bcd|A7|WideOr3~0_combout ),
+ .datad(gnd),
+ .datae(!\bcd|A8|WideOr0~0_combout ),
+ .dataf(!\bcd|A7|WideOr1~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A10|WideOr0~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A10|WideOr0~0 .extended_lut = "off";
+defparam \bcd|A10|WideOr0~0 .lut_mask = 64'h05055555FAFAAAAA;
+defparam \bcd|A10|WideOr0~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X78_Y6_N36
+cyclonev_lcell_comb \bcd|A7|WideOr0~0 (
+// Equation(s):
+// \bcd|A7|WideOr0~0_combout = ( \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~37_sumout & ( \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~45_sumout & (
+// !\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~41_sumout ) ) ) # ( !\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~37_sumout & (
+// \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~45_sumout & ( (!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~41_sumout ) #
+// ((!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~29_sumout & !\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~33_sumout )) ) ) ) # (
+// \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~37_sumout & ( !\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~45_sumout & (
+// (\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~41_sumout & (((\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~33_sumout ) #
+// (\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~25_sumout )) # (\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~29_sumout ))) ) ) )
+
+ .dataa(!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~29_sumout ),
+ .datab(!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~41_sumout ),
+ .datac(!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~25_sumout ),
+ .datad(!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~33_sumout ),
+ .datae(!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~37_sumout ),
+ .dataf(!\mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~45_sumout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A7|WideOr0~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A7|WideOr0~0 .extended_lut = "off";
+defparam \bcd|A7|WideOr0~0 .lut_mask = 64'h00001333EECCCCCC;
+defparam \bcd|A7|WideOr0~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X83_Y10_N42
+cyclonev_lcell_comb \bcd|A5|WideOr0~0 (
+// Equation(s):
+// \bcd|A5|WideOr0~0_combout = ( \bcd|A4|WideOr0~0_combout & ( \bcd|A1|WideOr0~0_combout ) ) # ( !\bcd|A4|WideOr0~0_combout & ( (\bcd|A1|WideOr0~0_combout & \bcd|A2|WideOr0~0_combout ) ) )
+
+ .dataa(gnd),
+ .datab(!\bcd|A1|WideOr0~0_combout ),
+ .datac(gnd),
+ .datad(!\bcd|A2|WideOr0~0_combout ),
+ .datae(gnd),
+ .dataf(!\bcd|A4|WideOr0~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A5|WideOr0~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A5|WideOr0~0 .extended_lut = "off";
+defparam \bcd|A5|WideOr0~0 .lut_mask = 64'h0033003333333333;
+defparam \bcd|A5|WideOr0~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X83_Y10_N39
+cyclonev_lcell_comb \bcd|A12|WideOr0~0 (
+// Equation(s):
+// \bcd|A12|WideOr0~0_combout = ( \bcd|A5|WideOr0~0_combout & ( (\bcd|A7|WideOr0~0_combout ) # (\bcd|A10|WideOr0~0_combout ) ) )
+
+ .dataa(!\bcd|A10|WideOr0~0_combout ),
+ .datab(gnd),
+ .datac(!\bcd|A7|WideOr0~0_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\bcd|A5|WideOr0~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A12|WideOr0~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A12|WideOr0~0 .extended_lut = "off";
+defparam \bcd|A12|WideOr0~0 .lut_mask = 64'h000000005F5F5F5F;
+defparam \bcd|A12|WideOr0~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X87_Y12_N51
+cyclonev_lcell_comb \bcd|A26|Decoder0~0 (
+// Equation(s):
+// \bcd|A26|Decoder0~0_combout = ( \bcd|A12|WideOr0~0_combout & ( (\bcd|A23|WideOr0~0_combout & (!\bcd|A19|WideOr0~0_combout & \bcd|A15|WideOr0~0_combout )) ) ) # ( !\bcd|A12|WideOr0~0_combout & ( (\bcd|A23|WideOr0~0_combout &
+// (\bcd|A19|WideOr0~0_combout & !\bcd|A15|WideOr0~0_combout )) ) )
+
+ .dataa(!\bcd|A23|WideOr0~0_combout ),
+ .datab(!\bcd|A19|WideOr0~0_combout ),
+ .datac(!\bcd|A15|WideOr0~0_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\bcd|A12|WideOr0~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A26|Decoder0~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A26|Decoder0~0 .extended_lut = "off";
+defparam \bcd|A26|Decoder0~0 .lut_mask = 64'h1010101004040404;
+defparam \bcd|A26|Decoder0~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X87_Y12_N15
+cyclonev_lcell_comb \bcd|A26|Decoder0~2 (
+// Equation(s):
+// \bcd|A26|Decoder0~2_combout = ( \bcd|A12|WideOr0~0_combout & ( (!\bcd|A23|WideOr0~0_combout & (!\bcd|A19|WideOr0~0_combout & \bcd|A15|WideOr0~0_combout )) ) ) # ( !\bcd|A12|WideOr0~0_combout & ( (!\bcd|A23|WideOr0~0_combout &
+// (\bcd|A19|WideOr0~0_combout & !\bcd|A15|WideOr0~0_combout )) ) )
+
+ .dataa(!\bcd|A23|WideOr0~0_combout ),
+ .datab(!\bcd|A19|WideOr0~0_combout ),
+ .datac(!\bcd|A15|WideOr0~0_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\bcd|A12|WideOr0~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A26|Decoder0~2_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A26|Decoder0~2 .extended_lut = "off";
+defparam \bcd|A26|Decoder0~2 .lut_mask = 64'h2020202008080808;
+defparam \bcd|A26|Decoder0~2 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X87_Y12_N18
+cyclonev_lcell_comb \bcd|A26|WideOr2 (
+// Equation(s):
+// \bcd|A26|WideOr2~combout = ( \bcd|A19|WideOr0~0_combout & ( \bcd|A12|WideOr0~0_combout & ( (!\bcd|A26|Decoder0~0_combout & !\bcd|A26|Decoder0~2_combout ) ) ) ) # ( !\bcd|A19|WideOr0~0_combout & ( \bcd|A12|WideOr0~0_combout & (
+// (!\bcd|A26|Decoder0~0_combout & (!\bcd|A26|Decoder0~2_combout & ((\bcd|A23|WideOr0~0_combout ) # (\bcd|A15|WideOr0~0_combout )))) ) ) ) # ( \bcd|A19|WideOr0~0_combout & ( !\bcd|A12|WideOr0~0_combout & ( (!\bcd|A26|Decoder0~0_combout &
+// (!\bcd|A26|Decoder0~2_combout & ((!\bcd|A15|WideOr0~0_combout ) # (!\bcd|A23|WideOr0~0_combout )))) ) ) ) # ( !\bcd|A19|WideOr0~0_combout & ( !\bcd|A12|WideOr0~0_combout & ( (!\bcd|A26|Decoder0~0_combout & !\bcd|A26|Decoder0~2_combout ) ) ) )
+
+ .dataa(!\bcd|A26|Decoder0~0_combout ),
+ .datab(!\bcd|A15|WideOr0~0_combout ),
+ .datac(!\bcd|A23|WideOr0~0_combout ),
+ .datad(!\bcd|A26|Decoder0~2_combout ),
+ .datae(!\bcd|A19|WideOr0~0_combout ),
+ .dataf(!\bcd|A12|WideOr0~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A26|WideOr2~combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A26|WideOr2 .extended_lut = "off";
+defparam \bcd|A26|WideOr2 .lut_mask = 64'hAA00A8002A00AA00;
+defparam \bcd|A26|WideOr2 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X87_Y12_N48
+cyclonev_lcell_comb \bcd|A26|Decoder0~1 (
+// Equation(s):
+// \bcd|A26|Decoder0~1_combout = (\bcd|A23|WideOr0~0_combout & (!\bcd|A15|WideOr0~0_combout & (!\bcd|A19|WideOr0~0_combout $ (\bcd|A12|WideOr0~0_combout ))))
+
+ .dataa(!\bcd|A23|WideOr0~0_combout ),
+ .datab(!\bcd|A19|WideOr0~0_combout ),
+ .datac(!\bcd|A12|WideOr0~0_combout ),
+ .datad(!\bcd|A15|WideOr0~0_combout ),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A26|Decoder0~1_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A26|Decoder0~1 .extended_lut = "off";
+defparam \bcd|A26|Decoder0~1 .lut_mask = 64'h4100410041004100;
+defparam \bcd|A26|Decoder0~1 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X87_Y12_N6
+cyclonev_lcell_comb \bcd|A26|WideOr3~0 (
+// Equation(s):
+// \bcd|A26|WideOr3~0_combout = ( \bcd|A23|WideOr0~0_combout & ( !\bcd|A26|Decoder0~0_combout & ( !\bcd|A26|Decoder0~1_combout ) ) ) # ( !\bcd|A23|WideOr0~0_combout & ( !\bcd|A26|Decoder0~0_combout & ( (!\bcd|A26|Decoder0~1_combout &
+// ((!\bcd|A19|WideOr0~0_combout & ((!\bcd|A12|WideOr0~0_combout ) # (\bcd|A15|WideOr0~0_combout ))) # (\bcd|A19|WideOr0~0_combout & ((!\bcd|A15|WideOr0~0_combout ) # (\bcd|A12|WideOr0~0_combout ))))) ) ) )
+
+ .dataa(!\bcd|A26|Decoder0~1_combout ),
+ .datab(!\bcd|A19|WideOr0~0_combout ),
+ .datac(!\bcd|A12|WideOr0~0_combout ),
+ .datad(!\bcd|A15|WideOr0~0_combout ),
+ .datae(!\bcd|A23|WideOr0~0_combout ),
+ .dataf(!\bcd|A26|Decoder0~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A26|WideOr3~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A26|WideOr3~0 .extended_lut = "off";
+defparam \bcd|A26|WideOr3~0 .lut_mask = 64'hA28AAAAA00000000;
+defparam \bcd|A26|WideOr3~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X87_Y12_N27
+cyclonev_lcell_comb \bcd|A26|Decoder0~3 (
+// Equation(s):
+// \bcd|A26|Decoder0~3_combout = ( \bcd|A12|WideOr0~0_combout & ( (!\bcd|A23|WideOr0~0_combout & (\bcd|A19|WideOr0~0_combout & \bcd|A15|WideOr0~0_combout )) ) ) # ( !\bcd|A12|WideOr0~0_combout & ( (!\bcd|A23|WideOr0~0_combout &
+// (!\bcd|A19|WideOr0~0_combout & \bcd|A15|WideOr0~0_combout )) ) )
+
+ .dataa(!\bcd|A23|WideOr0~0_combout ),
+ .datab(!\bcd|A19|WideOr0~0_combout ),
+ .datac(!\bcd|A15|WideOr0~0_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\bcd|A12|WideOr0~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A26|Decoder0~3_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A26|Decoder0~3 .extended_lut = "off";
+defparam \bcd|A26|Decoder0~3 .lut_mask = 64'h0808080802020202;
+defparam \bcd|A26|Decoder0~3 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X87_Y12_N24
+cyclonev_lcell_comb \bcd|A26|WideOr1 (
+// Equation(s):
+// \bcd|A26|WideOr1~combout = ( \bcd|A12|WideOr0~0_combout & ( (!\bcd|A26|Decoder0~3_combout & ((!\bcd|A23|WideOr0~0_combout ) # ((\bcd|A15|WideOr0~0_combout ) # (\bcd|A19|WideOr0~0_combout )))) ) ) # ( !\bcd|A12|WideOr0~0_combout & (
+// !\bcd|A26|Decoder0~3_combout ) )
+
+ .dataa(!\bcd|A23|WideOr0~0_combout ),
+ .datab(!\bcd|A19|WideOr0~0_combout ),
+ .datac(!\bcd|A26|Decoder0~3_combout ),
+ .datad(!\bcd|A15|WideOr0~0_combout ),
+ .datae(gnd),
+ .dataf(!\bcd|A12|WideOr0~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A26|WideOr1~combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A26|WideOr1 .extended_lut = "off";
+defparam \bcd|A26|WideOr1 .lut_mask = 64'hF0F0F0F0B0F0B0F0;
+defparam \bcd|A26|WideOr1 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X88_Y12_N9
+cyclonev_lcell_comb \h3|WideOr6~0 (
+// Equation(s):
+// \h3|WideOr6~0_combout = ( \bcd|A26|WideOr1~combout & ( (!\bcd|A26|WideOr3~0_combout ) # (!\bcd|A27|WideOr0~0_combout $ (!\bcd|A26|WideOr2~combout )) ) ) # ( !\bcd|A26|WideOr1~combout & ( (!\bcd|A27|WideOr0~0_combout ) # (!\bcd|A26|WideOr2~combout $
+// (\bcd|A26|WideOr3~0_combout )) ) )
+
+ .dataa(gnd),
+ .datab(!\bcd|A27|WideOr0~0_combout ),
+ .datac(!\bcd|A26|WideOr2~combout ),
+ .datad(!\bcd|A26|WideOr3~0_combout ),
+ .datae(gnd),
+ .dataf(!\bcd|A26|WideOr1~combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\h3|WideOr6~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \h3|WideOr6~0 .extended_lut = "off";
+defparam \h3|WideOr6~0 .lut_mask = 64'hFCCFFCCFFF3CFF3C;
+defparam \h3|WideOr6~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X88_Y12_N24
+cyclonev_lcell_comb \h3|WideOr5~0 (
+// Equation(s):
+// \h3|WideOr5~0_combout = ( \bcd|A26|WideOr1~combout & ( (!\bcd|A26|WideOr2~combout & (!\bcd|A27|WideOr0~0_combout $ (\bcd|A26|WideOr3~0_combout ))) ) ) # ( !\bcd|A26|WideOr1~combout & ( (!\bcd|A27|WideOr0~0_combout & (!\bcd|A26|WideOr2~combout )) #
+// (\bcd|A27|WideOr0~0_combout & ((!\bcd|A26|WideOr3~0_combout ))) ) )
+
+ .dataa(!\bcd|A26|WideOr2~combout ),
+ .datab(!\bcd|A27|WideOr0~0_combout ),
+ .datac(!\bcd|A26|WideOr3~0_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\bcd|A26|WideOr1~combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\h3|WideOr5~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \h3|WideOr5~0 .extended_lut = "off";
+defparam \h3|WideOr5~0 .lut_mask = 64'hB8B8B8B882828282;
+defparam \h3|WideOr5~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X88_Y12_N27
+cyclonev_lcell_comb \h3|WideOr4~0 (
+// Equation(s):
+// \h3|WideOr4~0_combout = ( \bcd|A26|WideOr1~combout & ( (!\bcd|A27|WideOr0~0_combout & (\bcd|A26|WideOr2~combout & !\bcd|A26|WideOr3~0_combout )) ) ) # ( !\bcd|A26|WideOr1~combout & ( (!\bcd|A26|WideOr2~combout & ((!\bcd|A27|WideOr0~0_combout ) #
+// (!\bcd|A26|WideOr3~0_combout ))) ) )
+
+ .dataa(gnd),
+ .datab(!\bcd|A27|WideOr0~0_combout ),
+ .datac(!\bcd|A26|WideOr2~combout ),
+ .datad(!\bcd|A26|WideOr3~0_combout ),
+ .datae(gnd),
+ .dataf(!\bcd|A26|WideOr1~combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\h3|WideOr4~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \h3|WideOr4~0 .extended_lut = "off";
+defparam \h3|WideOr4~0 .lut_mask = 64'hF0C0F0C00C000C00;
+defparam \h3|WideOr4~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X88_Y12_N54
+cyclonev_lcell_comb \h3|WideOr3~0 (
+// Equation(s):
+// \h3|WideOr3~0_combout = ( \bcd|A26|WideOr1~combout & ( (!\bcd|A26|WideOr2~combout & (!\bcd|A27|WideOr0~0_combout $ (\bcd|A26|WideOr3~0_combout ))) # (\bcd|A26|WideOr2~combout & ((!\bcd|A27|WideOr0~0_combout ) # (!\bcd|A26|WideOr3~0_combout ))) ) ) #
+// ( !\bcd|A26|WideOr1~combout & ( (!\bcd|A26|WideOr2~combout & ((!\bcd|A27|WideOr0~0_combout ) # (\bcd|A26|WideOr3~0_combout ))) # (\bcd|A26|WideOr2~combout & (!\bcd|A27|WideOr0~0_combout $ (!\bcd|A26|WideOr3~0_combout ))) ) )
+
+ .dataa(!\bcd|A26|WideOr2~combout ),
+ .datab(!\bcd|A27|WideOr0~0_combout ),
+ .datac(!\bcd|A26|WideOr3~0_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\bcd|A26|WideOr1~combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\h3|WideOr3~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \h3|WideOr3~0 .extended_lut = "off";
+defparam \h3|WideOr3~0 .lut_mask = 64'h9E9E9E9ED6D6D6D6;
+defparam \h3|WideOr3~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X88_Y12_N57
+cyclonev_lcell_comb \h3|WideOr2~0 (
+// Equation(s):
+// \h3|WideOr2~0_combout = ( \bcd|A26|WideOr2~combout & ( (!\bcd|A27|WideOr0~0_combout ) # ((!\bcd|A26|WideOr1~combout & !\bcd|A26|WideOr3~0_combout )) ) ) # ( !\bcd|A26|WideOr2~combout & ( (!\bcd|A26|WideOr1~combout ) # ((!\bcd|A27|WideOr0~0_combout &
+// !\bcd|A26|WideOr3~0_combout )) ) )
+
+ .dataa(gnd),
+ .datab(!\bcd|A27|WideOr0~0_combout ),
+ .datac(!\bcd|A26|WideOr1~combout ),
+ .datad(!\bcd|A26|WideOr3~0_combout ),
+ .datae(gnd),
+ .dataf(!\bcd|A26|WideOr2~combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\h3|WideOr2~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \h3|WideOr2~0 .extended_lut = "off";
+defparam \h3|WideOr2~0 .lut_mask = 64'hFCF0FCF0FCCCFCCC;
+defparam \h3|WideOr2~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X88_Y12_N36
+cyclonev_lcell_comb \h3|WideOr1~0 (
+// Equation(s):
+// \h3|WideOr1~0_combout = ( \bcd|A27|WideOr0~0_combout & ( !\bcd|A26|WideOr1~combout $ (((!\bcd|A26|WideOr2~combout & \bcd|A26|WideOr3~0_combout ))) ) ) # ( !\bcd|A27|WideOr0~0_combout & ( (!\bcd|A26|WideOr1~combout ) # ((!\bcd|A26|WideOr2~combout ) #
+// (\bcd|A26|WideOr3~0_combout )) ) )
+
+ .dataa(gnd),
+ .datab(!\bcd|A26|WideOr1~combout ),
+ .datac(!\bcd|A26|WideOr2~combout ),
+ .datad(!\bcd|A26|WideOr3~0_combout ),
+ .datae(gnd),
+ .dataf(!\bcd|A27|WideOr0~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\h3|WideOr1~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \h3|WideOr1~0 .extended_lut = "off";
+defparam \h3|WideOr1~0 .lut_mask = 64'hFCFFFCFFCC3CCC3C;
+defparam \h3|WideOr1~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X88_Y12_N6
+cyclonev_lcell_comb \h3|WideOr0~0 (
+// Equation(s):
+// \h3|WideOr0~0_combout = ( \bcd|A26|WideOr1~combout & ( (!\bcd|A26|WideOr2~combout & ((!\bcd|A27|WideOr0~0_combout ) # (\bcd|A26|WideOr3~0_combout ))) # (\bcd|A26|WideOr2~combout & ((!\bcd|A26|WideOr3~0_combout ))) ) ) # ( !\bcd|A26|WideOr1~combout &
+// ( ((!\bcd|A26|WideOr3~0_combout ) # (\bcd|A27|WideOr0~0_combout )) # (\bcd|A26|WideOr2~combout ) ) )
+
+ .dataa(!\bcd|A26|WideOr2~combout ),
+ .datab(!\bcd|A27|WideOr0~0_combout ),
+ .datac(!\bcd|A26|WideOr3~0_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\bcd|A26|WideOr1~combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\h3|WideOr0~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \h3|WideOr0~0 .extended_lut = "off";
+defparam \h3|WideOr0~0 .lut_mask = 64'hF7F7F7F7DADADADA;
+defparam \h3|WideOr0~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X87_Y12_N12
+cyclonev_lcell_comb \bcd|A26|Decoder0~4 (
+// Equation(s):
+// \bcd|A26|Decoder0~4_combout = (!\bcd|A23|WideOr0~0_combout & (!\bcd|A15|WideOr0~0_combout & (!\bcd|A19|WideOr0~0_combout $ (\bcd|A12|WideOr0~0_combout ))))
+
+ .dataa(!\bcd|A23|WideOr0~0_combout ),
+ .datab(!\bcd|A19|WideOr0~0_combout ),
+ .datac(!\bcd|A12|WideOr0~0_combout ),
+ .datad(!\bcd|A15|WideOr0~0_combout ),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A26|Decoder0~4_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A26|Decoder0~4 .extended_lut = "off";
+defparam \bcd|A26|Decoder0~4 .lut_mask = 64'h8200820082008200;
+defparam \bcd|A26|Decoder0~4 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X83_Y10_N33
+cyclonev_lcell_comb \bcd|A22|WideOr0~0 (
+// Equation(s):
+// \bcd|A22|WideOr0~0_combout = ( \bcd|A12|WideOr0~0_combout & ( (!\bcd|A19|WideOr0~0_combout & !\bcd|A15|WideOr0~0_combout ) ) ) # ( !\bcd|A12|WideOr0~0_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\bcd|A19|WideOr0~0_combout ),
+ .datad(!\bcd|A15|WideOr0~0_combout ),
+ .datae(gnd),
+ .dataf(!\bcd|A12|WideOr0~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A22|WideOr0~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A22|WideOr0~0 .extended_lut = "off";
+defparam \bcd|A22|WideOr0~0 .lut_mask = 64'hFFFFFFFFF000F000;
+defparam \bcd|A22|WideOr0~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X87_Y12_N54
+cyclonev_lcell_comb \h4|Decoder0~0 (
+// Equation(s):
+// \h4|Decoder0~0_combout = ( \bcd|A22|WideOr0~0_combout & ( !\bcd|A26|Decoder0~0_combout & ( (!\bcd|A26|Decoder0~1_combout & (!\bcd|A26|Decoder0~2_combout & (!\bcd|A26|Decoder0~3_combout & !\bcd|A26|Decoder0~4_combout ))) ) ) )
+
+ .dataa(!\bcd|A26|Decoder0~1_combout ),
+ .datab(!\bcd|A26|Decoder0~2_combout ),
+ .datac(!\bcd|A26|Decoder0~3_combout ),
+ .datad(!\bcd|A26|Decoder0~4_combout ),
+ .datae(!\bcd|A22|WideOr0~0_combout ),
+ .dataf(!\bcd|A26|Decoder0~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\h4|Decoder0~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \h4|Decoder0~0 .extended_lut = "off";
+defparam \h4|Decoder0~0 .lut_mask = 64'h0000800000000000;
+defparam \h4|Decoder0~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X83_Y10_N36
+cyclonev_lcell_comb \bcd|A13|WideOr0~0 (
+// Equation(s):
+// \bcd|A13|WideOr0~0_combout = ( \bcd|A11|WideOr0~0_combout & ( !\bcd|A10|WideOr2~0_combout $ (!\bcd|A10|WideOr1~0_combout ) ) ) # ( !\bcd|A11|WideOr0~0_combout & ( !\bcd|A10|WideOr1~0_combout $ (((!\bcd|A10|WideOr2~0_combout ) #
+// (!\bcd|A10|WideOr3~0_combout ))) ) )
+
+ .dataa(gnd),
+ .datab(!\bcd|A10|WideOr2~0_combout ),
+ .datac(!\bcd|A10|WideOr3~0_combout ),
+ .datad(!\bcd|A10|WideOr1~0_combout ),
+ .datae(gnd),
+ .dataf(!\bcd|A11|WideOr0~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A13|WideOr0~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A13|WideOr0~0 .extended_lut = "off";
+defparam \bcd|A13|WideOr0~0 .lut_mask = 64'h03FC03FC33CC33CC;
+defparam \bcd|A13|WideOr0~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X83_Y10_N30
+cyclonev_lcell_comb \h4|Decoder0~2 (
+// Equation(s):
+// \h4|Decoder0~2_combout = ( \bcd|A10|WideOr0~0_combout & ( (\bcd|A5|WideOr0~0_combout & \bcd|A7|WideOr0~0_combout ) ) ) # ( !\bcd|A10|WideOr0~0_combout & ( (\bcd|A5|WideOr0~0_combout & (\bcd|A7|WideOr0~0_combout & ((\bcd|A13|WideOr0~0_combout ) #
+// (\bcd|A16|WideOr0~0_combout )))) ) )
+
+ .dataa(!\bcd|A16|WideOr0~0_combout ),
+ .datab(!\bcd|A5|WideOr0~0_combout ),
+ .datac(!\bcd|A13|WideOr0~0_combout ),
+ .datad(!\bcd|A7|WideOr0~0_combout ),
+ .datae(gnd),
+ .dataf(!\bcd|A10|WideOr0~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\h4|Decoder0~2_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \h4|Decoder0~2 .extended_lut = "off";
+defparam \h4|Decoder0~2 .lut_mask = 64'h0013001300330033;
+defparam \h4|Decoder0~2 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X87_Y12_N36
+cyclonev_lcell_comb \bcd|A26|WideOr0 (
+// Equation(s):
+// \bcd|A26|WideOr0~combout = ( \bcd|A26|Decoder0~3_combout & ( \bcd|A26|Decoder0~2_combout ) ) # ( !\bcd|A26|Decoder0~3_combout & ( \bcd|A26|Decoder0~2_combout ) ) # ( \bcd|A26|Decoder0~3_combout & ( !\bcd|A26|Decoder0~2_combout ) ) # (
+// !\bcd|A26|Decoder0~3_combout & ( !\bcd|A26|Decoder0~2_combout & ( ((\bcd|A26|Decoder0~0_combout ) # (\bcd|A26|Decoder0~4_combout )) # (\bcd|A26|Decoder0~1_combout ) ) ) )
+
+ .dataa(!\bcd|A26|Decoder0~1_combout ),
+ .datab(!\bcd|A26|Decoder0~4_combout ),
+ .datac(!\bcd|A26|Decoder0~0_combout ),
+ .datad(gnd),
+ .datae(!\bcd|A26|Decoder0~3_combout ),
+ .dataf(!\bcd|A26|Decoder0~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\bcd|A26|WideOr0~combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \bcd|A26|WideOr0 .extended_lut = "off";
+defparam \bcd|A26|WideOr0 .lut_mask = 64'h7F7FFFFFFFFFFFFF;
+defparam \bcd|A26|WideOr0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X87_Y12_N42
+cyclonev_lcell_comb \h4|Decoder0~1 (
+// Equation(s):
+// \h4|Decoder0~1_combout = ( \bcd|A22|WideOr0~0_combout & ( \bcd|A26|Decoder0~0_combout ) ) # ( \bcd|A22|WideOr0~0_combout & ( !\bcd|A26|Decoder0~0_combout & ( (((\bcd|A26|Decoder0~4_combout ) # (\bcd|A26|Decoder0~3_combout )) #
+// (\bcd|A26|Decoder0~2_combout )) # (\bcd|A26|Decoder0~1_combout ) ) ) )
+
+ .dataa(!\bcd|A26|Decoder0~1_combout ),
+ .datab(!\bcd|A26|Decoder0~2_combout ),
+ .datac(!\bcd|A26|Decoder0~3_combout ),
+ .datad(!\bcd|A26|Decoder0~4_combout ),
+ .datae(!\bcd|A22|WideOr0~0_combout ),
+ .dataf(!\bcd|A26|Decoder0~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\h4|Decoder0~1_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \h4|Decoder0~1 .extended_lut = "off";
+defparam \h4|Decoder0~1 .lut_mask = 64'h00007FFF0000FFFF;
+defparam \h4|Decoder0~1 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X29_Y33_N3
+cyclonev_lcell_comb \~QUARTUS_CREATED_GND~I (
+// Equation(s):
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\~QUARTUS_CREATED_GND~I_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \~QUARTUS_CREATED_GND~I .extended_lut = "off";
+defparam \~QUARTUS_CREATED_GND~I .lut_mask = 64'h0000000000000000;
+defparam \~QUARTUS_CREATED_GND~I .shared_arith = "off";
+// synopsys translate_on
+
+endmodule
diff --git a/part_3/ex15/simulation/modelsim/ex10_modelsim.xrf b/part_3/ex15/simulation/modelsim/ex10_modelsim.xrf
new file mode 100755
index 0000000..e49c737
--- /dev/null
+++ b/part_3/ex15/simulation/modelsim/ex10_modelsim.xrf
@@ -0,0 +1,512 @@
+vendor_name = ModelSim
+source_file = 1, //icnas3.cc.ic.ac.uk/ml7715/Desktop/ex15/verilog_files/spi2adc.v
+source_file = 1, //icnas3.cc.ic.ac.uk/ml7715/Desktop/ex15/ex15.v
+source_file = 1, //icnas3.cc.ic.ac.uk/ml7715/Desktop/ex15/verilog_files/add3_ge5.v
+source_file = 1, //icnas3.cc.ic.ac.uk/ml7715/Desktop/ex15/verilog_files/hex_to_7seg.v
+source_file = 1, //icnas3.cc.ic.ac.uk/ml7715/Desktop/ex15/verilog_files/bin2bcd_16.v
+source_file = 1, //icnas3.cc.ic.ac.uk/ml7715/Desktop/ex15/verilog_files/ROM.qip
+source_file = 1, //icnas3.cc.ic.ac.uk/ml7715/Desktop/ex15/verilog_files/ROM.v
+source_file = 1, //icnas3.cc.ic.ac.uk/ml7715/Desktop/ex15/verilog_files/tick_5000.v
+source_file = 1, //icnas3.cc.ic.ac.uk/ml7715/Desktop/ex15/verilog_files/spi2dac.v
+source_file = 1, //icnas3.cc.ic.ac.uk/ml7715/Desktop/ex15/verilog_files/pwm.v
+source_file = 1, //icnas3.cc.ic.ac.uk/ml7715/Desktop/ex15/const_mult.qip
+source_file = 1, //icnas3.cc.ic.ac.uk/ml7715/Desktop/ex15/const_mult.v
+source_file = 1, //icnas3.cc.ic.ac.uk/ml7715/Desktop/ex15/add_offset.v
+source_file = 1, c:/altera/16.0/quartus/libraries/megafunctions/altsyncram.tdf
+source_file = 1, c:/altera/16.0/quartus/libraries/megafunctions/stratix_ram_block.inc
+source_file = 1, c:/altera/16.0/quartus/libraries/megafunctions/lpm_mux.inc
+source_file = 1, c:/altera/16.0/quartus/libraries/megafunctions/lpm_decode.inc
+source_file = 1, c:/altera/16.0/quartus/libraries/megafunctions/aglobal160.inc
+source_file = 1, c:/altera/16.0/quartus/libraries/megafunctions/a_rdenreg.inc
+source_file = 1, c:/altera/16.0/quartus/libraries/megafunctions/altrom.inc
+source_file = 1, c:/altera/16.0/quartus/libraries/megafunctions/altram.inc
+source_file = 1, c:/altera/16.0/quartus/libraries/megafunctions/altdpram.inc
+source_file = 1, c:/altera/16.0/quartus/libraries/megafunctions/cbx.lst
+source_file = 1, //icnas3.cc.ic.ac.uk/ml7715/Desktop/ex15/db/altsyncram_6ng1.tdf
+source_file = 1, //icnas3.cc.ic.ac.uk/ml7715/Desktop/ex15/rom_data/rom_data.mif
+source_file = 1, c:/altera/16.0/quartus/libraries/megafunctions/lpm_mult.tdf
+source_file = 1, c:/altera/16.0/quartus/libraries/megafunctions/lpm_add_sub.inc
+source_file = 1, c:/altera/16.0/quartus/libraries/megafunctions/multcore.inc
+source_file = 1, c:/altera/16.0/quartus/libraries/megafunctions/bypassff.inc
+source_file = 1, c:/altera/16.0/quartus/libraries/megafunctions/altshift.inc
+source_file = 1, c:/altera/16.0/quartus/libraries/megafunctions/multcore.tdf
+source_file = 1, c:/altera/16.0/quartus/libraries/megafunctions/csa_add.inc
+source_file = 1, c:/altera/16.0/quartus/libraries/megafunctions/mpar_add.inc
+source_file = 1, c:/altera/16.0/quartus/libraries/megafunctions/muleabz.inc
+source_file = 1, c:/altera/16.0/quartus/libraries/megafunctions/mul_lfrg.inc
+source_file = 1, c:/altera/16.0/quartus/libraries/megafunctions/mul_boothc.inc
+source_file = 1, c:/altera/16.0/quartus/libraries/megafunctions/alt_ded_mult.inc
+source_file = 1, c:/altera/16.0/quartus/libraries/megafunctions/alt_ded_mult_y.inc
+source_file = 1, c:/altera/16.0/quartus/libraries/megafunctions/dffpipe.inc
+source_file = 1, c:/altera/16.0/quartus/libraries/megafunctions/mpar_add.tdf
+source_file = 1, c:/altera/16.0/quartus/libraries/megafunctions/lpm_add_sub.tdf
+source_file = 1, c:/altera/16.0/quartus/libraries/megafunctions/addcore.inc
+source_file = 1, c:/altera/16.0/quartus/libraries/megafunctions/look_add.inc
+source_file = 1, c:/altera/16.0/quartus/libraries/megafunctions/alt_stratix_add_sub.inc
+source_file = 1, //icnas3.cc.ic.ac.uk/ml7715/Desktop/ex15/db/add_sub_d9h.tdf
+source_file = 1, //icnas3.cc.ic.ac.uk/ml7715/Desktop/ex15/db/add_sub_89h.tdf
+source_file = 1, c:/altera/16.0/quartus/libraries/megafunctions/altshift.tdf
+design_name = ex15
+instance = comp, \DAC_CS~output , DAC_CS~output, ex15, 1
+instance = comp, \DAC_SDI~output , DAC_SDI~output, ex15, 1
+instance = comp, \DAC_LD~output , DAC_LD~output, ex15, 1
+instance = comp, \DAC_SCK~output , DAC_SCK~output, ex15, 1
+instance = comp, \ADC_SDI~output , ADC_SDI~output, ex15, 1
+instance = comp, \ADC_SCK~output , ADC_SCK~output, ex15, 1
+instance = comp, \ADC_CS~output , ADC_CS~output, ex15, 1
+instance = comp, \PWM_OUT~output , PWM_OUT~output, ex15, 1
+instance = comp, \HEX0[0]~output , HEX0[0]~output, ex15, 1
+instance = comp, \HEX0[1]~output , HEX0[1]~output, ex15, 1
+instance = comp, \HEX0[2]~output , HEX0[2]~output, ex15, 1
+instance = comp, \HEX0[3]~output , HEX0[3]~output, ex15, 1
+instance = comp, \HEX0[4]~output , HEX0[4]~output, ex15, 1
+instance = comp, \HEX0[5]~output , HEX0[5]~output, ex15, 1
+instance = comp, \HEX0[6]~output , HEX0[6]~output, ex15, 1
+instance = comp, \HEX1[0]~output , HEX1[0]~output, ex15, 1
+instance = comp, \HEX1[1]~output , HEX1[1]~output, ex15, 1
+instance = comp, \HEX1[2]~output , HEX1[2]~output, ex15, 1
+instance = comp, \HEX1[3]~output , HEX1[3]~output, ex15, 1
+instance = comp, \HEX1[4]~output , HEX1[4]~output, ex15, 1
+instance = comp, \HEX1[5]~output , HEX1[5]~output, ex15, 1
+instance = comp, \HEX1[6]~output , HEX1[6]~output, ex15, 1
+instance = comp, \HEX2[0]~output , HEX2[0]~output, ex15, 1
+instance = comp, \HEX2[1]~output , HEX2[1]~output, ex15, 1
+instance = comp, \HEX2[2]~output , HEX2[2]~output, ex15, 1
+instance = comp, \HEX2[3]~output , HEX2[3]~output, ex15, 1
+instance = comp, \HEX2[4]~output , HEX2[4]~output, ex15, 1
+instance = comp, \HEX2[5]~output , HEX2[5]~output, ex15, 1
+instance = comp, \HEX2[6]~output , HEX2[6]~output, ex15, 1
+instance = comp, \HEX3[0]~output , HEX3[0]~output, ex15, 1
+instance = comp, \HEX3[1]~output , HEX3[1]~output, ex15, 1
+instance = comp, \HEX3[2]~output , HEX3[2]~output, ex15, 1
+instance = comp, \HEX3[3]~output , HEX3[3]~output, ex15, 1
+instance = comp, \HEX3[4]~output , HEX3[4]~output, ex15, 1
+instance = comp, \HEX3[5]~output , HEX3[5]~output, ex15, 1
+instance = comp, \HEX3[6]~output , HEX3[6]~output, ex15, 1
+instance = comp, \HEX4[0]~output , HEX4[0]~output, ex15, 1
+instance = comp, \HEX4[1]~output , HEX4[1]~output, ex15, 1
+instance = comp, \HEX4[2]~output , HEX4[2]~output, ex15, 1
+instance = comp, \HEX4[3]~output , HEX4[3]~output, ex15, 1
+instance = comp, \HEX4[4]~output , HEX4[4]~output, ex15, 1
+instance = comp, \HEX4[5]~output , HEX4[5]~output, ex15, 1
+instance = comp, \HEX4[6]~output , HEX4[6]~output, ex15, 1
+instance = comp, \CLOCK_50~input , CLOCK_50~input, ex15, 1
+instance = comp, \dac|clk_1MHz~0 , dac|clk_1MHz~0, ex15, 1
+instance = comp, \CLOCK_50~inputCLKENA0 , CLOCK_50~inputCLKENA0, ex15, 1
+instance = comp, \SPI_ADC|ctr[0] , SPI_ADC|ctr[0], ex15, 1
+instance = comp, \SPI_ADC|ctr~1 , SPI_ADC|ctr~1, ex15, 1
+instance = comp, \SPI_ADC|ctr[0]~DUPLICATE , SPI_ADC|ctr[0]~DUPLICATE, ex15, 1
+instance = comp, \SPI_ADC|ctr~2 , SPI_ADC|ctr~2, ex15, 1
+instance = comp, \SPI_ADC|ctr[1] , SPI_ADC|ctr[1], ex15, 1
+instance = comp, \SPI_ADC|ctr[1]~DUPLICATE , SPI_ADC|ctr[1]~DUPLICATE, ex15, 1
+instance = comp, \SPI_ADC|ctr[2] , SPI_ADC|ctr[2], ex15, 1
+instance = comp, \SPI_ADC|ctr~0 , SPI_ADC|ctr~0, ex15, 1
+instance = comp, \SPI_ADC|ctr[2]~DUPLICATE , SPI_ADC|ctr[2]~DUPLICATE, ex15, 1
+instance = comp, \SPI_ADC|Add0~1 , SPI_ADC|Add0~1, ex15, 1
+instance = comp, \SPI_ADC|ctr[3] , SPI_ADC|ctr[3], ex15, 1
+instance = comp, \SPI_ADC|Add0~0 , SPI_ADC|Add0~0, ex15, 1
+instance = comp, \SPI_ADC|ctr[4] , SPI_ADC|ctr[4], ex15, 1
+instance = comp, \dac|Equal0~0 , dac|Equal0~0, ex15, 1
+instance = comp, \dac|clk_1MHz , dac|clk_1MHz, ex15, 1
+instance = comp, \dac|state~2 , dac|state~2, ex15, 1
+instance = comp, \dac|state[2] , dac|state[2], ex15, 1
+instance = comp, \dac|state~3 , dac|state~3, ex15, 1
+instance = comp, \dac|state[3] , dac|state[3], ex15, 1
+instance = comp, \dac|state~0 , dac|state~0, ex15, 1
+instance = comp, \dac|state[4]~feeder , dac|state[4]~feeder, ex15, 1
+instance = comp, \dac|state[4]~DUPLICATE , dac|state[4]~DUPLICATE, ex15, 1
+instance = comp, \dac|state~1 , dac|state~1, ex15, 1
+instance = comp, \dac|state[1] , dac|state[1], ex15, 1
+instance = comp, \tick|count[9] , tick|count[9], ex15, 1
+instance = comp, \tick|Add0~9 , tick|Add0~9, ex15, 1
+instance = comp, \tick|count[0]~1 , tick|count[0]~1, ex15, 1
+instance = comp, \tick|count[0] , tick|count[0], ex15, 1
+instance = comp, \tick|Add0~13 , tick|Add0~13, ex15, 1
+instance = comp, \tick|count[1]~2 , tick|count[1]~2, ex15, 1
+instance = comp, \tick|count[1]~DUPLICATE , tick|count[1]~DUPLICATE, ex15, 1
+instance = comp, \tick|Add0~17 , tick|Add0~17, ex15, 1
+instance = comp, \tick|count[2]~3 , tick|count[2]~3, ex15, 1
+instance = comp, \tick|count[2]~DUPLICATE , tick|count[2]~DUPLICATE, ex15, 1
+instance = comp, \tick|Add0~33 , tick|Add0~33, ex15, 1
+instance = comp, \tick|count[3] , tick|count[3], ex15, 1
+instance = comp, \tick|Add0~37 , tick|Add0~37, ex15, 1
+instance = comp, \tick|count[4] , tick|count[4], ex15, 1
+instance = comp, \tick|Add0~41 , tick|Add0~41, ex15, 1
+instance = comp, \tick|count[5] , tick|count[5], ex15, 1
+instance = comp, \tick|Add0~45 , tick|Add0~45, ex15, 1
+instance = comp, \tick|count[6] , tick|count[6], ex15, 1
+instance = comp, \tick|Add0~21 , tick|Add0~21, ex15, 1
+instance = comp, \tick|count[7]~4 , tick|count[7]~4, ex15, 1
+instance = comp, \tick|count[7]~DUPLICATE , tick|count[7]~DUPLICATE, ex15, 1
+instance = comp, \tick|Add0~25 , tick|Add0~25, ex15, 1
+instance = comp, \tick|count[8]~5 , tick|count[8]~5, ex15, 1
+instance = comp, \tick|count[8] , tick|count[8], ex15, 1
+instance = comp, \tick|Add0~1 , tick|Add0~1, ex15, 1
+instance = comp, \tick|count[9]~0 , tick|count[9]~0, ex15, 1
+instance = comp, \tick|count[9]~DUPLICATE , tick|count[9]~DUPLICATE, ex15, 1
+instance = comp, \tick|Add0~5 , tick|Add0~5, ex15, 1
+instance = comp, \tick|count[10] , tick|count[10], ex15, 1
+instance = comp, \tick|Add0~49 , tick|Add0~49, ex15, 1
+instance = comp, \tick|count[11]~DUPLICATE , tick|count[11]~DUPLICATE, ex15, 1
+instance = comp, \tick|Add0~29 , tick|Add0~29, ex15, 1
+instance = comp, \tick|count[12]~6 , tick|count[12]~6, ex15, 1
+instance = comp, \tick|count[12] , tick|count[12], ex15, 1
+instance = comp, \tick|count[4]~DUPLICATE , tick|count[4]~DUPLICATE, ex15, 1
+instance = comp, \tick|count[3]~DUPLICATE , tick|count[3]~DUPLICATE, ex15, 1
+instance = comp, \tick|count[6]~DUPLICATE , tick|count[6]~DUPLICATE, ex15, 1
+instance = comp, \tick|Equal0~1 , tick|Equal0~1, ex15, 1
+instance = comp, \tick|count[1] , tick|count[1], ex15, 1
+instance = comp, \tick|count[2] , tick|count[2], ex15, 1
+instance = comp, \tick|count[7] , tick|count[7], ex15, 1
+instance = comp, \tick|Equal0~0 , tick|Equal0~0, ex15, 1
+instance = comp, \tick|count[13]~DUPLICATE , tick|count[13]~DUPLICATE, ex15, 1
+instance = comp, \tick|Add0~53 , tick|Add0~53, ex15, 1
+instance = comp, \tick|count[13] , tick|count[13], ex15, 1
+instance = comp, \tick|count[11] , tick|count[11], ex15, 1
+instance = comp, \tick|Add0~57 , tick|Add0~57, ex15, 1
+instance = comp, \tick|count[14] , tick|count[14], ex15, 1
+instance = comp, \tick|Add0~61 , tick|Add0~61, ex15, 1
+instance = comp, \tick|count[15] , tick|count[15], ex15, 1
+instance = comp, \tick|Equal0~2 , tick|Equal0~2, ex15, 1
+instance = comp, \tick|Equal0~3 , tick|Equal0~3, ex15, 1
+instance = comp, \tick|CLK_OUT~feeder , tick|CLK_OUT~feeder, ex15, 1
+instance = comp, \tick|CLK_OUT , tick|CLK_OUT, ex15, 1
+instance = comp, \dac|sr_state.IDLE~0 , dac|sr_state.IDLE~0, ex15, 1
+instance = comp, \dac|sr_state.IDLE , dac|sr_state.IDLE, ex15, 1
+instance = comp, \dac|Selector2~0 , dac|Selector2~0, ex15, 1
+instance = comp, \dac|sr_state.WAIT_CSB_HIGH , dac|sr_state.WAIT_CSB_HIGH, ex15, 1
+instance = comp, \dac|sr_state.WAIT_CSB_FALL~0 , dac|sr_state.WAIT_CSB_FALL~0, ex15, 1
+instance = comp, \dac|sr_state.WAIT_CSB_FALL , dac|sr_state.WAIT_CSB_FALL, ex15, 1
+instance = comp, \dac|Selector3~0 , dac|Selector3~0, ex15, 1
+instance = comp, \dac|state[0] , dac|state[0], ex15, 1
+instance = comp, \dac|state[2]~DUPLICATE , dac|state[2]~DUPLICATE, ex15, 1
+instance = comp, \dac|WideNor0 , dac|WideNor0, ex15, 1
+instance = comp, \SPI_ADC|clk_1MHz~0 , SPI_ADC|clk_1MHz~0, ex15, 1
+instance = comp, \SPI_ADC|clk_1MHz , SPI_ADC|clk_1MHz, ex15, 1
+instance = comp, \ADC_SDO~input , ADC_SDO~input, ex15, 1
+instance = comp, \SPI_ADC|state[1]~DUPLICATE , SPI_ADC|state[1]~DUPLICATE, ex15, 1
+instance = comp, \SPI_ADC|state[1]~1 , SPI_ADC|state[1]~1, ex15, 1
+instance = comp, \SPI_ADC|state[1] , SPI_ADC|state[1], ex15, 1
+instance = comp, \SPI_ADC|state[3]~DUPLICATE , SPI_ADC|state[3]~DUPLICATE, ex15, 1
+instance = comp, \SPI_ADC|state[3]~3 , SPI_ADC|state[3]~3, ex15, 1
+instance = comp, \SPI_ADC|state[3] , SPI_ADC|state[3], ex15, 1
+instance = comp, \SPI_ADC|state~0 , SPI_ADC|state~0, ex15, 1
+instance = comp, \SPI_ADC|state[4] , SPI_ADC|state[4], ex15, 1
+instance = comp, \SPI_ADC|Selector4~0 , SPI_ADC|Selector4~0, ex15, 1
+instance = comp, \SPI_ADC|adc_cs , SPI_ADC|adc_cs, ex15, 1
+instance = comp, \SPI_ADC|Selector2~0 , SPI_ADC|Selector2~0, ex15, 1
+instance = comp, \SPI_ADC|sr_state.WAIT_CSB_HIGH , SPI_ADC|sr_state.WAIT_CSB_HIGH, ex15, 1
+instance = comp, \SPI_ADC|Selector0~0 , SPI_ADC|Selector0~0, ex15, 1
+instance = comp, \SPI_ADC|sr_state.IDLE , SPI_ADC|sr_state.IDLE, ex15, 1
+instance = comp, \SPI_ADC|Selector1~0 , SPI_ADC|Selector1~0, ex15, 1
+instance = comp, \SPI_ADC|sr_state.WAIT_CSB_FALL , SPI_ADC|sr_state.WAIT_CSB_FALL, ex15, 1
+instance = comp, \SPI_ADC|adc_start~0 , SPI_ADC|adc_start~0, ex15, 1
+instance = comp, \SPI_ADC|adc_start , SPI_ADC|adc_start, ex15, 1
+instance = comp, \SPI_ADC|Selector5~0 , SPI_ADC|Selector5~0, ex15, 1
+instance = comp, \SPI_ADC|state[0] , SPI_ADC|state[0], ex15, 1
+instance = comp, \SPI_ADC|state[2]~2 , SPI_ADC|state[2]~2, ex15, 1
+instance = comp, \SPI_ADC|state[2] , SPI_ADC|state[2], ex15, 1
+instance = comp, \SPI_ADC|WideOr0~0 , SPI_ADC|WideOr0~0, ex15, 1
+instance = comp, \SPI_ADC|shift_ena , SPI_ADC|shift_ena, ex15, 1
+instance = comp, \SPI_ADC|always3~0 , SPI_ADC|always3~0, ex15, 1
+instance = comp, \SPI_ADC|shift_reg[0] , SPI_ADC|shift_reg[0], ex15, 1
+instance = comp, \SPI_ADC|Decoder0~0 , SPI_ADC|Decoder0~0, ex15, 1
+instance = comp, \SPI_ADC|adc_done , SPI_ADC|adc_done, ex15, 1
+instance = comp, \SPI_ADC|data_from_adc[0] , SPI_ADC|data_from_adc[0], ex15, 1
+instance = comp, \fin_address|Add0~1 , fin_address|Add0~1, ex15, 1
+instance = comp, \fin_address|address[0]~feeder , fin_address|address[0]~feeder, ex15, 1
+instance = comp, \fin_address|address[0] , fin_address|address[0], ex15, 1
+instance = comp, \SPI_ADC|shift_reg[1]~feeder , SPI_ADC|shift_reg[1]~feeder, ex15, 1
+instance = comp, \SPI_ADC|shift_reg[1] , SPI_ADC|shift_reg[1], ex15, 1
+instance = comp, \SPI_ADC|data_from_adc[1] , SPI_ADC|data_from_adc[1], ex15, 1
+instance = comp, \fin_address|Add0~5 , fin_address|Add0~5, ex15, 1
+instance = comp, \fin_address|address[1]~feeder , fin_address|address[1]~feeder, ex15, 1
+instance = comp, \fin_address|address[1] , fin_address|address[1], ex15, 1
+instance = comp, \SPI_ADC|shift_reg[1]~DUPLICATE , SPI_ADC|shift_reg[1]~DUPLICATE, ex15, 1
+instance = comp, \SPI_ADC|shift_reg[2] , SPI_ADC|shift_reg[2], ex15, 1
+instance = comp, \SPI_ADC|data_from_adc[2] , SPI_ADC|data_from_adc[2], ex15, 1
+instance = comp, \fin_address|Add0~9 , fin_address|Add0~9, ex15, 1
+instance = comp, \fin_address|address[2]~feeder , fin_address|address[2]~feeder, ex15, 1
+instance = comp, \fin_address|address[2] , fin_address|address[2], ex15, 1
+instance = comp, \SPI_ADC|shift_reg[3]~feeder , SPI_ADC|shift_reg[3]~feeder, ex15, 1
+instance = comp, \SPI_ADC|shift_reg[3] , SPI_ADC|shift_reg[3], ex15, 1
+instance = comp, \SPI_ADC|data_from_adc[3] , SPI_ADC|data_from_adc[3], ex15, 1
+instance = comp, \fin_address|Add0~13 , fin_address|Add0~13, ex15, 1
+instance = comp, \fin_address|address[3]~feeder , fin_address|address[3]~feeder, ex15, 1
+instance = comp, \fin_address|address[3] , fin_address|address[3], ex15, 1
+instance = comp, \SPI_ADC|shift_reg[4] , SPI_ADC|shift_reg[4], ex15, 1
+instance = comp, \SPI_ADC|data_from_adc[4] , SPI_ADC|data_from_adc[4], ex15, 1
+instance = comp, \fin_address|Add0~17 , fin_address|Add0~17, ex15, 1
+instance = comp, \fin_address|address[4]~feeder , fin_address|address[4]~feeder, ex15, 1
+instance = comp, \fin_address|address[4] , fin_address|address[4], ex15, 1
+instance = comp, \SPI_ADC|shift_reg[5]~feeder , SPI_ADC|shift_reg[5]~feeder, ex15, 1
+instance = comp, \SPI_ADC|shift_reg[5] , SPI_ADC|shift_reg[5], ex15, 1
+instance = comp, \SPI_ADC|data_from_adc[5] , SPI_ADC|data_from_adc[5], ex15, 1
+instance = comp, \fin_address|Add0~21 , fin_address|Add0~21, ex15, 1
+instance = comp, \fin_address|address[5]~feeder , fin_address|address[5]~feeder, ex15, 1
+instance = comp, \fin_address|address[5] , fin_address|address[5], ex15, 1
+instance = comp, \SPI_ADC|shift_reg[6]~feeder , SPI_ADC|shift_reg[6]~feeder, ex15, 1
+instance = comp, \SPI_ADC|shift_reg[6] , SPI_ADC|shift_reg[6], ex15, 1
+instance = comp, \SPI_ADC|data_from_adc[6] , SPI_ADC|data_from_adc[6], ex15, 1
+instance = comp, \fin_address|Add0~25 , fin_address|Add0~25, ex15, 1
+instance = comp, \fin_address|address[6]~feeder , fin_address|address[6]~feeder, ex15, 1
+instance = comp, \fin_address|address[6] , fin_address|address[6], ex15, 1
+instance = comp, \SPI_ADC|shift_reg[7]~feeder , SPI_ADC|shift_reg[7]~feeder, ex15, 1
+instance = comp, \SPI_ADC|shift_reg[7] , SPI_ADC|shift_reg[7], ex15, 1
+instance = comp, \SPI_ADC|data_from_adc[7] , SPI_ADC|data_from_adc[7], ex15, 1
+instance = comp, \fin_address|Add0~29 , fin_address|Add0~29, ex15, 1
+instance = comp, \fin_address|address[7]~feeder , fin_address|address[7]~feeder, ex15, 1
+instance = comp, \fin_address|address[7] , fin_address|address[7], ex15, 1
+instance = comp, \SPI_ADC|shift_reg[8] , SPI_ADC|shift_reg[8], ex15, 1
+instance = comp, \SPI_ADC|data_from_adc[8] , SPI_ADC|data_from_adc[8], ex15, 1
+instance = comp, \fin_address|Add0~33 , fin_address|Add0~33, ex15, 1
+instance = comp, \fin_address|address[8]~feeder , fin_address|address[8]~feeder, ex15, 1
+instance = comp, \fin_address|address[8] , fin_address|address[8], ex15, 1
+instance = comp, \SPI_ADC|shift_reg[8]~DUPLICATE , SPI_ADC|shift_reg[8]~DUPLICATE, ex15, 1
+instance = comp, \SPI_ADC|shift_reg[9] , SPI_ADC|shift_reg[9], ex15, 1
+instance = comp, \SPI_ADC|data_from_adc[9] , SPI_ADC|data_from_adc[9], ex15, 1
+instance = comp, \fin_address|Add0~37 , fin_address|Add0~37, ex15, 1
+instance = comp, \fin_address|address[9]~feeder , fin_address|address[9]~feeder, ex15, 1
+instance = comp, \fin_address|address[9] , fin_address|address[9], ex15, 1
+instance = comp, \rom|altsyncram_component|auto_generated|ram_block1a0 , rom|altsyncram_component|auto_generated|ram_block1a0, ex15, 1
+instance = comp, \dac|shift_reg[11]~feeder , dac|shift_reg[11]~feeder, ex15, 1
+instance = comp, \dac|shift_reg[10]~feeder , dac|shift_reg[10]~feeder, ex15, 1
+instance = comp, \dac|shift_reg[9]~feeder , dac|shift_reg[9]~feeder, ex15, 1
+instance = comp, \dac|shift_reg[8]~feeder , dac|shift_reg[8]~feeder, ex15, 1
+instance = comp, \dac|shift_reg[7]~feeder , dac|shift_reg[7]~feeder, ex15, 1
+instance = comp, \dac|shift_reg[6]~feeder , dac|shift_reg[6]~feeder, ex15, 1
+instance = comp, \dac|shift_reg[5]~feeder , dac|shift_reg[5]~feeder, ex15, 1
+instance = comp, \dac|shift_reg[4]~feeder , dac|shift_reg[4]~feeder, ex15, 1
+instance = comp, \dac|shift_reg[3]~feeder , dac|shift_reg[3]~feeder, ex15, 1
+instance = comp, \dac|shift_reg~4 , dac|shift_reg~4, ex15, 1
+instance = comp, \dac|shift_reg[2] , dac|shift_reg[2], ex15, 1
+instance = comp, \dac|state[4] , dac|state[4], ex15, 1
+instance = comp, \dac|always5~0 , dac|always5~0, ex15, 1
+instance = comp, \dac|shift_reg[3] , dac|shift_reg[3], ex15, 1
+instance = comp, \dac|shift_reg[4] , dac|shift_reg[4], ex15, 1
+instance = comp, \dac|shift_reg[5] , dac|shift_reg[5], ex15, 1
+instance = comp, \dac|shift_reg[6] , dac|shift_reg[6], ex15, 1
+instance = comp, \dac|shift_reg[7] , dac|shift_reg[7], ex15, 1
+instance = comp, \dac|shift_reg[8] , dac|shift_reg[8], ex15, 1
+instance = comp, \dac|shift_reg[9] , dac|shift_reg[9], ex15, 1
+instance = comp, \dac|shift_reg[10] , dac|shift_reg[10], ex15, 1
+instance = comp, \dac|shift_reg[11] , dac|shift_reg[11], ex15, 1
+instance = comp, \dac|shift_reg~3 , dac|shift_reg~3, ex15, 1
+instance = comp, \dac|shift_reg[12] , dac|shift_reg[12], ex15, 1
+instance = comp, \dac|shift_reg~2 , dac|shift_reg~2, ex15, 1
+instance = comp, \dac|shift_reg[13] , dac|shift_reg[13], ex15, 1
+instance = comp, \dac|shift_reg~1 , dac|shift_reg~1, ex15, 1
+instance = comp, \dac|shift_reg[14] , dac|shift_reg[14], ex15, 1
+instance = comp, \dac|shift_reg~0 , dac|shift_reg~0, ex15, 1
+instance = comp, \dac|shift_reg[15] , dac|shift_reg[15], ex15, 1
+instance = comp, \dac|Equal2~0 , dac|Equal2~0, ex15, 1
+instance = comp, \dac|dac_sck , dac|dac_sck, ex15, 1
+instance = comp, \SPI_ADC|state[2]~DUPLICATE , SPI_ADC|state[2]~DUPLICATE, ex15, 1
+instance = comp, \SPI_ADC|Selector6~0 , SPI_ADC|Selector6~0, ex15, 1
+instance = comp, \SPI_ADC|adc_din , SPI_ADC|adc_din, ex15, 1
+instance = comp, \SPI_ADC|adc_sck , SPI_ADC|adc_sck, ex15, 1
+instance = comp, \p|count[0] , p|count[0], ex15, 1
+instance = comp, \p|count[0]~0 , p|count[0]~0, ex15, 1
+instance = comp, \p|count[0]~DUPLICATE , p|count[0]~DUPLICATE, ex15, 1
+instance = comp, \p|Add0~33 , p|Add0~33, ex15, 1
+instance = comp, \p|count[1] , p|count[1], ex15, 1
+instance = comp, \p|Add0~29 , p|Add0~29, ex15, 1
+instance = comp, \p|count[2] , p|count[2], ex15, 1
+instance = comp, \p|Add0~25 , p|Add0~25, ex15, 1
+instance = comp, \p|count[3] , p|count[3], ex15, 1
+instance = comp, \p|Add0~21 , p|Add0~21, ex15, 1
+instance = comp, \p|count[4] , p|count[4], ex15, 1
+instance = comp, \p|Add0~17 , p|Add0~17, ex15, 1
+instance = comp, \p|count[5] , p|count[5], ex15, 1
+instance = comp, \p|Add0~13 , p|Add0~13, ex15, 1
+instance = comp, \p|count[6] , p|count[6], ex15, 1
+instance = comp, \p|Add0~9 , p|Add0~9, ex15, 1
+instance = comp, \p|count[7] , p|count[7], ex15, 1
+instance = comp, \p|Add0~5 , p|Add0~5, ex15, 1
+instance = comp, \p|count[8] , p|count[8], ex15, 1
+instance = comp, \p|d[8] , p|d[8], ex15, 1
+instance = comp, \p|d[6] , p|d[6], ex15, 1
+instance = comp, \p|d[7]~feeder , p|d[7]~feeder, ex15, 1
+instance = comp, \p|d[7] , p|d[7], ex15, 1
+instance = comp, \p|LessThan0~1 , p|LessThan0~1, ex15, 1
+instance = comp, \p|d[3] , p|d[3], ex15, 1
+instance = comp, \p|d[4] , p|d[4], ex15, 1
+instance = comp, \p|d[2] , p|d[2], ex15, 1
+instance = comp, \p|d[0]~feeder , p|d[0]~feeder, ex15, 1
+instance = comp, \p|d[0] , p|d[0], ex15, 1
+instance = comp, \p|d[1] , p|d[1], ex15, 1
+instance = comp, \p|LessThan0~2 , p|LessThan0~2, ex15, 1
+instance = comp, \p|LessThan0~3 , p|LessThan0~3, ex15, 1
+instance = comp, \p|d[5] , p|d[5], ex15, 1
+instance = comp, \p|LessThan0~4 , p|LessThan0~4, ex15, 1
+instance = comp, \p|d[9] , p|d[9], ex15, 1
+instance = comp, \p|Add0~1 , p|Add0~1, ex15, 1
+instance = comp, \p|count[9] , p|count[9], ex15, 1
+instance = comp, \p|LessThan0~0 , p|LessThan0~0, ex15, 1
+instance = comp, \p|LessThan0~5 , p|LessThan0~5, ex15, 1
+instance = comp, \p|pwm_out , p|pwm_out, ex15, 1
+instance = comp, \mult|lpm_mult_component|mult_core|romout[1][17]~5 , mult|lpm_mult_component|mult_core|romout[1][17]~5, ex15, 1
+instance = comp, \mult|lpm_mult_component|mult_core|romout[0][17]~4 , mult|lpm_mult_component|mult_core|romout[0][17]~4, ex15, 1
+instance = comp, \mult|lpm_mult_component|mult_core|romout[0][16]~3 , mult|lpm_mult_component|mult_core|romout[0][16]~3, ex15, 1
+instance = comp, \mult|lpm_mult_component|mult_core|romout[0][15]~2 , mult|lpm_mult_component|mult_core|romout[0][15]~2, ex15, 1
+instance = comp, \mult|lpm_mult_component|mult_core|romout[0][14]~1 , mult|lpm_mult_component|mult_core|romout[0][14]~1, ex15, 1
+instance = comp, \mult|lpm_mult_component|mult_core|romout[1][9]~0 , mult|lpm_mult_component|mult_core|romout[1][9]~0, ex15, 1
+instance = comp, \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~70 , mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~70, ex15, 1
+instance = comp, \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~66 , mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~66, ex15, 1
+instance = comp, \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~62 , mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~62, ex15, 1
+instance = comp, \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~58 , mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~58, ex15, 1
+instance = comp, \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~54 , mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~54, ex15, 1
+instance = comp, \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~10 , mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~10, ex15, 1
+instance = comp, \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~1 , mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~1, ex15, 1
+instance = comp, \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~5 , mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~5, ex15, 1
+instance = comp, \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~13 , mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~13, ex15, 1
+instance = comp, \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~17 , mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~17, ex15, 1
+instance = comp, \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~21 , mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~21, ex15, 1
+instance = comp, \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~25 , mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~25, ex15, 1
+instance = comp, \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~29 , mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~29, ex15, 1
+instance = comp, \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~33 , mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~33, ex15, 1
+instance = comp, \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~37 , mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~37, ex15, 1
+instance = comp, \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~41 , mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~41, ex15, 1
+instance = comp, \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~45 , mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~45, ex15, 1
+instance = comp, \mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~49 , mult|lpm_mult_component|mult_core|padder|adder[0]|auto_generated|op_1~49, ex15, 1
+instance = comp, \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~1 , mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~1, ex15, 1
+instance = comp, \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~5 , mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~5, ex15, 1
+instance = comp, \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~9 , mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~9, ex15, 1
+instance = comp, \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~13 , mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~13, ex15, 1
+instance = comp, \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~17 , mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~17, ex15, 1
+instance = comp, \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~21 , mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~21, ex15, 1
+instance = comp, \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~25 , mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~25, ex15, 1
+instance = comp, \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~29 , mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~29, ex15, 1
+instance = comp, \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~33 , mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~33, ex15, 1
+instance = comp, \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~37 , mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~37, ex15, 1
+instance = comp, \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~41 , mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~41, ex15, 1
+instance = comp, \mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~45 , mult|lpm_mult_component|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~45, ex15, 1
+instance = comp, \bcd|A2|WideOr1~0 , bcd|A2|WideOr1~0, ex15, 1
+instance = comp, \bcd|A2|WideOr3~0 , bcd|A2|WideOr3~0, ex15, 1
+instance = comp, \bcd|A2|WideOr2~0 , bcd|A2|WideOr2~0, ex15, 1
+instance = comp, \bcd|A4|WideOr2~0 , bcd|A4|WideOr2~0, ex15, 1
+instance = comp, \bcd|A4|WideOr1~0 , bcd|A4|WideOr1~0, ex15, 1
+instance = comp, \bcd|A4|WideOr3~0 , bcd|A4|WideOr3~0, ex15, 1
+instance = comp, \bcd|A6|WideOr1~0 , bcd|A6|WideOr1~0, ex15, 1
+instance = comp, \bcd|A6|WideOr2~0 , bcd|A6|WideOr2~0, ex15, 1
+instance = comp, \bcd|A6|WideOr3~0 , bcd|A6|WideOr3~0, ex15, 1
+instance = comp, \bcd|A8|WideOr3~0 , bcd|A8|WideOr3~0, ex15, 1
+instance = comp, \bcd|A8|WideOr2~0 , bcd|A8|WideOr2~0, ex15, 1
+instance = comp, \bcd|A8|WideOr1~0 , bcd|A8|WideOr1~0, ex15, 1
+instance = comp, \bcd|A11|WideOr3~0 , bcd|A11|WideOr3~0, ex15, 1
+instance = comp, \bcd|A11|WideOr1~0 , bcd|A11|WideOr1~0, ex15, 1
+instance = comp, \bcd|A11|WideOr2~0 , bcd|A11|WideOr2~0, ex15, 1
+instance = comp, \bcd|A14|WideOr1~0 , bcd|A14|WideOr1~0, ex15, 1
+instance = comp, \bcd|A14|WideOr3~0 , bcd|A14|WideOr3~0, ex15, 1
+instance = comp, \bcd|A14|WideOr2~0 , bcd|A14|WideOr2~0, ex15, 1
+instance = comp, \bcd|A17|WideOr1~0 , bcd|A17|WideOr1~0, ex15, 1
+instance = comp, \bcd|A17|WideOr3~0 , bcd|A17|WideOr3~0, ex15, 1
+instance = comp, \bcd|A17|WideOr2~0 , bcd|A17|WideOr2~0, ex15, 1
+instance = comp, \bcd|A21|WideOr3~0 , bcd|A21|WideOr3~0, ex15, 1
+instance = comp, \bcd|A21|WideOr1~0 , bcd|A21|WideOr1~0, ex15, 1
+instance = comp, \bcd|A21|WideOr2~0 , bcd|A21|WideOr2~0, ex15, 1
+instance = comp, \bcd|A25|WideOr3~0 , bcd|A25|WideOr3~0, ex15, 1
+instance = comp, \bcd|A25|WideOr1~0 , bcd|A25|WideOr1~0, ex15, 1
+instance = comp, \bcd|A25|WideOr2~0 , bcd|A25|WideOr2~0, ex15, 1
+instance = comp, \bcd|A29|WideOr1~0 , bcd|A29|WideOr1~0, ex15, 1
+instance = comp, \bcd|A29|WideOr2~0 , bcd|A29|WideOr2~0, ex15, 1
+instance = comp, \bcd|A29|WideOr3~0 , bcd|A29|WideOr3~0, ex15, 1
+instance = comp, \h0|WideOr6~0 , h0|WideOr6~0, ex15, 1
+instance = comp, \h0|WideOr5~0 , h0|WideOr5~0, ex15, 1
+instance = comp, \h0|WideOr4~0 , h0|WideOr4~0, ex15, 1
+instance = comp, \h0|WideOr3~0 , h0|WideOr3~0, ex15, 1
+instance = comp, \h0|WideOr2~0 , h0|WideOr2~0, ex15, 1
+instance = comp, \h0|WideOr1~0 , h0|WideOr1~0, ex15, 1
+instance = comp, \h0|WideOr0~0 , h0|WideOr0~0, ex15, 1
+instance = comp, \bcd|A25|WideOr0~0 , bcd|A25|WideOr0~0, ex15, 1
+instance = comp, \bcd|A17|WideOr0~0 , bcd|A17|WideOr0~0, ex15, 1
+instance = comp, \bcd|A14|WideOr0~0 , bcd|A14|WideOr0~0, ex15, 1
+instance = comp, \bcd|A7|WideOr2~0 , bcd|A7|WideOr2~0, ex15, 1
+instance = comp, \bcd|A7|WideOr3~0 , bcd|A7|WideOr3~0, ex15, 1
+instance = comp, \bcd|A8|WideOr0~0 , bcd|A8|WideOr0~0, ex15, 1
+instance = comp, \bcd|A7|WideOr1~0 , bcd|A7|WideOr1~0, ex15, 1
+instance = comp, \bcd|A10|WideOr2~0 , bcd|A10|WideOr2~0, ex15, 1
+instance = comp, \bcd|A11|WideOr0~0 , bcd|A11|WideOr0~0, ex15, 1
+instance = comp, \bcd|A10|WideOr1~0 , bcd|A10|WideOr1~0, ex15, 1
+instance = comp, \bcd|A10|WideOr3~0 , bcd|A10|WideOr3~0, ex15, 1
+instance = comp, \bcd|A13|WideOr1~0 , bcd|A13|WideOr1~0, ex15, 1
+instance = comp, \bcd|A13|WideOr2~0 , bcd|A13|WideOr2~0, ex15, 1
+instance = comp, \bcd|A13|WideOr3~0 , bcd|A13|WideOr3~0, ex15, 1
+instance = comp, \bcd|A16|WideOr1~0 , bcd|A16|WideOr1~0, ex15, 1
+instance = comp, \bcd|A16|WideOr3~0 , bcd|A16|WideOr3~0, ex15, 1
+instance = comp, \bcd|A16|WideOr2~0 , bcd|A16|WideOr2~0, ex15, 1
+instance = comp, \bcd|A20|WideOr3~0 , bcd|A20|WideOr3~0, ex15, 1
+instance = comp, \bcd|A20|WideOr2~0 , bcd|A20|WideOr2~0, ex15, 1
+instance = comp, \bcd|A21|WideOr0~0 , bcd|A21|WideOr0~0, ex15, 1
+instance = comp, \bcd|A20|WideOr1~0 , bcd|A20|WideOr1~0, ex15, 1
+instance = comp, \bcd|A24|WideOr1~0 , bcd|A24|WideOr1~0, ex15, 1
+instance = comp, \bcd|A24|WideOr2~0 , bcd|A24|WideOr2~0, ex15, 1
+instance = comp, \bcd|A24|WideOr3~0 , bcd|A24|WideOr3~0, ex15, 1
+instance = comp, \bcd|A28|WideOr3~0 , bcd|A28|WideOr3~0, ex15, 1
+instance = comp, \bcd|A28|WideOr2~0 , bcd|A28|WideOr2~0, ex15, 1
+instance = comp, \bcd|A29|WideOr0~0 , bcd|A29|WideOr0~0, ex15, 1
+instance = comp, \bcd|A28|WideOr1~0 , bcd|A28|WideOr1~0, ex15, 1
+instance = comp, \h1|WideOr6~0 , h1|WideOr6~0, ex15, 1
+instance = comp, \h1|WideOr5~0 , h1|WideOr5~0, ex15, 1
+instance = comp, \h1|WideOr4~0 , h1|WideOr4~0, ex15, 1
+instance = comp, \h1|WideOr3~0 , h1|WideOr3~0, ex15, 1
+instance = comp, \h1|WideOr2~0 , h1|WideOr2~0, ex15, 1
+instance = comp, \h1|WideOr1~0 , h1|WideOr1~0, ex15, 1
+instance = comp, \h1|WideOr0~0 , h1|WideOr0~0, ex15, 1
+instance = comp, \bcd|A6|WideOr0~0 , bcd|A6|WideOr0~0, ex15, 1
+instance = comp, \bcd|A1|WideOr0~0 , bcd|A1|WideOr0~0, ex15, 1
+instance = comp, \bcd|A2|WideOr0~0 , bcd|A2|WideOr0~0, ex15, 1
+instance = comp, \bcd|A4|WideOr0~0 , bcd|A4|WideOr0~0, ex15, 1
+instance = comp, \bcd|A15|WideOr1~0 , bcd|A15|WideOr1~0, ex15, 1
+instance = comp, \bcd|A16|WideOr0~0 , bcd|A16|WideOr0~0, ex15, 1
+instance = comp, \bcd|A15|WideOr3~0 , bcd|A15|WideOr3~0, ex15, 1
+instance = comp, \bcd|A15|WideOr2~0 , bcd|A15|WideOr2~0, ex15, 1
+instance = comp, \bcd|A19|WideOr1~0 , bcd|A19|WideOr1~0, ex15, 1
+instance = comp, \bcd|A20|WideOr0~0 , bcd|A20|WideOr0~0, ex15, 1
+instance = comp, \bcd|A19|WideOr2~0 , bcd|A19|WideOr2~0, ex15, 1
+instance = comp, \bcd|A19|WideOr3~0 , bcd|A19|WideOr3~0, ex15, 1
+instance = comp, \bcd|A23|WideOr2~0 , bcd|A23|WideOr2~0, ex15, 1
+instance = comp, \bcd|A23|WideOr3~0 , bcd|A23|WideOr3~0, ex15, 1
+instance = comp, \bcd|A23|WideOr1~0 , bcd|A23|WideOr1~0, ex15, 1
+instance = comp, \bcd|A24|WideOr0~0 , bcd|A24|WideOr0~0, ex15, 1
+instance = comp, \bcd|A27|WideOr3~0 , bcd|A27|WideOr3~0, ex15, 1
+instance = comp, \bcd|A28|WideOr0~0 , bcd|A28|WideOr0~0, ex15, 1
+instance = comp, \bcd|A27|WideOr2~0 , bcd|A27|WideOr2~0, ex15, 1
+instance = comp, \bcd|A27|WideOr1~0 , bcd|A27|WideOr1~0, ex15, 1
+instance = comp, \h2|WideOr6~0 , h2|WideOr6~0, ex15, 1
+instance = comp, \h2|WideOr5~0 , h2|WideOr5~0, ex15, 1
+instance = comp, \h2|WideOr4~0 , h2|WideOr4~0, ex15, 1
+instance = comp, \h2|WideOr3~0 , h2|WideOr3~0, ex15, 1
+instance = comp, \h2|WideOr2~0 , h2|WideOr2~0, ex15, 1
+instance = comp, \h2|WideOr1~0 , h2|WideOr1~0, ex15, 1
+instance = comp, \h2|WideOr0~0 , h2|WideOr0~0, ex15, 1
+instance = comp, \bcd|A27|WideOr0~0 , bcd|A27|WideOr0~0, ex15, 1
+instance = comp, \bcd|A23|WideOr0~0 , bcd|A23|WideOr0~0, ex15, 1
+instance = comp, \bcd|A19|WideOr0~0 , bcd|A19|WideOr0~0, ex15, 1
+instance = comp, \bcd|A15|WideOr0~0 , bcd|A15|WideOr0~0, ex15, 1
+instance = comp, \bcd|A10|WideOr0~0 , bcd|A10|WideOr0~0, ex15, 1
+instance = comp, \bcd|A7|WideOr0~0 , bcd|A7|WideOr0~0, ex15, 1
+instance = comp, \bcd|A5|WideOr0~0 , bcd|A5|WideOr0~0, ex15, 1
+instance = comp, \bcd|A12|WideOr0~0 , bcd|A12|WideOr0~0, ex15, 1
+instance = comp, \bcd|A26|Decoder0~0 , bcd|A26|Decoder0~0, ex15, 1
+instance = comp, \bcd|A26|Decoder0~2 , bcd|A26|Decoder0~2, ex15, 1
+instance = comp, \bcd|A26|WideOr2 , bcd|A26|WideOr2, ex15, 1
+instance = comp, \bcd|A26|Decoder0~1 , bcd|A26|Decoder0~1, ex15, 1
+instance = comp, \bcd|A26|WideOr3~0 , bcd|A26|WideOr3~0, ex15, 1
+instance = comp, \bcd|A26|Decoder0~3 , bcd|A26|Decoder0~3, ex15, 1
+instance = comp, \bcd|A26|WideOr1 , bcd|A26|WideOr1, ex15, 1
+instance = comp, \h3|WideOr6~0 , h3|WideOr6~0, ex15, 1
+instance = comp, \h3|WideOr5~0 , h3|WideOr5~0, ex15, 1
+instance = comp, \h3|WideOr4~0 , h3|WideOr4~0, ex15, 1
+instance = comp, \h3|WideOr3~0 , h3|WideOr3~0, ex15, 1
+instance = comp, \h3|WideOr2~0 , h3|WideOr2~0, ex15, 1
+instance = comp, \h3|WideOr1~0 , h3|WideOr1~0, ex15, 1
+instance = comp, \h3|WideOr0~0 , h3|WideOr0~0, ex15, 1
+instance = comp, \bcd|A26|Decoder0~4 , bcd|A26|Decoder0~4, ex15, 1
+instance = comp, \bcd|A22|WideOr0~0 , bcd|A22|WideOr0~0, ex15, 1
+instance = comp, \h4|Decoder0~0 , h4|Decoder0~0, ex15, 1
+instance = comp, \bcd|A13|WideOr0~0 , bcd|A13|WideOr0~0, ex15, 1
+instance = comp, \h4|Decoder0~2 , h4|Decoder0~2, ex15, 1
+instance = comp, \bcd|A26|WideOr0 , bcd|A26|WideOr0, ex15, 1
+instance = comp, \h4|Decoder0~1 , h4|Decoder0~1, ex15, 1
+instance = comp, \~QUARTUS_CREATED_GND~I , ~QUARTUS_CREATED_GND~I, ex15, 1
diff --git a/part_3/ex15/simulation/modelsim/ex10_run_msim_rtl_verilog.do b/part_3/ex15/simulation/modelsim/ex10_run_msim_rtl_verilog.do
new file mode 100755
index 0000000..281cccf
--- /dev/null
+++ b/part_3/ex15/simulation/modelsim/ex10_run_msim_rtl_verilog.do
@@ -0,0 +1,9 @@
+transcript on
+if {[file exists rtl_work]} {
+ vdel -lib rtl_work -all
+}
+vlib rtl_work
+vmap work rtl_work
+
+vlog -vlog01compat -work work +incdir+C:/New\ folder/ex10/verilog_files {C:/New folder/ex10/verilog_files/spi2dac.v}
+
diff --git a/part_3/ex15/simulation/modelsim/ex10_run_msim_rtl_verilog.do.bak b/part_3/ex15/simulation/modelsim/ex10_run_msim_rtl_verilog.do.bak
new file mode 100755
index 0000000..281cccf
--- /dev/null
+++ b/part_3/ex15/simulation/modelsim/ex10_run_msim_rtl_verilog.do.bak
@@ -0,0 +1,9 @@
+transcript on
+if {[file exists rtl_work]} {
+ vdel -lib rtl_work -all
+}
+vlib rtl_work
+vmap work rtl_work
+
+vlog -vlog01compat -work work +incdir+C:/New\ folder/ex10/verilog_files {C:/New folder/ex10/verilog_files/spi2dac.v}
+
diff --git a/part_3/ex15/simulation/modelsim/modelsim.ini b/part_3/ex15/simulation/modelsim/modelsim.ini
new file mode 100755
index 0000000..3912feb
--- /dev/null
+++ b/part_3/ex15/simulation/modelsim/modelsim.ini
@@ -0,0 +1,324 @@
+; Copyright 1991-2009 Mentor Graphics Corporation
+;
+; All Rights Reserved.
+;
+; THIS WORK CONTAINS TRADE SECRET AND PROPRIETARY INFORMATION WHICH IS THE PROPERTY OF
+; MENTOR GRAPHICS CORPORATION OR ITS LICENSORS AND IS SUBJECT TO LICENSE TERMS.
+;
+
+[Library]
+others = $MODEL_TECH/../modelsim.ini
+
+; Altera Primitive libraries
+;
+; VHDL Section
+;
+;
+; Verilog Section
+;
+
+work = rtl_work
+[vcom]
+; VHDL93 variable selects language version as the default.
+; Default is VHDL-2002.
+; Value of 0 or 1987 for VHDL-1987.
+; Value of 1 or 1993 for VHDL-1993.
+; Default or value of 2 or 2002 for VHDL-2002.
+; Default or value of 3 or 2008 for VHDL-2008.
+VHDL93 = 2002
+
+; Show source line containing error. Default is off.
+; Show_source = 1
+
+; Turn off unbound-component warnings. Default is on.
+; Show_Warning1 = 0
+
+; Turn off process-without-a-wait-statement warnings. Default is on.
+; Show_Warning2 = 0
+
+; Turn off null-range warnings. Default is on.
+; Show_Warning3 = 0
+
+; Turn off no-space-in-time-literal warnings. Default is on.
+; Show_Warning4 = 0
+
+; Turn off multiple-drivers-on-unresolved-signal warnings. Default is on.
+; Show_Warning5 = 0
+
+; Turn off optimization for IEEE std_logic_1164 package. Default is on.
+; Optimize_1164 = 0
+
+; Turn on resolving of ambiguous function overloading in favor of the
+; "explicit" function declaration (not the one automatically created by
+; the compiler for each type declaration). Default is off.
+; The .ini file has Explicit enabled so that std_logic_signed/unsigned
+; will match the behavior of synthesis tools.
+Explicit = 1
+
+; Turn off acceleration of the VITAL packages. Default is to accelerate.
+; NoVital = 1
+
+; Turn off VITAL compliance checking. Default is checking on.
+; NoVitalCheck = 1
+
+; Ignore VITAL compliance checking errors. Default is to not ignore.
+; IgnoreVitalErrors = 1
+
+; Turn off VITAL compliance checking warnings. Default is to show warnings.
+; Show_VitalChecksWarnings = 0
+
+; Keep silent about case statement static warnings.
+; Default is to give a warning.
+; NoCaseStaticError = 1
+
+; Keep silent about warnings caused by aggregates that are not locally static.
+; Default is to give a warning.
+; NoOthersStaticError = 1
+
+; Turn off inclusion of debugging info within design units.
+; Default is to include debugging info.
+; NoDebug = 1
+
+; Turn off "Loading..." messages. Default is messages on.
+; Quiet = 1
+
+; Turn on some limited synthesis rule compliance checking. Checks only:
+; -- signals used (read) by a process must be in the sensitivity list
+; CheckSynthesis = 1
+
+; Activate optimizations on expressions that do not involve signals,
+; waits, or function/procedure/task invocations. Default is off.
+; ScalarOpts = 1
+
+; Require the user to specify a configuration for all bindings,
+; and do not generate a compile time default binding for the
+; component. This will result in an elaboration error of
+; 'component not bound' if the user fails to do so. Avoids the rare
+; issue of a false dependency upon the unused default binding.
+; RequireConfigForAllDefaultBinding = 1
+
+; Inhibit range checking on subscripts of arrays. Range checking on
+; scalars defined with subtypes is inhibited by default.
+; NoIndexCheck = 1
+
+; Inhibit range checks on all (implicit and explicit) assignments to
+; scalar objects defined with subtypes.
+; NoRangeCheck = 1
+
+[vlog]
+
+; Turn off inclusion of debugging info within design units.
+; Default is to include debugging info.
+; NoDebug = 1
+
+; Turn off "loading..." messages. Default is messages on.
+; Quiet = 1
+
+; Turn on Verilog hazard checking (order-dependent accessing of global vars).
+; Default is off.
+; Hazard = 1
+
+; Turn on converting regular Verilog identifiers to uppercase. Allows case
+; insensitivity for module names. Default is no conversion.
+; UpCase = 1
+
+; Turn on incremental compilation of modules. Default is off.
+; Incremental = 1
+
+; Turns on lint-style checking.
+; Show_Lint = 1
+
+[vsim]
+; Simulator resolution
+; Set to fs, ps, ns, us, ms, or sec with optional prefix of 1, 10, or 100.
+Resolution = ps
+
+; User time unit for run commands
+; Set to default, fs, ps, ns, us, ms, or sec. The default is to use the
+; unit specified for Resolution. For example, if Resolution is 100ps,
+; then UserTimeUnit defaults to ps.
+; Should generally be set to default.
+UserTimeUnit = default
+
+; Default run length
+RunLength = 100
+
+; Maximum iterations that can be run without advancing simulation time
+IterationLimit = 5000
+
+; Directive to license manager:
+; vhdl Immediately reserve a VHDL license
+; vlog Immediately reserve a Verilog license
+; plus Immediately reserve a VHDL and Verilog license
+; nomgc Do not look for Mentor Graphics Licenses
+; nomti Do not look for Model Technology Licenses
+; noqueue Do not wait in the license queue when a license isn't available
+; viewsim Try for viewer license but accept simulator license(s) instead
+; of queuing for viewer license
+; License = plus
+
+; Stop the simulator after a VHDL/Verilog assertion message
+; 0 = Note 1 = Warning 2 = Error 3 = Failure 4 = Fatal
+BreakOnAssertion = 3
+
+; Assertion Message Format
+; %S - Severity Level
+; %R - Report Message
+; %T - Time of assertion
+; %D - Delta
+; %I - Instance or Region pathname (if available)
+; %% - print '%' character
+; AssertionFormat = "** %S: %R\n Time: %T Iteration: %D%I\n"
+
+; Assertion File - alternate file for storing VHDL/Verilog assertion messages
+; AssertFile = assert.log
+
+; Default radix for all windows and commands...
+; Set to symbolic, ascii, binary, octal, decimal, hex, unsigned
+DefaultRadix = symbolic
+
+; VSIM Startup command
+; Startup = do startup.do
+
+; File for saving command transcript
+TranscriptFile = transcript
+
+; File for saving command history
+; CommandHistory = cmdhist.log
+
+; Specify whether paths in simulator commands should be described
+; in VHDL or Verilog format.
+; For VHDL, PathSeparator = /
+; For Verilog, PathSeparator = .
+; Must not be the same character as DatasetSeparator.
+PathSeparator = /
+
+; Specify the dataset separator for fully rooted contexts.
+; The default is ':'. For example, sim:/top
+; Must not be the same character as PathSeparator.
+DatasetSeparator = :
+
+; Disable VHDL assertion messages
+; IgnoreNote = 1
+; IgnoreWarning = 1
+; IgnoreError = 1
+; IgnoreFailure = 1
+
+; Default force kind. May be freeze, drive, deposit, or default
+; or in other terms, fixed, wired, or charged.
+; A value of "default" will use the signal kind to determine the
+; force kind, drive for resolved signals, freeze for unresolved signals
+; DefaultForceKind = freeze
+
+; If zero, open files when elaborated; otherwise, open files on
+; first read or write. Default is 0.
+; DelayFileOpen = 1
+
+; Control VHDL files opened for write.
+; 0 = Buffered, 1 = Unbuffered
+UnbufferedOutput = 0
+
+; Control the number of VHDL files open concurrently.
+; This number should always be less than the current ulimit
+; setting for max file descriptors.
+; 0 = unlimited
+ConcurrentFileLimit = 40
+
+; Control the number of hierarchical regions displayed as
+; part of a signal name shown in the Wave window.
+; A value of zero tells VSIM to display the full name.
+; The default is 0.
+; WaveSignalNameWidth = 0
+
+; Turn off warnings from the std_logic_arith, std_logic_unsigned
+; and std_logic_signed packages.
+; StdArithNoWarnings = 1
+
+; Turn off warnings from the IEEE numeric_std and numeric_bit packages.
+; NumericStdNoWarnings = 1
+
+; Control the format of the (VHDL) FOR generate statement label
+; for each iteration. Do not quote it.
+; The format string here must contain the conversion codes %s and %d,
+; in that order, and no other conversion codes. The %s represents
+; the generate_label; the %d represents the generate parameter value
+; at a particular generate iteration (this is the position number if
+; the generate parameter is of an enumeration type). Embedded whitespace
+; is allowed (but discouraged); leading and trailing whitespace is ignored.
+; Application of the format must result in a unique scope name over all
+; such names in the design so that name lookup can function properly.
+; GenerateFormat = %s__%d
+
+; Specify whether checkpoint files should be compressed.
+; The default is 1 (compressed).
+; CheckpointCompressMode = 0
+
+; List of dynamically loaded objects for Verilog PLI applications
+; Veriuser = veriuser.sl
+
+; Specify default options for the restart command. Options can be one
+; or more of: -force -nobreakpoint -nolist -nolog -nowave
+; DefaultRestartOptions = -force
+
+; HP-UX 10.20 ONLY - Enable memory locking to speed up large designs
+; (> 500 megabyte memory footprint). Default is disabled.
+; Specify number of megabytes to lock.
+; LockedMemory = 1000
+
+; Turn on (1) or off (0) WLF file compression.
+; The default is 1 (compress WLF file).
+; WLFCompress = 0
+
+; Specify whether to save all design hierarchy (1) in the WLF file
+; or only regions containing logged signals (0).
+; The default is 0 (save only regions with logged signals).
+; WLFSaveAllRegions = 1
+
+; WLF file time limit. Limit WLF file by time, as closely as possible,
+; to the specified amount of simulation time. When the limit is exceeded
+; the earliest times get truncated from the file.
+; If both time and size limits are specified the most restrictive is used.
+; UserTimeUnits are used if time units are not specified.
+; The default is 0 (no limit). Example: WLFTimeLimit = {100 ms}
+; WLFTimeLimit = 0
+
+; WLF file size limit. Limit WLF file size, as closely as possible,
+; to the specified number of megabytes. If both time and size limits
+; are specified then the most restrictive is used.
+; The default is 0 (no limit).
+; WLFSizeLimit = 1000
+
+; Specify whether or not a WLF file should be deleted when the
+; simulation ends. A value of 1 will cause the WLF file to be deleted.
+; The default is 0 (do not delete WLF file when simulation ends).
+; WLFDeleteOnQuit = 1
+
+; Automatic SDF compilation
+; Disables automatic compilation of SDF files in flows that support it.
+; Default is on, uncomment to turn off.
+; NoAutoSDFCompile = 1
+
+[lmc]
+
+[msg_system]
+; Change a message severity or suppress a message.
+; The format is: <msg directive> = <msg number>[,<msg number>...]
+; Examples:
+; note = 3009
+; warning = 3033
+; error = 3010,3016
+; fatal = 3016,3033
+; suppress = 3009,3016,3043
+; The command verror <msg number> can be used to get the complete
+; description of a message.
+
+; Control transcripting of elaboration/runtime messages.
+; The default is to have messages appear in the transcript and
+; recorded in the wlf file (messages that are recorded in the
+; wlf file can be viewed in the MsgViewer). The other settings
+; are to send messages only to the transcript or only to the
+; wlf file. The valid values are
+; both {default}
+; tran {transcript only}
+; wlf {wlf file only}
+; msgmode = both
diff --git a/part_3/ex15/simulation/modelsim/msim_transcript b/part_3/ex15/simulation/modelsim/msim_transcript
new file mode 100755
index 0000000..cb744ab
--- /dev/null
+++ b/part_3/ex15/simulation/modelsim/msim_transcript
@@ -0,0 +1,20 @@
+# Reading C:/altera/13.0sp1/modelsim_ase/tcl/vsim/pref.tcl
+# do ex10_run_msim_rtl_verilog.do
+# if {[file exists rtl_work]} {
+# vdel -lib rtl_work -all
+# }
+# vlib rtl_work
+# vmap work rtl_work
+# Copying C:\altera\13.0sp1\modelsim_ase\win32aloem/../modelsim.ini to modelsim.ini
+# Modifying modelsim.ini
+# ** Warning: Copied C:\altera\13.0sp1\modelsim_ase\win32aloem/../modelsim.ini to modelsim.ini.
+# Updated modelsim.ini.
+#
+# vlog -vlog01compat -work work +incdir+C:/New\ folder/ex10/verilog_files {C:/New folder/ex10/verilog_files/spi2dac.v}
+# Model Technology ModelSim ALTERA vlog 10.1d Compiler 2012.11 Nov 2 2012
+# -- Compiling module spi2dac
+#
+# Top level modules:
+# spi2dac
+#
+# Load canceled
diff --git a/part_3/ex15/simulation/modelsim/rtl_work/_info b/part_3/ex15/simulation/modelsim/rtl_work/_info
new file mode 100755
index 0000000..499bdd4
--- /dev/null
+++ b/part_3/ex15/simulation/modelsim/rtl_work/_info
@@ -0,0 +1,25 @@
+m255
+K3
+13
+cModel Technology
+Z0 dC:\New folder\ex10\simulation\modelsim
+vspi2dac
+!i10b 1
+!s100 Yc_:?1WP<4LKj7cQXiUbl1
+IzTNjHgWKkeSFYc0]WM5Gm2
+VFNOGDa=aYhJTn=76LYB@A2
+Z1 dC:\New folder\ex10\simulation\modelsim
+w1478805578
+8C:/New folder/ex10/verilog_files/spi2dac.v
+FC:/New folder/ex10/verilog_files/spi2dac.v
+L0 9
+OV;L;10.1d;51
+r1
+!s85 0
+31
+!s108 1480413939.783000
+!s107 C:/New folder/ex10/verilog_files/spi2dac.v|
+!s90 -reportprogress|300|-vlog01compat|-work|work|+incdir+C:/New folder/ex10/verilog_files|C:/New folder/ex10/verilog_files/spi2dac.v|
+!s101 -O0
+o-vlog01compat -work work -O0
+!s92 -vlog01compat -work work {+incdir+C:/New folder/ex10/verilog_files} -O0
diff --git a/part_3/ex15/simulation/modelsim/rtl_work/_vmake b/part_3/ex15/simulation/modelsim/rtl_work/_vmake
new file mode 100755
index 0000000..2f7e729
--- /dev/null
+++ b/part_3/ex15/simulation/modelsim/rtl_work/_vmake
@@ -0,0 +1,3 @@
+m255
+K3
+cModel Technology
diff --git a/part_3/ex15/simulation/modelsim/rtl_work/spi2dac/_primary.dat b/part_3/ex15/simulation/modelsim/rtl_work/spi2dac/_primary.dat
new file mode 100755
index 0000000..a728b27
--- /dev/null
+++ b/part_3/ex15/simulation/modelsim/rtl_work/spi2dac/_primary.dat
Binary files differ
diff --git a/part_3/ex15/simulation/modelsim/rtl_work/spi2dac/_primary.dbs b/part_3/ex15/simulation/modelsim/rtl_work/spi2dac/_primary.dbs
new file mode 100755
index 0000000..740ad04
--- /dev/null
+++ b/part_3/ex15/simulation/modelsim/rtl_work/spi2dac/_primary.dbs
Binary files differ
diff --git a/part_3/ex15/simulation/modelsim/rtl_work/spi2dac/_primary.vhd b/part_3/ex15/simulation/modelsim/rtl_work/spi2dac/_primary.vhd
new file mode 100755
index 0000000..e874ed3
--- /dev/null
+++ b/part_3/ex15/simulation/modelsim/rtl_work/spi2dac/_primary.vhd
@@ -0,0 +1,30 @@
+library verilog;
+use verilog.vl_types.all;
+entity spi2dac is
+ generic(
+ BUF : vl_logic := Hi1;
+ GA_N : vl_logic := Hi1;
+ SHDN_N : vl_logic := Hi1;
+ TC : vl_logic_vector(0 to 4) := (Hi1, Hi1, Hi0, Hi0, Hi0);
+ IDLE : vl_logic_vector(0 to 1) := (Hi0, Hi0);
+ WAIT_CSB_FALL : vl_logic_vector(0 to 1) := (Hi0, Hi1);
+ WAIT_CSB_HIGH : vl_logic_vector(0 to 1) := (Hi1, Hi0)
+ );
+ port(
+ sysclk : in vl_logic;
+ data_in : in vl_logic_vector(9 downto 0);
+ load : in vl_logic;
+ dac_sdi : out vl_logic;
+ dac_cs : out vl_logic;
+ dac_sck : out vl_logic;
+ dac_ld : out vl_logic
+ );
+ attribute mti_svvh_generic_type : integer;
+ attribute mti_svvh_generic_type of BUF : constant is 1;
+ attribute mti_svvh_generic_type of GA_N : constant is 1;
+ attribute mti_svvh_generic_type of SHDN_N : constant is 1;
+ attribute mti_svvh_generic_type of TC : constant is 1;
+ attribute mti_svvh_generic_type of IDLE : constant is 1;
+ attribute mti_svvh_generic_type of WAIT_CSB_FALL : constant is 1;
+ attribute mti_svvh_generic_type of WAIT_CSB_HIGH : constant is 1;
+end spi2dac;
diff --git a/part_3/ex15/simulation/modelsim/rtl_work/spi2dac/verilog.prw b/part_3/ex15/simulation/modelsim/rtl_work/spi2dac/verilog.prw
new file mode 100755
index 0000000..ca1d7f3
--- /dev/null
+++ b/part_3/ex15/simulation/modelsim/rtl_work/spi2dac/verilog.prw
Binary files differ
diff --git a/part_3/ex15/simulation/modelsim/rtl_work/spi2dac/verilog.psm b/part_3/ex15/simulation/modelsim/rtl_work/spi2dac/verilog.psm
new file mode 100755
index 0000000..97c417f
--- /dev/null
+++ b/part_3/ex15/simulation/modelsim/rtl_work/spi2dac/verilog.psm
Binary files differ
diff --git a/part_3/ex15/simulation/modelsim/vsim.wlf b/part_3/ex15/simulation/modelsim/vsim.wlf
new file mode 100755
index 0000000..54e1dca
--- /dev/null
+++ b/part_3/ex15/simulation/modelsim/vsim.wlf
Binary files differ