summaryrefslogtreecommitdiffstats
path: root/part_4/ex18/db/ex18.lpc.txt
diff options
context:
space:
mode:
Diffstat (limited to 'part_4/ex18/db/ex18.lpc.txt')
-rwxr-xr-xpart_4/ex18/db/ex18.lpc.txt24
1 files changed, 24 insertions, 0 deletions
diff --git a/part_4/ex18/db/ex18.lpc.txt b/part_4/ex18/db/ex18.lpc.txt
new file mode 100755
index 0000000..c8da36d
--- /dev/null
+++ b/part_4/ex18/db/ex18.lpc.txt
@@ -0,0 +1,24 @@
++---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
+; Legal Partition Candidates ;
++----------------------------------------------------------------------------+-------+----------------+--------------+----------------+--------+-----------------+---------------+-----------------+-------+----------------+--------------+------------------+-------------------+
+; Hierarchy ; Input ; Constant Input ; Unused Input ; Floating Input ; Output ; Constant Output ; Unused Output ; Floating Output ; Bidir ; Constant Bidir ; Unused Bidir ; Input only Bidir ; Output only Bidir ;
++----------------------------------------------------------------------------+-------+----------------+--------------+----------------+--------+-----------------+---------------+-----------------+-------+----------------+--------------+------------------+-------------------+
+; SEG2 ; 4 ; 2 ; 0 ; 2 ; 7 ; 2 ; 2 ; 2 ; 0 ; 0 ; 0 ; 0 ; 0 ;
+; SEG1 ; 4 ; 0 ; 0 ; 0 ; 7 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ;
+; SEG0 ; 4 ; 0 ; 0 ; 0 ; 7 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ;
+; ALLPASS|comb_5 ; 10 ; 0 ; 1 ; 0 ; 10 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ;
+; ALLPASS|d ; 2 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ;
+; ALLPASS|fifo|scfifo_component|auto_generated|dpfifo|wr_ptr ; 4 ; 0 ; 0 ; 0 ; 13 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ;
+; ALLPASS|fifo|scfifo_component|auto_generated|dpfifo|rd_ptr_count ; 4 ; 0 ; 0 ; 0 ; 13 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ;
+; ALLPASS|fifo|scfifo_component|auto_generated|dpfifo|FIFOram ; 40 ; 0 ; 0 ; 0 ; 10 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ;
+; ALLPASS|fifo|scfifo_component|auto_generated|dpfifo|fifo_state|count_usedw ; 5 ; 0 ; 0 ; 0 ; 13 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ;
+; ALLPASS|fifo|scfifo_component|auto_generated|dpfifo|fifo_state ; 5 ; 0 ; 0 ; 0 ; 2 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ;
+; ALLPASS|fifo|scfifo_component|auto_generated|dpfifo ; 14 ; 0 ; 0 ; 0 ; 11 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ;
+; ALLPASS|fifo|scfifo_component|auto_generated ; 13 ; 0 ; 0 ; 0 ; 11 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ;
+; ALLPASS|fifo ; 13 ; 0 ; 0 ; 0 ; 11 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ;
+; ALLPASS ; 12 ; 0 ; 0 ; 0 ; 10 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ;
+; SPI_ADC ; 4 ; 1 ; 0 ; 1 ; 14 ; 1 ; 1 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ;
+; PWM_DC ; 12 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ;
+; SPI_DAC ; 12 ; 0 ; 0 ; 0 ; 4 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ;
+; GEN_10K ; 18 ; 17 ; 0 ; 17 ; 1 ; 17 ; 17 ; 17 ; 0 ; 0 ; 0 ; 0 ; 0 ;
++----------------------------------------------------------------------------+-------+----------------+--------------+----------------+--------+-----------------+---------------+-----------------+-------+----------------+--------------+------------------+-------------------+