summaryrefslogtreecommitdiffstats
path: root/part_4/ex19/output_files/ex19.map.rpt
diff options
context:
space:
mode:
Diffstat (limited to 'part_4/ex19/output_files/ex19.map.rpt')
-rwxr-xr-xpart_4/ex19/output_files/ex19.map.rpt970
1 files changed, 970 insertions, 0 deletions
diff --git a/part_4/ex19/output_files/ex19.map.rpt b/part_4/ex19/output_files/ex19.map.rpt
new file mode 100755
index 0000000..0a43044
--- /dev/null
+++ b/part_4/ex19/output_files/ex19.map.rpt
@@ -0,0 +1,970 @@
+Analysis & Synthesis report for ex19
+Tue Dec 06 09:46:29 2016
+Quartus Prime Version 16.0.0 Build 211 04/27/2016 SJ Standard Edition
+
+
+---------------------
+; Table of Contents ;
+---------------------
+ 1. Legal Notice
+ 2. Analysis & Synthesis Summary
+ 3. Analysis & Synthesis Settings
+ 4. Parallel Compilation
+ 5. Analysis & Synthesis Source Files Read
+ 6. Analysis & Synthesis Resource Usage Summary
+ 7. Analysis & Synthesis Resource Utilization by Entity
+ 8. Analysis & Synthesis RAM Summary
+ 9. Analysis & Synthesis IP Cores Summary
+ 10. State Machine - |ex19|spi2adc:SPI_ADC|sr_state
+ 11. State Machine - |ex19|spi2dac:SPI_DAC|sr_state
+ 12. Registers Removed During Synthesis
+ 13. Removed Registers Triggering Further Register Optimizations
+ 14. General Register Statistics
+ 15. Inverted Register Statistics
+ 16. Source assignments for processor:echo_var_delay|delay_block:del|altsyncram:altsyncram_component|altsyncram_nm22:auto_generated
+ 17. Parameter Settings for User Entity Instance: clktick_16:GEN_10K
+ 18. Parameter Settings for User Entity Instance: spi2dac:SPI_DAC
+ 19. Parameter Settings for User Entity Instance: spi2adc:SPI_ADC
+ 20. Parameter Settings for User Entity Instance: processor:echo_var_delay
+ 21. Parameter Settings for User Entity Instance: processor:echo_var_delay|ctr_13_bit:ctr|lpm_counter:LPM_COUNTER_component
+ 22. Parameter Settings for User Entity Instance: processor:echo_var_delay|delay_block:del|altsyncram:altsyncram_component
+ 23. Parameter Settings for User Entity Instance: processor:echo_var_delay|mult_by_h666:mul_by_h666|lpm_mult:lpm_mult_component
+ 24. altsyncram Parameter Settings by Entity Instance
+ 25. lpm_mult Parameter Settings by Entity Instance
+ 26. Port Connectivity Checks: "processor:echo_var_delay|bin2bcd_16:bcd|add3_ge5:A31"
+ 27. Port Connectivity Checks: "processor:echo_var_delay|bin2bcd_16:bcd|add3_ge5:A19"
+ 28. Port Connectivity Checks: "processor:echo_var_delay|bin2bcd_16:bcd|add3_ge5:A10"
+ 29. Port Connectivity Checks: "processor:echo_var_delay|bin2bcd_16:bcd|add3_ge5:A4"
+ 30. Port Connectivity Checks: "processor:echo_var_delay|bin2bcd_16:bcd|add3_ge5:A1"
+ 31. Port Connectivity Checks: "processor:echo_var_delay|bin2bcd_16:bcd"
+ 32. Port Connectivity Checks: "processor:echo_var_delay|mult_by_h666:mul_by_h666"
+ 33. Port Connectivity Checks: "processor:echo_var_delay|div_by_2:comb_6"
+ 34. Port Connectivity Checks: "processor:echo_var_delay|delay_block:del"
+ 35. Port Connectivity Checks: "spi2adc:SPI_ADC"
+ 36. Port Connectivity Checks: "clktick_16:GEN_10K"
+ 37. Post-Synthesis Netlist Statistics for Top Partition
+ 38. Elapsed Time Per Partition
+ 39. Analysis & Synthesis Messages
+ 40. Analysis & Synthesis Suppressed Messages
+
+
+
+----------------
+; Legal Notice ;
+----------------
+Copyright (C) 1991-2016 Altera Corporation. All rights reserved.
+Your use of Altera Corporation's design tools, logic functions
+and other software and tools, and its AMPP partner logic
+functions, and any output files from any of the foregoing
+(including device programming or simulation files), and any
+associated documentation or information are expressly subject
+to the terms and conditions of the Altera Program License
+Subscription Agreement, the Altera Quartus Prime License Agreement,
+the Altera MegaCore Function License Agreement, or other
+applicable license agreement, including, without limitation,
+that your use is for the sole purpose of programming logic
+devices manufactured by Altera and sold by Altera or its
+authorized distributors. Please refer to the applicable
+agreement for further details.
+
+
+
++-----------------------------------------------------------------------------------+
+; Analysis & Synthesis Summary ;
++---------------------------------+-------------------------------------------------+
+; Analysis & Synthesis Status ; Successful - Tue Dec 06 09:46:29 2016 ;
+; Quartus Prime Version ; 16.0.0 Build 211 04/27/2016 SJ Standard Edition ;
+; Revision Name ; ex19 ;
+; Top-level Entity Name ; ex19 ;
+; Family ; Cyclone V ;
+; Logic utilization (in ALMs) ; N/A ;
+; Total registers ; 126 ;
+; Total pins ; 55 ;
+; Total virtual pins ; 0 ;
+; Total block memory bits ; 65,536 ;
+; Total DSP Blocks ; 0 ;
+; Total HSSI RX PCSs ; 0 ;
+; Total HSSI PMA RX Deserializers ; 0 ;
+; Total HSSI TX PCSs ; 0 ;
+; Total HSSI PMA TX Serializers ; 0 ;
+; Total PLLs ; 0 ;
+; Total DLLs ; 0 ;
++---------------------------------+-------------------------------------------------+
+
+
++---------------------------------------------------------------------------------------------------------------------------+
+; Analysis & Synthesis Settings ;
++---------------------------------------------------------------------------------+--------------------+--------------------+
+; Option ; Setting ; Default Value ;
++---------------------------------------------------------------------------------+--------------------+--------------------+
+; Device ; 5CSEMA5F31C6 ; ;
+; Top-level entity name ; ex19 ; ex19 ;
+; Family name ; Cyclone V ; Cyclone V ;
+; Use smart compilation ; Off ; Off ;
+; Enable parallel Assembler and TimeQuest Timing Analyzer during compilation ; On ; On ;
+; Enable compact report table ; Off ; Off ;
+; Restructure Multiplexers ; Auto ; Auto ;
+; MLAB Add Timing Constraints For Mixed-Port Feed-Through Mode Setting Don't Care ; Off ; Off ;
+; Create Debugging Nodes for IP Cores ; Off ; Off ;
+; Preserve fewer node names ; On ; On ;
+; Disable OpenCore Plus hardware evaluation ; Off ; Off ;
+; Verilog Version ; Verilog_2001 ; Verilog_2001 ;
+; VHDL Version ; VHDL_1993 ; VHDL_1993 ;
+; State Machine Processing ; Auto ; Auto ;
+; Safe State Machine ; Off ; Off ;
+; Extract Verilog State Machines ; On ; On ;
+; Extract VHDL State Machines ; On ; On ;
+; Ignore Verilog initial constructs ; Off ; Off ;
+; Iteration limit for constant Verilog loops ; 5000 ; 5000 ;
+; Iteration limit for non-constant Verilog loops ; 250 ; 250 ;
+; Add Pass-Through Logic to Inferred RAMs ; On ; On ;
+; Infer RAMs from Raw Logic ; On ; On ;
+; Parallel Synthesis ; On ; On ;
+; DSP Block Balancing ; Auto ; Auto ;
+; NOT Gate Push-Back ; On ; On ;
+; Power-Up Don't Care ; On ; On ;
+; Remove Redundant Logic Cells ; Off ; Off ;
+; Remove Duplicate Registers ; On ; On ;
+; Ignore CARRY Buffers ; Off ; Off ;
+; Ignore CASCADE Buffers ; Off ; Off ;
+; Ignore GLOBAL Buffers ; Off ; Off ;
+; Ignore ROW GLOBAL Buffers ; Off ; Off ;
+; Ignore LCELL Buffers ; Off ; Off ;
+; Ignore SOFT Buffers ; On ; On ;
+; Limit AHDL Integers to 32 Bits ; Off ; Off ;
+; Optimization Technique ; Balanced ; Balanced ;
+; Carry Chain Length ; 70 ; 70 ;
+; Auto Carry Chains ; On ; On ;
+; Auto Open-Drain Pins ; On ; On ;
+; Perform WYSIWYG Primitive Resynthesis ; Off ; Off ;
+; Auto ROM Replacement ; On ; On ;
+; Auto RAM Replacement ; On ; On ;
+; Auto DSP Block Replacement ; On ; On ;
+; Auto Shift Register Replacement ; Auto ; Auto ;
+; Allow Shift Register Merging across Hierarchies ; Auto ; Auto ;
+; Auto Clock Enable Replacement ; On ; On ;
+; Strict RAM Replacement ; Off ; Off ;
+; Allow Synchronous Control Signals ; On ; On ;
+; Force Use of Synchronous Clear Signals ; Off ; Off ;
+; Auto Resource Sharing ; Off ; Off ;
+; Allow Any RAM Size For Recognition ; Off ; Off ;
+; Allow Any ROM Size For Recognition ; Off ; Off ;
+; Allow Any Shift Register Size For Recognition ; Off ; Off ;
+; Use LogicLock Constraints during Resource Balancing ; On ; On ;
+; Ignore translate_off and synthesis_off directives ; Off ; Off ;
+; Timing-Driven Synthesis ; On ; On ;
+; Report Parameter Settings ; On ; On ;
+; Report Source Assignments ; On ; On ;
+; Report Connectivity Checks ; On ; On ;
+; Ignore Maximum Fan-Out Assignments ; Off ; Off ;
+; Synchronization Register Chain Length ; 3 ; 3 ;
+; PowerPlay Power Optimization During Synthesis ; Normal compilation ; Normal compilation ;
+; HDL message level ; Level2 ; Level2 ;
+; Suppress Register Optimization Related Messages ; Off ; Off ;
+; Number of Removed Registers Reported in Synthesis Report ; 5000 ; 5000 ;
+; Number of Swept Nodes Reported in Synthesis Report ; 5000 ; 5000 ;
+; Number of Inverted Registers Reported in Synthesis Report ; 100 ; 100 ;
+; Clock MUX Protection ; On ; On ;
+; Auto Gated Clock Conversion ; Off ; Off ;
+; Block Design Naming ; Auto ; Auto ;
+; SDC constraint protection ; Off ; Off ;
+; Synthesis Effort ; Auto ; Auto ;
+; Shift Register Replacement - Allow Asynchronous Clear Signal ; On ; On ;
+; Pre-Mapping Resynthesis Optimization ; Off ; Off ;
+; Analysis & Synthesis Message Level ; Medium ; Medium ;
+; Disable Register Merging Across Hierarchies ; Auto ; Auto ;
+; Resource Aware Inference For Block RAM ; On ; On ;
+; Synthesis Seed ; 1 ; 1 ;
+; Automatic Parallel Synthesis ; On ; On ;
+; Partial Reconfiguration Bitstream ID ; Off ; Off ;
++---------------------------------------------------------------------------------+--------------------+--------------------+
+
+
++------------------------------------------+
+; Parallel Compilation ;
++----------------------------+-------------+
+; Processors ; Number ;
++----------------------------+-------------+
+; Number detected on machine ; 8 ;
+; Maximum allowed ; 4 ;
+; ; ;
+; Average used ; 1.00 ;
+; Maximum used ; 4 ;
+; ; ;
+; Usage by Processor ; % Time Used ;
+; Processor 1 ; 100.0% ;
+; Processors 2-4 ; 0.0% ;
++----------------------------+-------------+
+
+
++----------------------------------------------------------------------------------------------------------------------------------------------------------------------+
+; Analysis & Synthesis Source Files Read ;
++----------------------------------+-----------------+------------------------------+------------------------------------------------------------------------+---------+
+; File Name with User-Entered Path ; Used in Netlist ; File Type ; File Name with Absolute Path ; Library ;
++----------------------------------+-----------------+------------------------------+------------------------------------------------------------------------+---------+
+; verilog_files/variable_echo.v ; yes ; User Verilog HDL File ; C:/New folder/ex19/verilog_files/variable_echo.v ; ;
+; verilog_files/spi2dac.v ; yes ; User Verilog HDL File ; C:/New folder/ex19/verilog_files/spi2dac.v ; ;
+; verilog_files/spi2adc.v ; yes ; User Verilog HDL File ; C:/New folder/ex19/verilog_files/spi2adc.v ; ;
+; verilog_files/pwm.v ; yes ; User Verilog HDL File ; C:/New folder/ex19/verilog_files/pwm.v ; ;
+; verilog_files/hex_to_7seg.v ; yes ; User Verilog HDL File ; C:/New folder/ex19/verilog_files/hex_to_7seg.v ; ;
+; verilog_files/div_by_2.v ; yes ; User Verilog HDL File ; C:/New folder/ex19/verilog_files/div_by_2.v ; ;
+; verilog_files/clktick_16.v ; yes ; User Verilog HDL File ; C:/New folder/ex19/verilog_files/clktick_16.v ; ;
+; verilog_files/bin2bcd_16.v ; yes ; User Verilog HDL File ; C:/New folder/ex19/verilog_files/bin2bcd_16.v ; ;
+; verilog_files/add3_ge5.v ; yes ; User Verilog HDL File ; C:/New folder/ex19/verilog_files/add3_ge5.v ; ;
+; ex19.v ; yes ; User Verilog HDL File ; C:/New folder/ex19/ex19.v ; ;
+; verilog_files/delay_block.v ; yes ; User Wizard-Generated File ; C:/New folder/ex19/verilog_files/delay_block.v ; ;
+; verilog_files/ctr_13_bit.v ; yes ; User Wizard-Generated File ; C:/New folder/ex19/verilog_files/ctr_13_bit.v ; ;
+; mult_by_h666.v ; yes ; User Wizard-Generated File ; C:/New folder/ex19/mult_by_h666.v ; ;
+; lpm_counter.tdf ; yes ; Megafunction ; c:/altera/16.0/quartus/libraries/megafunctions/lpm_counter.tdf ; ;
+; lpm_constant.inc ; yes ; Megafunction ; c:/altera/16.0/quartus/libraries/megafunctions/lpm_constant.inc ; ;
+; lpm_decode.inc ; yes ; Megafunction ; c:/altera/16.0/quartus/libraries/megafunctions/lpm_decode.inc ; ;
+; lpm_add_sub.inc ; yes ; Megafunction ; c:/altera/16.0/quartus/libraries/megafunctions/lpm_add_sub.inc ; ;
+; cmpconst.inc ; yes ; Megafunction ; c:/altera/16.0/quartus/libraries/megafunctions/cmpconst.inc ; ;
+; lpm_compare.inc ; yes ; Megafunction ; c:/altera/16.0/quartus/libraries/megafunctions/lpm_compare.inc ; ;
+; lpm_counter.inc ; yes ; Megafunction ; c:/altera/16.0/quartus/libraries/megafunctions/lpm_counter.inc ; ;
+; dffeea.inc ; yes ; Megafunction ; c:/altera/16.0/quartus/libraries/megafunctions/dffeea.inc ; ;
+; alt_counter_stratix.inc ; yes ; Megafunction ; c:/altera/16.0/quartus/libraries/megafunctions/alt_counter_stratix.inc ; ;
+; aglobal160.inc ; yes ; Megafunction ; c:/altera/16.0/quartus/libraries/megafunctions/aglobal160.inc ; ;
+; db/cntr_cjh.tdf ; yes ; Auto-Generated Megafunction ; C:/New folder/ex19/db/cntr_cjh.tdf ; ;
+; altsyncram.tdf ; yes ; Megafunction ; c:/altera/16.0/quartus/libraries/megafunctions/altsyncram.tdf ; ;
+; stratix_ram_block.inc ; yes ; Megafunction ; c:/altera/16.0/quartus/libraries/megafunctions/stratix_ram_block.inc ; ;
+; lpm_mux.inc ; yes ; Megafunction ; c:/altera/16.0/quartus/libraries/megafunctions/lpm_mux.inc ; ;
+; a_rdenreg.inc ; yes ; Megafunction ; c:/altera/16.0/quartus/libraries/megafunctions/a_rdenreg.inc ; ;
+; altrom.inc ; yes ; Megafunction ; c:/altera/16.0/quartus/libraries/megafunctions/altrom.inc ; ;
+; altram.inc ; yes ; Megafunction ; c:/altera/16.0/quartus/libraries/megafunctions/altram.inc ; ;
+; altdpram.inc ; yes ; Megafunction ; c:/altera/16.0/quartus/libraries/megafunctions/altdpram.inc ; ;
+; db/altsyncram_nm22.tdf ; yes ; Auto-Generated Megafunction ; C:/New folder/ex19/db/altsyncram_nm22.tdf ; ;
+; lpm_mult.tdf ; yes ; Megafunction ; c:/altera/16.0/quartus/libraries/megafunctions/lpm_mult.tdf ; ;
+; multcore.inc ; yes ; Megafunction ; c:/altera/16.0/quartus/libraries/megafunctions/multcore.inc ; ;
+; bypassff.inc ; yes ; Megafunction ; c:/altera/16.0/quartus/libraries/megafunctions/bypassff.inc ; ;
+; altshift.inc ; yes ; Megafunction ; c:/altera/16.0/quartus/libraries/megafunctions/altshift.inc ; ;
+; multcore.tdf ; yes ; Megafunction ; c:/altera/16.0/quartus/libraries/megafunctions/multcore.tdf ; ;
+; csa_add.inc ; yes ; Megafunction ; c:/altera/16.0/quartus/libraries/megafunctions/csa_add.inc ; ;
+; mpar_add.inc ; yes ; Megafunction ; c:/altera/16.0/quartus/libraries/megafunctions/mpar_add.inc ; ;
+; muleabz.inc ; yes ; Megafunction ; c:/altera/16.0/quartus/libraries/megafunctions/muleabz.inc ; ;
+; mul_lfrg.inc ; yes ; Megafunction ; c:/altera/16.0/quartus/libraries/megafunctions/mul_lfrg.inc ; ;
+; mul_boothc.inc ; yes ; Megafunction ; c:/altera/16.0/quartus/libraries/megafunctions/mul_boothc.inc ; ;
+; alt_ded_mult.inc ; yes ; Megafunction ; c:/altera/16.0/quartus/libraries/megafunctions/alt_ded_mult.inc ; ;
+; alt_ded_mult_y.inc ; yes ; Megafunction ; c:/altera/16.0/quartus/libraries/megafunctions/alt_ded_mult_y.inc ; ;
+; dffpipe.inc ; yes ; Megafunction ; c:/altera/16.0/quartus/libraries/megafunctions/dffpipe.inc ; ;
+; mpar_add.tdf ; yes ; Megafunction ; c:/altera/16.0/quartus/libraries/megafunctions/mpar_add.tdf ; ;
+; lpm_add_sub.tdf ; yes ; Megafunction ; c:/altera/16.0/quartus/libraries/megafunctions/lpm_add_sub.tdf ; ;
+; addcore.inc ; yes ; Megafunction ; c:/altera/16.0/quartus/libraries/megafunctions/addcore.inc ; ;
+; look_add.inc ; yes ; Megafunction ; c:/altera/16.0/quartus/libraries/megafunctions/look_add.inc ; ;
+; alt_stratix_add_sub.inc ; yes ; Megafunction ; c:/altera/16.0/quartus/libraries/megafunctions/alt_stratix_add_sub.inc ; ;
+; db/add_sub_a9h.tdf ; yes ; Auto-Generated Megafunction ; C:/New folder/ex19/db/add_sub_a9h.tdf ; ;
+; db/add_sub_e9h.tdf ; yes ; Auto-Generated Megafunction ; C:/New folder/ex19/db/add_sub_e9h.tdf ; ;
+; altshift.tdf ; yes ; Megafunction ; c:/altera/16.0/quartus/libraries/megafunctions/altshift.tdf ; ;
++----------------------------------+-----------------+------------------------------+------------------------------------------------------------------------+---------+
+
+
++--------------------------------------------------------------+
+; Analysis & Synthesis Resource Usage Summary ;
++---------------------------------------------+----------------+
+; Resource ; Usage ;
++---------------------------------------------+----------------+
+; Estimate of Logic utilization (ALMs needed) ; 119 ;
+; ; ;
+; Combinational ALUT usage for logic ; 209 ;
+; -- 7 input functions ; 0 ;
+; -- 6 input functions ; 14 ;
+; -- 5 input functions ; 22 ;
+; -- 4 input functions ; 76 ;
+; -- <=3 input functions ; 97 ;
+; ; ;
+; Dedicated logic registers ; 126 ;
+; ; ;
+; I/O pins ; 55 ;
+; Total MLAB memory bits ; 0 ;
+; Total block memory bits ; 65536 ;
+; ; ;
+; Total DSP Blocks ; 0 ;
+; ; ;
+; Maximum fan-out node ; CLOCK_50~input ;
+; Maximum fan-out ; 71 ;
+; Total fan-out ; 1345 ;
+; Average fan-out ; 2.97 ;
++---------------------------------------------+----------------+
+
+
++-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
+; Analysis & Synthesis Resource Utilization by Entity ;
++------------------------------------------------------+-------------------+--------------+-------------------+------------+------+--------------+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+-----------------+--------------+
+; Compilation Hierarchy Node ; LC Combinationals ; LC Registers ; Block Memory Bits ; DSP Blocks ; Pins ; Virtual Pins ; Full Hierarchy Name ; Entity Name ; Library Name ;
++------------------------------------------------------+-------------------+--------------+-------------------+------------+------+--------------+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+-----------------+--------------+
+; |ex19 ; 209 (0) ; 126 (0) ; 65536 ; 0 ; 55 ; 0 ; |ex19 ; ex19 ; work ;
+; |clktick_16:GEN_10K| ; 20 (20) ; 17 (17) ; 0 ; 0 ; 0 ; 0 ; |ex19|clktick_16:GEN_10K ; clktick_16 ; work ;
+; |processor:echo_var_delay| ; 132 (20) ; 23 (10) ; 65536 ; 0 ; 0 ; 0 ; |ex19|processor:echo_var_delay ; processor ; work ;
+; |bin2bcd_16:bcd| ; 39 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; |ex19|processor:echo_var_delay|bin2bcd_16:bcd ; bin2bcd_16 ; work ;
+; |add3_ge5:A12| ; 1 (1) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; |ex19|processor:echo_var_delay|bin2bcd_16:bcd|add3_ge5:A12 ; add3_ge5 ; work ;
+; |add3_ge5:A15| ; 4 (4) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; |ex19|processor:echo_var_delay|bin2bcd_16:bcd|add3_ge5:A15 ; add3_ge5 ; work ;
+; |add3_ge5:A18| ; 4 (4) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; |ex19|processor:echo_var_delay|bin2bcd_16:bcd|add3_ge5:A18 ; add3_ge5 ; work ;
+; |add3_ge5:A21| ; 1 (1) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; |ex19|processor:echo_var_delay|bin2bcd_16:bcd|add3_ge5:A21 ; add3_ge5 ; work ;
+; |add3_ge5:A22| ; 4 (4) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; |ex19|processor:echo_var_delay|bin2bcd_16:bcd|add3_ge5:A22 ; add3_ge5 ; work ;
+; |add3_ge5:A25| ; 4 (4) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; |ex19|processor:echo_var_delay|bin2bcd_16:bcd|add3_ge5:A25 ; add3_ge5 ; work ;
+; |add3_ge5:A26| ; 4 (4) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; |ex19|processor:echo_var_delay|bin2bcd_16:bcd|add3_ge5:A26 ; add3_ge5 ; work ;
+; |add3_ge5:A29| ; 4 (4) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; |ex19|processor:echo_var_delay|bin2bcd_16:bcd|add3_ge5:A29 ; add3_ge5 ; work ;
+; |add3_ge5:A30| ; 4 (4) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; |ex19|processor:echo_var_delay|bin2bcd_16:bcd|add3_ge5:A30 ; add3_ge5 ; work ;
+; |add3_ge5:A33| ; 1 (1) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; |ex19|processor:echo_var_delay|bin2bcd_16:bcd|add3_ge5:A33 ; add3_ge5 ; work ;
+; |add3_ge5:A34| ; 4 (4) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; |ex19|processor:echo_var_delay|bin2bcd_16:bcd|add3_ge5:A34 ; add3_ge5 ; work ;
+; |add3_ge5:A35| ; 4 (4) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; |ex19|processor:echo_var_delay|bin2bcd_16:bcd|add3_ge5:A35 ; add3_ge5 ; work ;
+; |ctr_13_bit:ctr| ; 13 (0) ; 13 (0) ; 0 ; 0 ; 0 ; 0 ; |ex19|processor:echo_var_delay|ctr_13_bit:ctr ; ctr_13_bit ; work ;
+; |lpm_counter:LPM_COUNTER_component| ; 13 (0) ; 13 (0) ; 0 ; 0 ; 0 ; 0 ; |ex19|processor:echo_var_delay|ctr_13_bit:ctr|lpm_counter:LPM_COUNTER_component ; lpm_counter ; work ;
+; |cntr_cjh:auto_generated| ; 13 (13) ; 13 (13) ; 0 ; 0 ; 0 ; 0 ; |ex19|processor:echo_var_delay|ctr_13_bit:ctr|lpm_counter:LPM_COUNTER_component|cntr_cjh:auto_generated ; cntr_cjh ; work ;
+; |delay_block:del| ; 0 (0) ; 0 (0) ; 65536 ; 0 ; 0 ; 0 ; |ex19|processor:echo_var_delay|delay_block:del ; delay_block ; work ;
+; |altsyncram:altsyncram_component| ; 0 (0) ; 0 (0) ; 65536 ; 0 ; 0 ; 0 ; |ex19|processor:echo_var_delay|delay_block:del|altsyncram:altsyncram_component ; altsyncram ; work ;
+; |altsyncram_nm22:auto_generated| ; 0 (0) ; 0 (0) ; 65536 ; 0 ; 0 ; 0 ; |ex19|processor:echo_var_delay|delay_block:del|altsyncram:altsyncram_component|altsyncram_nm22:auto_generated ; altsyncram_nm22 ; work ;
+; |hex_to_7seg:h0| ; 7 (7) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; |ex19|processor:echo_var_delay|hex_to_7seg:h0 ; hex_to_7seg ; work ;
+; |hex_to_7seg:h1| ; 7 (7) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; |ex19|processor:echo_var_delay|hex_to_7seg:h1 ; hex_to_7seg ; work ;
+; |hex_to_7seg:h2| ; 13 (13) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; |ex19|processor:echo_var_delay|hex_to_7seg:h2 ; hex_to_7seg ; work ;
+; |mult_by_h666:mul_by_h666| ; 33 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; |ex19|processor:echo_var_delay|mult_by_h666:mul_by_h666 ; mult_by_h666 ; work ;
+; |lpm_mult:lpm_mult_component| ; 33 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; |ex19|processor:echo_var_delay|mult_by_h666:mul_by_h666|lpm_mult:lpm_mult_component ; lpm_mult ; work ;
+; |multcore:mult_core| ; 33 (7) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; |ex19|processor:echo_var_delay|mult_by_h666:mul_by_h666|lpm_mult:lpm_mult_component|multcore:mult_core ; multcore ; work ;
+; |mpar_add:padder| ; 26 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; |ex19|processor:echo_var_delay|mult_by_h666:mul_by_h666|lpm_mult:lpm_mult_component|multcore:mult_core|mpar_add:padder ; mpar_add ; work ;
+; |lpm_add_sub:adder[0]| ; 15 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; |ex19|processor:echo_var_delay|mult_by_h666:mul_by_h666|lpm_mult:lpm_mult_component|multcore:mult_core|mpar_add:padder|lpm_add_sub:adder[0] ; lpm_add_sub ; work ;
+; |add_sub_a9h:auto_generated| ; 15 (15) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; |ex19|processor:echo_var_delay|mult_by_h666:mul_by_h666|lpm_mult:lpm_mult_component|multcore:mult_core|mpar_add:padder|lpm_add_sub:adder[0]|add_sub_a9h:auto_generated ; add_sub_a9h ; work ;
+; |mpar_add:sub_par_add| ; 11 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; |ex19|processor:echo_var_delay|mult_by_h666:mul_by_h666|lpm_mult:lpm_mult_component|multcore:mult_core|mpar_add:padder|mpar_add:sub_par_add ; mpar_add ; work ;
+; |lpm_add_sub:adder[0]| ; 11 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; |ex19|processor:echo_var_delay|mult_by_h666:mul_by_h666|lpm_mult:lpm_mult_component|multcore:mult_core|mpar_add:padder|mpar_add:sub_par_add|lpm_add_sub:adder[0] ; lpm_add_sub ; work ;
+; |add_sub_e9h:auto_generated| ; 11 (11) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; |ex19|processor:echo_var_delay|mult_by_h666:mul_by_h666|lpm_mult:lpm_mult_component|multcore:mult_core|mpar_add:padder|mpar_add:sub_par_add|lpm_add_sub:adder[0]|add_sub_e9h:auto_generated ; add_sub_e9h ; work ;
+; |pwm:PWM_DC| ; 16 (16) ; 21 (21) ; 0 ; 0 ; 0 ; 0 ; |ex19|pwm:PWM_DC ; pwm ; work ;
+; |spi2adc:SPI_ADC| ; 21 (21) ; 39 (39) ; 0 ; 0 ; 0 ; 0 ; |ex19|spi2adc:SPI_ADC ; spi2adc ; work ;
+; |spi2dac:SPI_DAC| ; 20 (20) ; 26 (26) ; 0 ; 0 ; 0 ; 0 ; |ex19|spi2dac:SPI_DAC ; spi2dac ; work ;
++------------------------------------------------------+-------------------+--------------+-------------------+------------+------+--------------+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+-----------------+--------------+
+Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy.
+
+
++-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
+; Analysis & Synthesis RAM Summary ;
++--------------------------------------------------------------------------------------------------------------------+------------+------------------+--------------+--------------+--------------+--------------+-------+------+
+; Name ; Type ; Mode ; Port A Depth ; Port A Width ; Port B Depth ; Port B Width ; Size ; MIF ;
++--------------------------------------------------------------------------------------------------------------------+------------+------------------+--------------+--------------+--------------+--------------+-------+------+
+; processor:echo_var_delay|delay_block:del|altsyncram:altsyncram_component|altsyncram_nm22:auto_generated|ALTSYNCRAM ; M10K block ; Simple Dual Port ; 8192 ; 9 ; 8192 ; 9 ; 73728 ; None ;
++--------------------------------------------------------------------------------------------------------------------+------------+------------------+--------------+--------------+--------------+--------------+-------+------+
+
+
++-------------------------------------------------------------------------------------------------------------------------------------------------------+
+; Analysis & Synthesis IP Cores Summary ;
++--------+--------------+---------+--------------+--------------+---------------------------------------------------------+-----------------------------+
+; Vendor ; IP Core Name ; Version ; Release Date ; License Type ; Entity Instance ; IP Include File ;
++--------+--------------+---------+--------------+--------------+---------------------------------------------------------+-----------------------------+
+; Altera ; LPM_COUNTER ; 16.0 ; N/A ; N/A ; |ex19|processor:echo_var_delay|ctr_13_bit:ctr ; verilog_files/ctr_13_bit.v ;
+; Altera ; RAM: 2-PORT ; 16.0 ; N/A ; N/A ; |ex19|processor:echo_var_delay|delay_block:del ; verilog_files/delay_block.v ;
+; Altera ; LPM_MULT ; 16.0 ; N/A ; N/A ; |ex19|processor:echo_var_delay|mult_by_h666:mul_by_h666 ; mult_by_h666.v ;
++--------+--------------+---------+--------------+--------------+---------------------------------------------------------+-----------------------------+
+
+
+Encoding Type: One-Hot
++------------------------------------------------------------------------------------------+
+; State Machine - |ex19|spi2adc:SPI_ADC|sr_state ;
++------------------------+---------------+------------------------+------------------------+
+; Name ; sr_state.IDLE ; sr_state.WAIT_CSB_HIGH ; sr_state.WAIT_CSB_FALL ;
++------------------------+---------------+------------------------+------------------------+
+; sr_state.IDLE ; 0 ; 0 ; 0 ;
+; sr_state.WAIT_CSB_FALL ; 1 ; 0 ; 1 ;
+; sr_state.WAIT_CSB_HIGH ; 1 ; 1 ; 0 ;
++------------------------+---------------+------------------------+------------------------+
+
+
+Encoding Type: One-Hot
++------------------------------------------------------------------------------------------+
+; State Machine - |ex19|spi2dac:SPI_DAC|sr_state ;
++------------------------+---------------+------------------------+------------------------+
+; Name ; sr_state.IDLE ; sr_state.WAIT_CSB_HIGH ; sr_state.WAIT_CSB_FALL ;
++------------------------+---------------+------------------------+------------------------+
+; sr_state.IDLE ; 0 ; 0 ; 0 ;
+; sr_state.WAIT_CSB_FALL ; 1 ; 0 ; 1 ;
+; sr_state.WAIT_CSB_HIGH ; 1 ; 1 ; 0 ;
++------------------------+---------------+------------------------+------------------------+
+
+
++--------------------------------------------------------------------------------+
+; Registers Removed During Synthesis ;
++---------------------------------------+----------------------------------------+
+; Register name ; Reason for Removal ;
++---------------------------------------+----------------------------------------+
+; spi2dac:SPI_DAC|shift_reg[0,1] ; Stuck at GND due to stuck port data_in ;
+; spi2dac:SPI_DAC|ctr[4] ; Merged with spi2adc:SPI_ADC|ctr[4] ;
+; spi2dac:SPI_DAC|ctr[3] ; Merged with spi2adc:SPI_ADC|ctr[3] ;
+; spi2dac:SPI_DAC|ctr[2] ; Merged with spi2adc:SPI_ADC|ctr[2] ;
+; spi2dac:SPI_DAC|ctr[1] ; Merged with spi2adc:SPI_ADC|ctr[1] ;
+; spi2dac:SPI_DAC|ctr[0] ; Merged with spi2adc:SPI_ADC|ctr[0] ;
+; Total Number of Removed Registers = 7 ; ;
++---------------------------------------+----------------------------------------+
+
+
++---------------------------------------------------------------------------------------------------+
+; Removed Registers Triggering Further Register Optimizations ;
++------------------------------+---------------------------+----------------------------------------+
+; Register name ; Reason for Removal ; Registers Removed due to This Register ;
++------------------------------+---------------------------+----------------------------------------+
+; spi2dac:SPI_DAC|shift_reg[0] ; Stuck at GND ; spi2dac:SPI_DAC|shift_reg[1] ;
+; ; due to stuck port data_in ; ;
++------------------------------+---------------------------+----------------------------------------+
+
+
++------------------------------------------------------+
+; General Register Statistics ;
++----------------------------------------------+-------+
+; Statistic ; Value ;
++----------------------------------------------+-------+
+; Total registers ; 126 ;
+; Number of registers using Synchronous Clear ; 9 ;
+; Number of registers using Synchronous Load ; 9 ;
+; Number of registers using Asynchronous Clear ; 0 ;
+; Number of registers using Asynchronous Load ; 0 ;
+; Number of registers using Clock Enable ; 49 ;
+; Number of registers using Preset ; 0 ;
++----------------------------------------------+-------+
+
+
++--------------------------------------------------+
+; Inverted Register Statistics ;
++----------------------------------------+---------+
+; Inverted Register ; Fan out ;
++----------------------------------------+---------+
+; spi2dac:SPI_DAC|dac_cs ; 12 ;
+; spi2adc:SPI_ADC|adc_cs ; 37 ;
+; Total number of inverted registers = 2 ; ;
++----------------------------------------+---------+
+
+
++--------------------------------------------------------------------------------------------------------------------------------+
+; Source assignments for processor:echo_var_delay|delay_block:del|altsyncram:altsyncram_component|altsyncram_nm22:auto_generated ;
++---------------------------------+--------------------+------+------------------------------------------------------------------+
+; Assignment ; Value ; From ; To ;
++---------------------------------+--------------------+------+------------------------------------------------------------------+
+; OPTIMIZE_POWER_DURING_SYNTHESIS ; NORMAL_COMPILATION ; - ; - ;
++---------------------------------+--------------------+------+------------------------------------------------------------------+
+
+
++-----------------------------------------------------------------+
+; Parameter Settings for User Entity Instance: clktick_16:GEN_10K ;
++----------------+-------+----------------------------------------+
+; Parameter Name ; Value ; Type ;
++----------------+-------+----------------------------------------+
+; N_BIT ; 16 ; Signed Integer ;
++----------------+-------+----------------------------------------+
+Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off".
+
+
++--------------------------------------------------------------+
+; Parameter Settings for User Entity Instance: spi2dac:SPI_DAC ;
++----------------+-------+-------------------------------------+
+; Parameter Name ; Value ; Type ;
++----------------+-------+-------------------------------------+
+; BUF ; 1 ; Unsigned Binary ;
+; GA_N ; 1 ; Unsigned Binary ;
+; SHDN_N ; 1 ; Unsigned Binary ;
+; TIME_CONSTANT ; 11000 ; Unsigned Binary ;
+; IDLE ; 00 ; Unsigned Binary ;
+; WAIT_CSB_FALL ; 01 ; Unsigned Binary ;
+; WAIT_CSB_HIGH ; 10 ; Unsigned Binary ;
++----------------+-------+-------------------------------------+
+Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off".
+
+
++--------------------------------------------------------------+
+; Parameter Settings for User Entity Instance: spi2adc:SPI_ADC ;
++----------------+-------+-------------------------------------+
+; Parameter Name ; Value ; Type ;
++----------------+-------+-------------------------------------+
+; SGL ; 1 ; Unsigned Binary ;
+; MSBF ; 1 ; Unsigned Binary ;
+; TIME_CONSTANT ; 11000 ; Unsigned Binary ;
+; IDLE ; 00 ; Unsigned Binary ;
+; WAIT_CSB_FALL ; 01 ; Unsigned Binary ;
+; WAIT_CSB_HIGH ; 10 ; Unsigned Binary ;
++----------------+-------+-------------------------------------+
+Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off".
+
+
++-----------------------------------------------------------------------+
+; Parameter Settings for User Entity Instance: processor:echo_var_delay ;
++----------------+------------+-----------------------------------------+
+; Parameter Name ; Value ; Type ;
++----------------+------------+-----------------------------------------+
+; ADC_OFFSET ; 0110000001 ; Unsigned Binary ;
+; DAC_OFFSET ; 1000000000 ; Unsigned Binary ;
++----------------+------------+-----------------------------------------+
+Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off".
+
+
++------------------------------------------------------------------------------------------------------------------------+
+; Parameter Settings for User Entity Instance: processor:echo_var_delay|ctr_13_bit:ctr|lpm_counter:LPM_COUNTER_component ;
++------------------------+-------------+---------------------------------------------------------------------------------+
+; Parameter Name ; Value ; Type ;
++------------------------+-------------+---------------------------------------------------------------------------------+
+; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ;
+; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ;
+; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ;
+; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ;
+; LPM_WIDTH ; 13 ; Signed Integer ;
+; LPM_DIRECTION ; UP ; Untyped ;
+; LPM_MODULUS ; 0 ; Untyped ;
+; LPM_AVALUE ; UNUSED ; Untyped ;
+; LPM_SVALUE ; UNUSED ; Untyped ;
+; LPM_PORT_UPDOWN ; PORT_UNUSED ; Untyped ;
+; DEVICE_FAMILY ; Cyclone V ; Untyped ;
+; CARRY_CHAIN ; MANUAL ; Untyped ;
+; CARRY_CHAIN_LENGTH ; 48 ; CARRY_CHAIN_LENGTH ;
+; NOT_GATE_PUSH_BACK ; ON ; NOT_GATE_PUSH_BACK ;
+; CARRY_CNT_EN ; SMART ; Untyped ;
+; LABWIDE_SCLR ; ON ; Untyped ;
+; USE_NEW_VERSION ; TRUE ; Untyped ;
+; CBXI_PARAMETER ; cntr_cjh ; Untyped ;
++------------------------+-------------+---------------------------------------------------------------------------------+
+Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off".
+
+
++-----------------------------------------------------------------------------------------------------------------------+
+; Parameter Settings for User Entity Instance: processor:echo_var_delay|delay_block:del|altsyncram:altsyncram_component ;
++------------------------------------+----------------------+-----------------------------------------------------------+
+; Parameter Name ; Value ; Type ;
++------------------------------------+----------------------+-----------------------------------------------------------+
+; BYTE_SIZE_BLOCK ; 8 ; Untyped ;
+; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ;
+; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ;
+; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ;
+; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ;
+; WIDTH_BYTEENA ; 1 ; Untyped ;
+; OPERATION_MODE ; DUAL_PORT ; Untyped ;
+; WIDTH_A ; 9 ; Signed Integer ;
+; WIDTHAD_A ; 13 ; Signed Integer ;
+; NUMWORDS_A ; 8192 ; Signed Integer ;
+; OUTDATA_REG_A ; UNREGISTERED ; Untyped ;
+; ADDRESS_ACLR_A ; NONE ; Untyped ;
+; OUTDATA_ACLR_A ; NONE ; Untyped ;
+; WRCONTROL_ACLR_A ; NONE ; Untyped ;
+; INDATA_ACLR_A ; NONE ; Untyped ;
+; BYTEENA_ACLR_A ; NONE ; Untyped ;
+; WIDTH_B ; 9 ; Signed Integer ;
+; WIDTHAD_B ; 13 ; Signed Integer ;
+; NUMWORDS_B ; 8192 ; Signed Integer ;
+; INDATA_REG_B ; CLOCK1 ; Untyped ;
+; WRCONTROL_WRADDRESS_REG_B ; CLOCK1 ; Untyped ;
+; RDCONTROL_REG_B ; CLOCK0 ; Untyped ;
+; ADDRESS_REG_B ; CLOCK0 ; Untyped ;
+; OUTDATA_REG_B ; CLOCK0 ; Untyped ;
+; BYTEENA_REG_B ; CLOCK1 ; Untyped ;
+; INDATA_ACLR_B ; NONE ; Untyped ;
+; WRCONTROL_ACLR_B ; NONE ; Untyped ;
+; ADDRESS_ACLR_B ; NONE ; Untyped ;
+; OUTDATA_ACLR_B ; NONE ; Untyped ;
+; RDCONTROL_ACLR_B ; NONE ; Untyped ;
+; BYTEENA_ACLR_B ; NONE ; Untyped ;
+; WIDTH_BYTEENA_A ; 1 ; Signed Integer ;
+; WIDTH_BYTEENA_B ; 1 ; Untyped ;
+; RAM_BLOCK_TYPE ; M10K ; Untyped ;
+; BYTE_SIZE ; 8 ; Untyped ;
+; READ_DURING_WRITE_MODE_MIXED_PORTS ; DONT_CARE ; Untyped ;
+; READ_DURING_WRITE_MODE_PORT_A ; NEW_DATA_NO_NBE_READ ; Untyped ;
+; READ_DURING_WRITE_MODE_PORT_B ; NEW_DATA_NO_NBE_READ ; Untyped ;
+; INIT_FILE ; UNUSED ; Untyped ;
+; INIT_FILE_LAYOUT ; PORT_A ; Untyped ;
+; MAXIMUM_DEPTH ; 0 ; Untyped ;
+; CLOCK_ENABLE_INPUT_A ; BYPASS ; Untyped ;
+; CLOCK_ENABLE_INPUT_B ; BYPASS ; Untyped ;
+; CLOCK_ENABLE_OUTPUT_A ; NORMAL ; Untyped ;
+; CLOCK_ENABLE_OUTPUT_B ; BYPASS ; Untyped ;
+; CLOCK_ENABLE_CORE_A ; USE_INPUT_CLKEN ; Untyped ;
+; CLOCK_ENABLE_CORE_B ; USE_INPUT_CLKEN ; Untyped ;
+; ENABLE_ECC ; FALSE ; Untyped ;
+; ECC_PIPELINE_STAGE_ENABLED ; FALSE ; Untyped ;
+; WIDTH_ECCSTATUS ; 3 ; Untyped ;
+; DEVICE_FAMILY ; Cyclone V ; Untyped ;
+; CBXI_PARAMETER ; altsyncram_nm22 ; Untyped ;
++------------------------------------+----------------------+-----------------------------------------------------------+
+Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off".
+
+
++----------------------------------------------------------------------------------------------------------------------------+
+; Parameter Settings for User Entity Instance: processor:echo_var_delay|mult_by_h666:mul_by_h666|lpm_mult:lpm_mult_component ;
++------------------------------------------------+-----------+---------------------------------------------------------------+
+; Parameter Name ; Value ; Type ;
++------------------------------------------------+-----------+---------------------------------------------------------------+
+; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ;
+; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ;
+; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ;
+; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ;
+; LPM_WIDTHA ; 9 ; Signed Integer ;
+; LPM_WIDTHB ; 11 ; Signed Integer ;
+; LPM_WIDTHP ; 20 ; Signed Integer ;
+; LPM_WIDTHR ; 0 ; Untyped ;
+; LPM_WIDTHS ; 1 ; Untyped ;
+; LPM_REPRESENTATION ; UNSIGNED ; Untyped ;
+; LPM_PIPELINE ; 0 ; Untyped ;
+; LATENCY ; 0 ; Untyped ;
+; INPUT_A_IS_CONSTANT ; NO ; Untyped ;
+; INPUT_B_IS_CONSTANT ; YES ; Untyped ;
+; USE_EAB ; OFF ; Untyped ;
+; MAXIMIZE_SPEED ; 5 ; Untyped ;
+; DEVICE_FAMILY ; Cyclone V ; Untyped ;
+; CARRY_CHAIN ; MANUAL ; Untyped ;
+; APEX20K_TECHNOLOGY_MAPPER ; LUT ; TECH_MAPPER_APEX20K ;
+; DEDICATED_MULTIPLIER_CIRCUITRY ; AUTO ; Untyped ;
+; DEDICATED_MULTIPLIER_MIN_INPUT_WIDTH_FOR_AUTO ; 0 ; Untyped ;
+; DEDICATED_MULTIPLIER_MIN_OUTPUT_WIDTH_FOR_AUTO ; 0 ; Untyped ;
+; CBXI_PARAMETER ; NOTHING ; Untyped ;
+; INPUT_A_FIXED_VALUE ; Bx ; Untyped ;
+; INPUT_B_FIXED_VALUE ; Bx ; Untyped ;
+; USE_AHDL_IMPLEMENTATION ; OFF ; Untyped ;
++------------------------------------------------+-----------+---------------------------------------------------------------+
+Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off".
+
+
++----------------------------------------------------------------------------------------------------------------------+
+; altsyncram Parameter Settings by Entity Instance ;
++-------------------------------------------+--------------------------------------------------------------------------+
+; Name ; Value ;
++-------------------------------------------+--------------------------------------------------------------------------+
+; Number of entity instances ; 1 ;
+; Entity Instance ; processor:echo_var_delay|delay_block:del|altsyncram:altsyncram_component ;
+; -- OPERATION_MODE ; DUAL_PORT ;
+; -- WIDTH_A ; 9 ;
+; -- NUMWORDS_A ; 8192 ;
+; -- OUTDATA_REG_A ; UNREGISTERED ;
+; -- WIDTH_B ; 9 ;
+; -- NUMWORDS_B ; 8192 ;
+; -- ADDRESS_REG_B ; CLOCK0 ;
+; -- OUTDATA_REG_B ; CLOCK0 ;
+; -- RAM_BLOCK_TYPE ; M10K ;
+; -- READ_DURING_WRITE_MODE_MIXED_PORTS ; DONT_CARE ;
++-------------------------------------------+--------------------------------------------------------------------------+
+
+
++-----------------------------------------------------------------------------------------------------------------------+
+; lpm_mult Parameter Settings by Entity Instance ;
++---------------------------------------+-------------------------------------------------------------------------------+
+; Name ; Value ;
++---------------------------------------+-------------------------------------------------------------------------------+
+; Number of entity instances ; 1 ;
+; Entity Instance ; processor:echo_var_delay|mult_by_h666:mul_by_h666|lpm_mult:lpm_mult_component ;
+; -- LPM_WIDTHA ; 9 ;
+; -- LPM_WIDTHB ; 11 ;
+; -- LPM_WIDTHP ; 20 ;
+; -- LPM_REPRESENTATION ; UNSIGNED ;
+; -- INPUT_A_IS_CONSTANT ; NO ;
+; -- INPUT_B_IS_CONSTANT ; YES ;
+; -- USE_EAB ; OFF ;
+; -- DEDICATED_MULTIPLIER_CIRCUITRY ; AUTO ;
+; -- INPUT_A_FIXED_VALUE ; Bx ;
+; -- INPUT_B_FIXED_VALUE ; Bx ;
++---------------------------------------+-------------------------------------------------------------------------------+
+
+
++----------------------------------------------------------------------------------------------------------------+
+; Port Connectivity Checks: "processor:echo_var_delay|bin2bcd_16:bcd|add3_ge5:A31" ;
++------+--------+----------+-------------------------------------------------------------------------------------+
+; Port ; Type ; Severity ; Details ;
++------+--------+----------+-------------------------------------------------------------------------------------+
+; w[3] ; Input ; Info ; Stuck at GND ;
+; a[3] ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ;
++------+--------+----------+-------------------------------------------------------------------------------------+
+
+
++----------------------------------------------------------------------------------+
+; Port Connectivity Checks: "processor:echo_var_delay|bin2bcd_16:bcd|add3_ge5:A19" ;
++------+-------+----------+--------------------------------------------------------+
+; Port ; Type ; Severity ; Details ;
++------+-------+----------+--------------------------------------------------------+
+; w[3] ; Input ; Info ; Stuck at GND ;
++------+-------+----------+--------------------------------------------------------+
+
+
++----------------------------------------------------------------------------------+
+; Port Connectivity Checks: "processor:echo_var_delay|bin2bcd_16:bcd|add3_ge5:A10" ;
++------+-------+----------+--------------------------------------------------------+
+; Port ; Type ; Severity ; Details ;
++------+-------+----------+--------------------------------------------------------+
+; w[3] ; Input ; Info ; Stuck at GND ;
++------+-------+----------+--------------------------------------------------------+
+
+
++---------------------------------------------------------------------------------+
+; Port Connectivity Checks: "processor:echo_var_delay|bin2bcd_16:bcd|add3_ge5:A4" ;
++------+-------+----------+-------------------------------------------------------+
+; Port ; Type ; Severity ; Details ;
++------+-------+----------+-------------------------------------------------------+
+; w[3] ; Input ; Info ; Stuck at GND ;
++------+-------+----------+-------------------------------------------------------+
+
+
++---------------------------------------------------------------------------------+
+; Port Connectivity Checks: "processor:echo_var_delay|bin2bcd_16:bcd|add3_ge5:A1" ;
++------+-------+----------+-------------------------------------------------------+
+; Port ; Type ; Severity ; Details ;
++------+-------+----------+-------------------------------------------------------+
+; w[3] ; Input ; Info ; Stuck at GND ;
++------+-------+----------+-------------------------------------------------------+
+
+
++-------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
+; Port Connectivity Checks: "processor:echo_var_delay|bin2bcd_16:bcd" ;
++------+-------+----------+-----------------------------------------------------------------------------------------------------------------------------------------------+
+; Port ; Type ; Severity ; Details ;
++------+-------+----------+-----------------------------------------------------------------------------------------------------------------------------------------------+
+; B ; Input ; Warning ; Input port expression (10 bits) is smaller than the input port (16 bits) it drives. Extra input bit(s) "B[15..10]" will be connected to GND. ;
++------+-------+----------+-----------------------------------------------------------------------------------------------------------------------------------------------+
+
+
++----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
+; Port Connectivity Checks: "processor:echo_var_delay|mult_by_h666:mul_by_h666" ;
++--------------+--------+----------+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
+; Port ; Type ; Severity ; Details ;
++--------------+--------+----------+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
+; dataa ; Input ; Warning ; Input port expression (10 bits) is wider than the input port (9 bits) it drives. The 1 most-significant bit(s) in the expression will be dangling if they have no other fanouts. ;
+; result[9..0] ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ;
++--------------+--------+----------+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
+
+
++--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
+; Port Connectivity Checks: "processor:echo_var_delay|div_by_2:comb_6" ;
++------+-------+----------+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
+; Port ; Type ; Severity ; Details ;
++------+-------+----------+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
+; in ; Input ; Warning ; Input port expression (11 bits) is wider than the input port (10 bits) it drives. The 1 most-significant bit(s) in the expression will be dangling if they have no other fanouts. ;
++------+-------+----------+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
+
+
++--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
+; Port Connectivity Checks: "processor:echo_var_delay|delay_block:del" ;
++------+--------+----------+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
+; Port ; Type ; Severity ; Details ;
++------+--------+----------+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
+; data ; Input ; Warning ; Input port expression (10 bits) is wider than the input port (9 bits) it drives. The 1 most-significant bit(s) in the expression will be dangling if they have no other fanouts. ;
+; q ; Output ; Warning ; Output or bidir port (9 bits) is smaller than the port expression (10 bits) it drives. The 1 most-significant bit(s) in the port expression will be connected to GND. ;
++------+--------+----------+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
+
+
++---------------------------------------------+
+; Port Connectivity Checks: "spi2adc:SPI_ADC" ;
++---------+-------+----------+----------------+
+; Port ; Type ; Severity ; Details ;
++---------+-------+----------+----------------+
+; channel ; Input ; Info ; Stuck at VCC ;
++---------+-------+----------+----------------+
+
+
++------------------------------------------------+
+; Port Connectivity Checks: "clktick_16:GEN_10K" ;
++-----------+-------+----------+-----------------+
+; Port ; Type ; Severity ; Details ;
++-----------+-------+----------+-----------------+
+; N[9..7] ; Input ; Info ; Stuck at VCC ;
+; N[2..0] ; Input ; Info ; Stuck at VCC ;
+; N[15..13] ; Input ; Info ; Stuck at GND ;
+; N[11..10] ; Input ; Info ; Stuck at GND ;
+; N[6..3] ; Input ; Info ; Stuck at GND ;
+; N[12] ; Input ; Info ; Stuck at VCC ;
++-----------+-------+----------+-----------------+
+
+
++-----------------------------------------------------+
+; Post-Synthesis Netlist Statistics for Top Partition ;
++-----------------------+-----------------------------+
+; Type ; Count ;
++-----------------------+-----------------------------+
+; arriav_ff ; 126 ;
+; ENA ; 40 ;
+; ENA SCLR ; 9 ;
+; SLD ; 9 ;
+; plain ; 68 ;
+; arriav_lcell_comb ; 223 ;
+; arith ; 73 ;
+; 0 data inputs ; 2 ;
+; 1 data inputs ; 41 ;
+; 2 data inputs ; 16 ;
+; 3 data inputs ; 1 ;
+; 4 data inputs ; 5 ;
+; 5 data inputs ; 8 ;
+; normal ; 140 ;
+; 0 data inputs ; 2 ;
+; 1 data inputs ; 16 ;
+; 2 data inputs ; 12 ;
+; 3 data inputs ; 11 ;
+; 4 data inputs ; 71 ;
+; 5 data inputs ; 14 ;
+; 6 data inputs ; 14 ;
+; shared ; 10 ;
+; 1 data inputs ; 2 ;
+; 2 data inputs ; 8 ;
+; boundary_port ; 55 ;
+; stratixv_ram_block ; 8 ;
+; ; ;
+; Max LUT depth ; 13.30 ;
+; Average LUT depth ; 4.86 ;
++-----------------------+-----------------------------+
+
+
++-------------------------------+
+; Elapsed Time Per Partition ;
++----------------+--------------+
+; Partition Name ; Elapsed Time ;
++----------------+--------------+
+; Top ; 00:00:00 ;
++----------------+--------------+
+
+
++-------------------------------+
+; Analysis & Synthesis Messages ;
++-------------------------------+
+Info: *******************************************************************
+Info: Running Quartus Prime Analysis & Synthesis
+ Info: Version 16.0.0 Build 211 04/27/2016 SJ Standard Edition
+ Info: Processing started: Tue Dec 06 09:46:18 2016
+Info: Command: quartus_map --read_settings_files=on --write_settings_files=off ex19 -c ex19
+Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance.
+Info (20030): Parallel compilation is enabled and will use 4 of the 4 processors detected
+Info (12021): Found 1 design units, including 1 entities, in source file verilog_files/variable_echo.v
+ Info (12023): Found entity 1: processor File: C:/New folder/ex19/verilog_files/variable_echo.v Line: 1
+Info (12021): Found 1 design units, including 1 entities, in source file verilog_files/spi2dac.v
+ Info (12023): Found entity 1: spi2dac File: C:/New folder/ex19/verilog_files/spi2dac.v Line: 9
+Info (12021): Found 1 design units, including 1 entities, in source file verilog_files/spi2adc.v
+ Info (12023): Found entity 1: spi2adc File: C:/New folder/ex19/verilog_files/spi2adc.v Line: 9
+Info (12021): Found 1 design units, including 1 entities, in source file verilog_files/pwm.v
+ Info (12023): Found entity 1: pwm File: C:/New folder/ex19/verilog_files/pwm.v Line: 1
+Info (12021): Found 1 design units, including 1 entities, in source file verilog_files/pulse_gen.v
+ Info (12023): Found entity 1: pulse_gen File: C:/New folder/ex19/verilog_files/pulse_gen.v Line: 9
+Info (12021): Found 1 design units, including 1 entities, in source file verilog_files/multiply_k.v
+ Info (12023): Found entity 1: multiply_k File: C:/New folder/ex19/verilog_files/multiply_k.v Line: 39
+Info (12021): Found 1 design units, including 1 entities, in source file verilog_files/hex_to_7seg.v
+ Info (12023): Found entity 1: hex_to_7seg File: C:/New folder/ex19/verilog_files/hex_to_7seg.v Line: 10
+Info (12021): Found 1 design units, including 1 entities, in source file verilog_files/div_by_2.v
+ Info (12023): Found entity 1: div_by_2 File: C:/New folder/ex19/verilog_files/div_by_2.v Line: 1
+Info (12021): Found 1 design units, including 1 entities, in source file verilog_files/delay_ram.v
+ Info (12023): Found entity 1: delay_ram File: C:/New folder/ex19/verilog_files/delay_ram.v Line: 39
+Info (12021): Found 1 design units, including 1 entities, in source file verilog_files/d_ff.v
+ Info (12023): Found entity 1: d_ff File: C:/New folder/ex19/verilog_files/d_ff.v Line: 1
+Info (12021): Found 1 design units, including 1 entities, in source file verilog_files/clktick_16.v
+ Info (12023): Found entity 1: clktick_16 File: C:/New folder/ex19/verilog_files/clktick_16.v Line: 6
+Info (12021): Found 1 design units, including 1 entities, in source file verilog_files/bin2bcd_16.v
+ Info (12023): Found entity 1: bin2bcd_16 File: C:/New folder/ex19/verilog_files/bin2bcd_16.v Line: 12
+Info (12021): Found 1 design units, including 1 entities, in source file verilog_files/add3_ge5.v
+ Info (12023): Found entity 1: add3_ge5 File: C:/New folder/ex19/verilog_files/add3_ge5.v Line: 9
+Info (12021): Found 1 design units, including 1 entities, in source file ex19.v
+ Info (12023): Found entity 1: ex19 File: C:/New folder/ex19/ex19.v Line: 1
+Info (12021): Found 1 design units, including 1 entities, in source file verilog_files/delay_block.v
+ Info (12023): Found entity 1: delay_block File: C:/New folder/ex19/verilog_files/delay_block.v Line: 40
+Info (12021): Found 1 design units, including 1 entities, in source file verilog_files/ctr_13_bit.v
+ Info (12023): Found entity 1: ctr_13_bit File: C:/New folder/ex19/verilog_files/ctr_13_bit.v Line: 40
+Info (12021): Found 1 design units, including 1 entities, in source file mult_by_h666.v
+ Info (12023): Found entity 1: mult_by_h666 File: C:/New folder/ex19/mult_by_h666.v Line: 40
+Critical Warning (10846): Verilog HDL Instantiation warning at variable_echo.v(32): instance has no name File: C:/New folder/ex19/verilog_files/variable_echo.v Line: 32
+Info (12127): Elaborating entity "ex19" for the top level hierarchy
+Info (12128): Elaborating entity "clktick_16" for hierarchy "clktick_16:GEN_10K" File: C:/New folder/ex19/ex19.v Line: 24
+Info (12128): Elaborating entity "spi2dac" for hierarchy "spi2dac:SPI_DAC" File: C:/New folder/ex19/ex19.v Line: 26
+Info (12128): Elaborating entity "pwm" for hierarchy "pwm:PWM_DC" File: C:/New folder/ex19/ex19.v Line: 27
+Info (12128): Elaborating entity "spi2adc" for hierarchy "spi2adc:SPI_ADC" File: C:/New folder/ex19/ex19.v Line: 38
+Info (12128): Elaborating entity "processor" for hierarchy "processor:echo_var_delay" File: C:/New folder/ex19/ex19.v Line: 40
+Info (12128): Elaborating entity "ctr_13_bit" for hierarchy "processor:echo_var_delay|ctr_13_bit:ctr" File: C:/New folder/ex19/verilog_files/variable_echo.v Line: 28
+Info (12128): Elaborating entity "lpm_counter" for hierarchy "processor:echo_var_delay|ctr_13_bit:ctr|lpm_counter:LPM_COUNTER_component" File: C:/New folder/ex19/verilog_files/ctr_13_bit.v Line: 65
+Info (12130): Elaborated megafunction instantiation "processor:echo_var_delay|ctr_13_bit:ctr|lpm_counter:LPM_COUNTER_component" File: C:/New folder/ex19/verilog_files/ctr_13_bit.v Line: 65
+Info (12133): Instantiated megafunction "processor:echo_var_delay|ctr_13_bit:ctr|lpm_counter:LPM_COUNTER_component" with the following parameter: File: C:/New folder/ex19/verilog_files/ctr_13_bit.v Line: 65
+ Info (12134): Parameter "lpm_direction" = "UP"
+ Info (12134): Parameter "lpm_port_updown" = "PORT_UNUSED"
+ Info (12134): Parameter "lpm_type" = "LPM_COUNTER"
+ Info (12134): Parameter "lpm_width" = "13"
+Info (12021): Found 1 design units, including 1 entities, in source file db/cntr_cjh.tdf
+ Info (12023): Found entity 1: cntr_cjh File: C:/New folder/ex19/db/cntr_cjh.tdf Line: 26
+Info (12128): Elaborating entity "cntr_cjh" for hierarchy "processor:echo_var_delay|ctr_13_bit:ctr|lpm_counter:LPM_COUNTER_component|cntr_cjh:auto_generated" File: c:/altera/16.0/quartus/libraries/megafunctions/lpm_counter.tdf Line: 259
+Info (12128): Elaborating entity "delay_block" for hierarchy "processor:echo_var_delay|delay_block:del" File: C:/New folder/ex19/verilog_files/variable_echo.v Line: 30
+Info (12128): Elaborating entity "altsyncram" for hierarchy "processor:echo_var_delay|delay_block:del|altsyncram:altsyncram_component" File: C:/New folder/ex19/verilog_files/delay_block.v Line: 92
+Info (12130): Elaborated megafunction instantiation "processor:echo_var_delay|delay_block:del|altsyncram:altsyncram_component" File: C:/New folder/ex19/verilog_files/delay_block.v Line: 92
+Info (12133): Instantiated megafunction "processor:echo_var_delay|delay_block:del|altsyncram:altsyncram_component" with the following parameter: File: C:/New folder/ex19/verilog_files/delay_block.v Line: 92
+ Info (12134): Parameter "address_aclr_b" = "NONE"
+ Info (12134): Parameter "address_reg_b" = "CLOCK0"
+ Info (12134): Parameter "clock_enable_input_a" = "BYPASS"
+ Info (12134): Parameter "clock_enable_input_b" = "BYPASS"
+ Info (12134): Parameter "clock_enable_output_b" = "BYPASS"
+ Info (12134): Parameter "intended_device_family" = "Cyclone V"
+ Info (12134): Parameter "lpm_type" = "altsyncram"
+ Info (12134): Parameter "numwords_a" = "8192"
+ Info (12134): Parameter "numwords_b" = "8192"
+ Info (12134): Parameter "operation_mode" = "DUAL_PORT"
+ Info (12134): Parameter "outdata_aclr_b" = "NONE"
+ Info (12134): Parameter "outdata_reg_b" = "CLOCK0"
+ Info (12134): Parameter "power_up_uninitialized" = "FALSE"
+ Info (12134): Parameter "ram_block_type" = "M10K"
+ Info (12134): Parameter "rdcontrol_reg_b" = "CLOCK0"
+ Info (12134): Parameter "read_during_write_mode_mixed_ports" = "DONT_CARE"
+ Info (12134): Parameter "widthad_a" = "13"
+ Info (12134): Parameter "widthad_b" = "13"
+ Info (12134): Parameter "width_a" = "9"
+ Info (12134): Parameter "width_b" = "9"
+ Info (12134): Parameter "width_byteena_a" = "1"
+Info (12021): Found 1 design units, including 1 entities, in source file db/altsyncram_nm22.tdf
+ Info (12023): Found entity 1: altsyncram_nm22 File: C:/New folder/ex19/db/altsyncram_nm22.tdf Line: 28
+Info (12128): Elaborating entity "altsyncram_nm22" for hierarchy "processor:echo_var_delay|delay_block:del|altsyncram:altsyncram_component|altsyncram_nm22:auto_generated" File: c:/altera/16.0/quartus/libraries/megafunctions/altsyncram.tdf Line: 792
+Info (12128): Elaborating entity "div_by_2" for hierarchy "processor:echo_var_delay|div_by_2:comb_6" File: C:/New folder/ex19/verilog_files/variable_echo.v Line: 32
+Info (12128): Elaborating entity "mult_by_h666" for hierarchy "processor:echo_var_delay|mult_by_h666:mul_by_h666" File: C:/New folder/ex19/verilog_files/variable_echo.v Line: 34
+Info (12128): Elaborating entity "lpm_mult" for hierarchy "processor:echo_var_delay|mult_by_h666:mul_by_h666|lpm_mult:lpm_mult_component" File: C:/New folder/ex19/mult_by_h666.v Line: 59
+Info (12130): Elaborated megafunction instantiation "processor:echo_var_delay|mult_by_h666:mul_by_h666|lpm_mult:lpm_mult_component" File: C:/New folder/ex19/mult_by_h666.v Line: 59
+Info (12133): Instantiated megafunction "processor:echo_var_delay|mult_by_h666:mul_by_h666|lpm_mult:lpm_mult_component" with the following parameter: File: C:/New folder/ex19/mult_by_h666.v Line: 59
+ Info (12134): Parameter "lpm_hint" = "INPUT_B_IS_CONSTANT=YES,MAXIMIZE_SPEED=5"
+ Info (12134): Parameter "lpm_representation" = "UNSIGNED"
+ Info (12134): Parameter "lpm_type" = "LPM_MULT"
+ Info (12134): Parameter "lpm_widtha" = "9"
+ Info (12134): Parameter "lpm_widthb" = "11"
+ Info (12134): Parameter "lpm_widthp" = "20"
+Info (12128): Elaborating entity "multcore" for hierarchy "processor:echo_var_delay|mult_by_h666:mul_by_h666|lpm_mult:lpm_mult_component|multcore:mult_core" File: c:/altera/16.0/quartus/libraries/megafunctions/lpm_mult.tdf Line: 309
+Info (12131): Elaborated megafunction instantiation "processor:echo_var_delay|mult_by_h666:mul_by_h666|lpm_mult:lpm_mult_component|multcore:mult_core", which is child of megafunction instantiation "processor:echo_var_delay|mult_by_h666:mul_by_h666|lpm_mult:lpm_mult_component" File: c:/altera/16.0/quartus/libraries/megafunctions/lpm_mult.tdf Line: 309
+Info (12128): Elaborating entity "mpar_add" for hierarchy "processor:echo_var_delay|mult_by_h666:mul_by_h666|lpm_mult:lpm_mult_component|multcore:mult_core|mpar_add:padder" File: c:/altera/16.0/quartus/libraries/megafunctions/multcore.tdf Line: 229
+Info (12131): Elaborated megafunction instantiation "processor:echo_var_delay|mult_by_h666:mul_by_h666|lpm_mult:lpm_mult_component|multcore:mult_core|mpar_add:padder", which is child of megafunction instantiation "processor:echo_var_delay|mult_by_h666:mul_by_h666|lpm_mult:lpm_mult_component" File: c:/altera/16.0/quartus/libraries/megafunctions/multcore.tdf Line: 229
+Info (12128): Elaborating entity "lpm_add_sub" for hierarchy "processor:echo_var_delay|mult_by_h666:mul_by_h666|lpm_mult:lpm_mult_component|multcore:mult_core|mpar_add:padder|lpm_add_sub:adder[0]" File: c:/altera/16.0/quartus/libraries/megafunctions/mpar_add.tdf Line: 78
+Info (12131): Elaborated megafunction instantiation "processor:echo_var_delay|mult_by_h666:mul_by_h666|lpm_mult:lpm_mult_component|multcore:mult_core|mpar_add:padder|lpm_add_sub:adder[0]", which is child of megafunction instantiation "processor:echo_var_delay|mult_by_h666:mul_by_h666|lpm_mult:lpm_mult_component" File: c:/altera/16.0/quartus/libraries/megafunctions/mpar_add.tdf Line: 78
+Info (12021): Found 1 design units, including 1 entities, in source file db/add_sub_a9h.tdf
+ Info (12023): Found entity 1: add_sub_a9h File: C:/New folder/ex19/db/add_sub_a9h.tdf Line: 23
+Info (12128): Elaborating entity "add_sub_a9h" for hierarchy "processor:echo_var_delay|mult_by_h666:mul_by_h666|lpm_mult:lpm_mult_component|multcore:mult_core|mpar_add:padder|lpm_add_sub:adder[0]|add_sub_a9h:auto_generated" File: c:/altera/16.0/quartus/libraries/megafunctions/lpm_add_sub.tdf Line: 119
+Info (12128): Elaborating entity "mpar_add" for hierarchy "processor:echo_var_delay|mult_by_h666:mul_by_h666|lpm_mult:lpm_mult_component|multcore:mult_core|mpar_add:padder|mpar_add:sub_par_add" File: c:/altera/16.0/quartus/libraries/megafunctions/mpar_add.tdf Line: 138
+Info (12131): Elaborated megafunction instantiation "processor:echo_var_delay|mult_by_h666:mul_by_h666|lpm_mult:lpm_mult_component|multcore:mult_core|mpar_add:padder|mpar_add:sub_par_add", which is child of megafunction instantiation "processor:echo_var_delay|mult_by_h666:mul_by_h666|lpm_mult:lpm_mult_component" File: c:/altera/16.0/quartus/libraries/megafunctions/mpar_add.tdf Line: 138
+Info (12128): Elaborating entity "lpm_add_sub" for hierarchy "processor:echo_var_delay|mult_by_h666:mul_by_h666|lpm_mult:lpm_mult_component|multcore:mult_core|mpar_add:padder|mpar_add:sub_par_add|lpm_add_sub:adder[0]" File: c:/altera/16.0/quartus/libraries/megafunctions/mpar_add.tdf Line: 78
+Info (12131): Elaborated megafunction instantiation "processor:echo_var_delay|mult_by_h666:mul_by_h666|lpm_mult:lpm_mult_component|multcore:mult_core|mpar_add:padder|mpar_add:sub_par_add|lpm_add_sub:adder[0]", which is child of megafunction instantiation "processor:echo_var_delay|mult_by_h666:mul_by_h666|lpm_mult:lpm_mult_component" File: c:/altera/16.0/quartus/libraries/megafunctions/mpar_add.tdf Line: 78
+Info (12021): Found 1 design units, including 1 entities, in source file db/add_sub_e9h.tdf
+ Info (12023): Found entity 1: add_sub_e9h File: C:/New folder/ex19/db/add_sub_e9h.tdf Line: 23
+Info (12128): Elaborating entity "add_sub_e9h" for hierarchy "processor:echo_var_delay|mult_by_h666:mul_by_h666|lpm_mult:lpm_mult_component|multcore:mult_core|mpar_add:padder|mpar_add:sub_par_add|lpm_add_sub:adder[0]|add_sub_e9h:auto_generated" File: c:/altera/16.0/quartus/libraries/megafunctions/lpm_add_sub.tdf Line: 119
+Info (12128): Elaborating entity "altshift" for hierarchy "processor:echo_var_delay|mult_by_h666:mul_by_h666|lpm_mult:lpm_mult_component|altshift:external_latency_ffs" File: c:/altera/16.0/quartus/libraries/megafunctions/lpm_mult.tdf Line: 352
+Info (12131): Elaborated megafunction instantiation "processor:echo_var_delay|mult_by_h666:mul_by_h666|lpm_mult:lpm_mult_component|altshift:external_latency_ffs", which is child of megafunction instantiation "processor:echo_var_delay|mult_by_h666:mul_by_h666|lpm_mult:lpm_mult_component" File: c:/altera/16.0/quartus/libraries/megafunctions/lpm_mult.tdf Line: 352
+Info (12128): Elaborating entity "bin2bcd_16" for hierarchy "processor:echo_var_delay|bin2bcd_16:bcd" File: C:/New folder/ex19/verilog_files/variable_echo.v Line: 36
+Info (12128): Elaborating entity "add3_ge5" for hierarchy "processor:echo_var_delay|bin2bcd_16:bcd|add3_ge5:A1" File: C:/New folder/ex19/verilog_files/bin2bcd_16.v Line: 26
+Info (12128): Elaborating entity "hex_to_7seg" for hierarchy "processor:echo_var_delay|hex_to_7seg:h0" File: C:/New folder/ex19/verilog_files/variable_echo.v Line: 38
+Warning (12011): Net is missing source, defaulting to GND
+ Warning (12110): Net "processor:echo_var_delay|tmp_data[9]" is missing source, defaulting to GND File: C:/New folder/ex19/verilog_files/variable_echo.v Line: 15
+Warning (14284): Synthesized away the following node(s):
+ Warning (14285): Synthesized away the following RAM node(s):
+ Warning (14320): Synthesized away node "processor:echo_var_delay|delay_block:del|altsyncram:altsyncram_component|altsyncram_nm22:auto_generated|q_b[0]" File: C:/New folder/ex19/db/altsyncram_nm22.tdf Line: 39
+Warning (12241): 4 hierarchies have connectivity warnings - see the Connectivity Checks report folder
+Warning (13024): Output pins are stuck at VCC or GND
+ Warning (13410): Pin "HEX3[1]" is stuck at GND File: C:/New folder/ex19/ex19.v Line: 7
+ Warning (13410): Pin "HEX3[2]" is stuck at GND File: C:/New folder/ex19/ex19.v Line: 7
+ Warning (13410): Pin "HEX3[6]" is stuck at VCC File: C:/New folder/ex19/ex19.v Line: 7
+ Warning (13410): Pin "HEX4[0]" is stuck at GND File: C:/New folder/ex19/ex19.v Line: 7
+ Warning (13410): Pin "HEX4[1]" is stuck at GND File: C:/New folder/ex19/ex19.v Line: 7
+ Warning (13410): Pin "HEX4[2]" is stuck at GND File: C:/New folder/ex19/ex19.v Line: 7
+ Warning (13410): Pin "HEX4[3]" is stuck at GND File: C:/New folder/ex19/ex19.v Line: 7
+ Warning (13410): Pin "HEX4[4]" is stuck at GND File: C:/New folder/ex19/ex19.v Line: 7
+ Warning (13410): Pin "HEX4[5]" is stuck at GND File: C:/New folder/ex19/ex19.v Line: 7
+ Warning (13410): Pin "HEX4[6]" is stuck at VCC File: C:/New folder/ex19/ex19.v Line: 7
+Info (286030): Timing-Driven Synthesis is running
+Info (144001): Generated suppressed messages file C:/New folder/ex19/output_files/ex19.map.smsg
+Info (16010): Generating hard_block partition "hard_block:auto_generated_inst"
+ Info (16011): Adding 0 node(s), including 0 DDIO, 0 PLL, 0 transceiver and 0 LCELL
+Warning (21074): Design contains 1 input pin(s) that do not drive logic
+ Warning (15610): No output dependent on input pin "SW[9]" File: C:/New folder/ex19/ex19.v Line: 6
+Info (21057): Implemented 312 device resources after synthesis - the final resource count might be different
+ Info (21058): Implemented 12 input pins
+ Info (21059): Implemented 43 output pins
+ Info (21061): Implemented 249 logic cells
+ Info (21064): Implemented 8 RAM segments
+Info: Quartus Prime Analysis & Synthesis was successful. 0 errors, 21 warnings
+ Info: Peak virtual memory: 916 megabytes
+ Info: Processing ended: Tue Dec 06 09:46:29 2016
+ Info: Elapsed time: 00:00:11
+ Info: Total CPU time (on all processors): 00:00:23
+
+
++------------------------------------------+
+; Analysis & Synthesis Suppressed Messages ;
++------------------------------------------+
+The suppressed messages can be found in C:/New folder/ex19/output_files/ex19.map.smsg.
+
+