summaryrefslogtreecommitdiffstats
path: root/part_1/ex1/BDF_Files/ex1.bdf
blob: 21cfdb15a4c31f0b746db85eae897999ceeec679 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
/*
WARNING: Do NOT edit the input and output ports in this file in a text
editor if you plan to continue editing the block that represents it in
the Block Editor! File corruption is VERY likely to occur.
*/
/*
Copyright (C) 1991-2016 Altera Corporation. All rights reserved.
Your use of Altera Corporation's design tools, logic functions 
and other software and tools, and its AMPP partner logic 
functions, and any output files from any of the foregoing 
(including device programming or simulation files), and any 
associated documentation or information are expressly subject 
to the terms and conditions of the Altera Program License 
Subscription Agreement, the Altera Quartus Prime License Agreement,
the Altera MegaCore Function License Agreement, or other 
applicable license agreement, including, without limitation, 
that your use is for the sole purpose of programming logic 
devices manufactured by Altera and sold by Altera or its 
authorized distributors.  Please refer to the applicable 
agreement for further details.
*/
(header "graphic" (version "1.4"))
(pin
	(input)
	(rect 584 248 752 264)
	(text "INPUT" (rect 125 0 166 11)(font "Arial" (font_size 6)))
	(text "SW[3..0]" (rect 5 0 72 14)(font "Arial" ))
	(pt 168 8)
	(drawing
		(line (pt 84 12)(pt 109 12))
		(line (pt 84 4)(pt 109 4))
		(line (pt 113 8)(pt 168 8))
		(line (pt 84 12)(pt 84 4))
		(line (pt 109 4)(pt 113 8))
		(line (pt 109 12)(pt 113 8))
	)
	(text "VCC" (rect 128 7 152 18)(font "Arial" (font_size 6)))
)
(pin
	(output)
	(rect 1144 248 1322 264)
	(text "OUTPUT" (rect 1 0 50 11)(font "Arial" (font_size 6)))
	(text "HEX0[6..0]" (rect 90 0 172 14)(font "Arial" ))
	(pt 0 8)
	(drawing
		(line (pt 0 8)(pt 52 8))
		(line (pt 52 4)(pt 78 4))
		(line (pt 52 12)(pt 78 12))
		(line (pt 52 12)(pt 52 4))
		(line (pt 78 4)(pt 82 8))
		(line (pt 82 8)(pt 78 12))
		(line (pt 78 12)(pt 82 8))
	)
)
(symbol
	(rect 840 224 1056 320)
	(text "My7seg" (rect 5 0 61 16)(font "Arial" (font_size 8)))
	(text "inst" (rect 8 78 41 92)(font "Arial" ))
	(port
		(pt 0 32)
		(input)
		(text "in[3..0]" (rect 0 0 75 16)(font "Arial" (font_size 8)))
		(text "in[3..0]" (rect 21 27 96 43)(font "Arial" (font_size 8)))
		(line (pt 0 32)(pt 16 32)(line_width 3))
	)
	(port
		(pt 216 32)
		(output)
		(text "out[6..0]" (rect 0 0 84 16)(font "Arial" (font_size 8)))
		(text "out[6..0]" (rect 111 27 195 43)(font "Arial" (font_size 8)))
		(line (pt 216 32)(pt 200 32)(line_width 3))
	)
	(drawing
		(rectangle (rect 16 16 200 80))
	)
)
(connector
	(pt 752 256)
	(pt 840 256)
	(bus)
)
(connector
	(pt 1056 256)
	(pt 1144 256)
	(bus)
)