aboutsummaryrefslogtreecommitdiffstats
path: root/student_files_2015/student_files_2015/prj2/quartus_proj/DE0_CAMERA/V/sdram_pll_waveforms.html
diff options
context:
space:
mode:
Diffstat (limited to 'student_files_2015/student_files_2015/prj2/quartus_proj/DE0_CAMERA/V/sdram_pll_waveforms.html')
-rw-r--r--student_files_2015/student_files_2015/prj2/quartus_proj/DE0_CAMERA/V/sdram_pll_waveforms.html13
1 files changed, 13 insertions, 0 deletions
diff --git a/student_files_2015/student_files_2015/prj2/quartus_proj/DE0_CAMERA/V/sdram_pll_waveforms.html b/student_files_2015/student_files_2015/prj2/quartus_proj/DE0_CAMERA/V/sdram_pll_waveforms.html
new file mode 100644
index 0000000..2d27f12
--- /dev/null
+++ b/student_files_2015/student_files_2015/prj2/quartus_proj/DE0_CAMERA/V/sdram_pll_waveforms.html
@@ -0,0 +1,13 @@
+<html>
+<head>
+<title>Sample Waveforms for sdram_pll.v </title>
+</head>
+<body>
+<h2><CENTER>Sample behavioral waveforms for design file sdram_pll.v </CENTER></h2>
+<P>The following waveforms show the behavior of altpll megafunction for the chosen set of parameters in design sdram_pll.v. The design sdram_pll.v has Cyclone II PLL_TYPE pll configured in NORMAL mode The primary clock input to the PLL is INCLK0, with clock period 20000 ps. </P>
+<CENTER><img src=sdram_pll_wave0.jpg> </CENTER>
+<P><CENTER><FONT size=2>Fig. 1 : Wave showing NORMAL mode operation. </CENTER></P>
+<P><FONT size=3></P>
+<P></P>
+</body>
+</html>