aboutsummaryrefslogtreecommitdiffstats
path: root/TestVerilog/db/TestVerilog.map_bb.logdb
diff options
context:
space:
mode:
Diffstat (limited to 'TestVerilog/db/TestVerilog.map_bb.logdb')
-rw-r--r--TestVerilog/db/TestVerilog.map_bb.logdb1
1 files changed, 1 insertions, 0 deletions
diff --git a/TestVerilog/db/TestVerilog.map_bb.logdb b/TestVerilog/db/TestVerilog.map_bb.logdb
new file mode 100644
index 0000000..626799f
--- /dev/null
+++ b/TestVerilog/db/TestVerilog.map_bb.logdb
@@ -0,0 +1 @@
+v1