aboutsummaryrefslogtreecommitdiffstats
path: root/TestVerilog/simulation/qsim/TestVerilog.vo
diff options
context:
space:
mode:
Diffstat (limited to 'TestVerilog/simulation/qsim/TestVerilog.vo')
-rw-r--r--TestVerilog/simulation/qsim/TestVerilog.vo20789
1 files changed, 20789 insertions, 0 deletions
diff --git a/TestVerilog/simulation/qsim/TestVerilog.vo b/TestVerilog/simulation/qsim/TestVerilog.vo
new file mode 100644
index 0000000..aba29fa
--- /dev/null
+++ b/TestVerilog/simulation/qsim/TestVerilog.vo
@@ -0,0 +1,20789 @@
+// Copyright (C) 1991-2013 Altera Corporation
+// Your use of Altera Corporation's design tools, logic functions
+// and other software and tools, and its AMPP partner logic
+// functions, and any output files from any of the foregoing
+// (including device programming or simulation files), and any
+// associated documentation or information are expressly subject
+// to the terms and conditions of the Altera Program License
+// Subscription Agreement, Altera MegaCore Function License
+// Agreement, or other applicable license agreement, including,
+// without limitation, that your use is for the sole purpose of
+// programming logic devices manufactured by Altera and sold by
+// Altera or its authorized distributors. Please refer to the
+// applicable agreement for further details.
+
+// VENDOR "Altera"
+// PROGRAM "Quartus II 64-Bit"
+// VERSION "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Full Version"
+
+// DATE "05/07/2016 10:40:45"
+
+//
+// Device: Altera EP3C16F484C6 Package FBGA484
+//
+
+//
+// This Verilog file should be used for ModelSim-Altera (Verilog) only
+//
+
+`timescale 1 ps/ 1 ps
+
+module TestVerilog (
+ first_red_pos_x,
+ sec_red_pos_x,
+ top_grid_x0,
+ top_grid_x1,
+ top_grid_x2,
+ top_grid_x3,
+ top_grid_x4,
+ top_grid_x5,
+ top_grid_x6,
+ top_grid_x7,
+ top_grid_x8,
+ top_grid_x9,
+ top_grid_x10,
+ top_grid_x11,
+ top_grid_x12,
+ top_grid_x13,
+ top_grid_x14,
+ top_grid_x15,
+ top_grid_x16,
+ top_grid_x17,
+ top_grid_x18,
+ top_grid_x19,
+ top_grid_x20,
+ top_grid_x21,
+ top_grid_x22,
+ top_grid_x23,
+ top_grid_x24,
+ top_grid_x25,
+ top_grid_x26,
+ top_grid_x27,
+ top_grid_x28,
+ top_grid_x29,
+ top_grid_x30,
+ top_grid_x31);
+input [9:0] first_red_pos_x;
+input [9:0] sec_red_pos_x;
+output [9:0] top_grid_x0;
+output [9:0] top_grid_x1;
+output [9:0] top_grid_x2;
+output [9:0] top_grid_x3;
+output [9:0] top_grid_x4;
+output [9:0] top_grid_x5;
+output [9:0] top_grid_x6;
+output [9:0] top_grid_x7;
+output [9:0] top_grid_x8;
+output [9:0] top_grid_x9;
+output [9:0] top_grid_x10;
+output [9:0] top_grid_x11;
+output [9:0] top_grid_x12;
+output [9:0] top_grid_x13;
+output [9:0] top_grid_x14;
+output [9:0] top_grid_x15;
+output [9:0] top_grid_x16;
+output [9:0] top_grid_x17;
+output [9:0] top_grid_x18;
+output [9:0] top_grid_x19;
+output [9:0] top_grid_x20;
+output [9:0] top_grid_x21;
+output [9:0] top_grid_x22;
+output [9:0] top_grid_x23;
+output [9:0] top_grid_x24;
+output [9:0] top_grid_x25;
+output [9:0] top_grid_x26;
+output [9:0] top_grid_x27;
+output [9:0] top_grid_x28;
+output [9:0] top_grid_x29;
+output [9:0] top_grid_x30;
+output [9:0] top_grid_x31;
+
+// Design Ports Information
+// top_grid_x0[0] => Location: PIN_AA20, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x0[1] => Location: PIN_A5, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x0[2] => Location: PIN_E3, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x0[3] => Location: PIN_C3, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x0[4] => Location: PIN_C2, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x0[5] => Location: PIN_AA2, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x0[6] => Location: PIN_U8, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x0[7] => Location: PIN_C8, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x0[8] => Location: PIN_D2, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x0[9] => Location: PIN_Y1, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x1[0] => Location: PIN_D13, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x1[1] => Location: PIN_F11, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x1[2] => Location: PIN_F13, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x1[3] => Location: PIN_G12, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x1[4] => Location: PIN_B15, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x1[5] => Location: PIN_E11, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x1[6] => Location: PIN_H12, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x1[7] => Location: PIN_A13, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x1[8] => Location: PIN_E13, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x1[9] => Location: PIN_A15, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x2[0] => Location: PIN_N22, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x2[1] => Location: PIN_N18, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x2[2] => Location: PIN_N16, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x2[3] => Location: PIN_P16, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x2[4] => Location: PIN_P20, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x2[5] => Location: PIN_R17, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x2[6] => Location: PIN_M22, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x2[7] => Location: PIN_R18, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x2[8] => Location: PIN_R19, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x2[9] => Location: PIN_W21, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x3[0] => Location: PIN_V2, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x3[1] => Location: PIN_M5, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x3[2] => Location: PIN_F1, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x3[3] => Location: PIN_P2, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x3[4] => Location: PIN_V4, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x3[5] => Location: PIN_N8, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x3[6] => Location: PIN_M4, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x3[7] => Location: PIN_P3, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x3[8] => Location: PIN_R2, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x3[9] => Location: PIN_U2, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x4[0] => Location: PIN_F20, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x4[1] => Location: PIN_F19, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x4[2] => Location: PIN_C21, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x4[3] => Location: PIN_B22, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x4[4] => Location: PIN_H16, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x4[5] => Location: PIN_G15, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x4[6] => Location: PIN_B21, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x4[7] => Location: PIN_H17, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x4[8] => Location: PIN_G16, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x4[9] => Location: PIN_G18, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x5[0] => Location: PIN_B1, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x5[1] => Location: PIN_D6, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x5[2] => Location: PIN_B2, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x5[3] => Location: PIN_B3, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x5[4] => Location: PIN_E5, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x5[5] => Location: PIN_E6, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x5[6] => Location: PIN_G5, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x5[7] => Location: PIN_C1, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x5[8] => Location: PIN_A3, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x5[9] => Location: PIN_H5, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x6[0] => Location: PIN_P1, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x6[1] => Location: PIN_P5, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x6[2] => Location: PIN_P7, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x6[3] => Location: PIN_U1, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x6[4] => Location: PIN_G3, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x6[5] => Location: PIN_G4, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x6[6] => Location: PIN_E4, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x6[7] => Location: PIN_AA1, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x6[8] => Location: PIN_T3, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x6[9] => Location: PIN_N5, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x7[0] => Location: PIN_U14, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x7[1] => Location: PIN_T16, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x7[2] => Location: PIN_V16, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x7[3] => Location: PIN_P14, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x7[4] => Location: PIN_U15, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x7[5] => Location: PIN_R14, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x7[6] => Location: PIN_AB19, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x7[7] => Location: PIN_R15, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x7[8] => Location: PIN_Y22, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x7[9] => Location: PIN_W17, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x8[0] => Location: PIN_C20, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x8[1] => Location: PIN_G17, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x8[2] => Location: PIN_E16, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x8[3] => Location: PIN_F17, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x8[4] => Location: PIN_F16, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x8[5] => Location: PIN_F15, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x8[6] => Location: PIN_D20, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x8[7] => Location: PIN_C17, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x8[8] => Location: PIN_D19, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x8[9] => Location: PIN_C19, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x9[0] => Location: PIN_D17, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x9[1] => Location: PIN_E14, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x9[2] => Location: PIN_F12, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x9[3] => Location: PIN_B17, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x9[4] => Location: PIN_A17, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x9[5] => Location: PIN_F14, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x9[6] => Location: PIN_H14, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x9[7] => Location: PIN_A16, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x9[8] => Location: PIN_E15, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x9[9] => Location: PIN_G13, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x10[0] => Location: PIN_F7, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x10[1] => Location: PIN_F8, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x10[2] => Location: PIN_C6, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x10[3] => Location: PIN_G7, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x10[4] => Location: PIN_C4, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x10[5] => Location: PIN_H9, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x10[6] => Location: PIN_G8, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x10[7] => Location: PIN_A4, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x10[8] => Location: PIN_B4, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x10[9] => Location: PIN_F10, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x11[0] => Location: PIN_G9, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x11[1] => Location: PIN_B7, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x11[2] => Location: PIN_A9, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x11[3] => Location: PIN_J4, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x11[4] => Location: PIN_C7, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x11[5] => Location: PIN_D10, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x11[6] => Location: PIN_E10, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x11[7] => Location: PIN_B8, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x11[8] => Location: PIN_J3, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x11[9] => Location: PIN_A8, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x12[0] => Location: PIN_N6, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x12[1] => Location: PIN_M8, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x12[2] => Location: PIN_W2, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x12[3] => Location: PIN_P4, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x12[4] => Location: PIN_M7, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x12[5] => Location: PIN_V1, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x12[6] => Location: PIN_H1, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x12[7] => Location: PIN_J1, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x12[8] => Location: PIN_L7, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x12[9] => Location: PIN_R1, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x13[0] => Location: PIN_M1, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x13[1] => Location: PIN_V7, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x13[2] => Location: PIN_AA4, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x13[3] => Location: PIN_F2, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x13[4] => Location: PIN_J2, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x13[5] => Location: PIN_H10, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x13[6] => Location: PIN_M6, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x13[7] => Location: PIN_M2, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x13[8] => Location: PIN_L6, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x13[9] => Location: PIN_Y2, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x14[0] => Location: PIN_AA21, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x14[1] => Location: PIN_N20, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x14[2] => Location: PIN_V21, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x14[3] => Location: PIN_W22, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x14[4] => Location: PIN_P21, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x14[5] => Location: PIN_N14, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x14[6] => Location: PIN_N17, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x14[7] => Location: PIN_P17, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x14[8] => Location: PIN_U20, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x14[9] => Location: PIN_T18, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x15[0] => Location: PIN_R9, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x15[1] => Location: PIN_Y4, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x15[2] => Location: PIN_R12, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x15[3] => Location: PIN_T7, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x15[4] => Location: PIN_T5, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x15[5] => Location: PIN_R5, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x15[6] => Location: PIN_V5, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x15[7] => Location: PIN_T8, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x15[8] => Location: PIN_Y6, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x15[9] => Location: PIN_V6, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x16[0] => Location: PIN_K16, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x16[1] => Location: PIN_D21, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x16[2] => Location: PIN_L21, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x16[3] => Location: PIN_J22, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x16[4] => Location: PIN_H19, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x16[5] => Location: PIN_J17, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x16[6] => Location: PIN_J21, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x16[7] => Location: PIN_H22, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x16[8] => Location: PIN_J16, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x16[9] => Location: PIN_H18, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x17[0] => Location: PIN_T12, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x17[1] => Location: PIN_V13, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x17[2] => Location: PIN_AB17, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x17[3] => Location: PIN_AA16, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x17[4] => Location: PIN_AA15, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x17[5] => Location: PIN_Y13, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x17[6] => Location: PIN_V14, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x17[7] => Location: PIN_AA17, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x17[8] => Location: PIN_W14, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x17[9] => Location: PIN_U13, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x18[0] => Location: PIN_AB4, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x18[1] => Location: PIN_W7, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x18[2] => Location: PIN_Y7, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x18[3] => Location: PIN_AB3, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x18[4] => Location: PIN_AB5, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x18[5] => Location: PIN_Y3, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x18[6] => Location: PIN_AA3, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x18[7] => Location: PIN_U9, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x18[8] => Location: PIN_W6, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x18[9] => Location: PIN_AA5, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x19[0] => Location: PIN_H13, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x19[1] => Location: PIN_L16, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x19[2] => Location: PIN_L22, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x19[3] => Location: PIN_K19, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x19[4] => Location: PIN_K15, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x19[5] => Location: PIN_AB15, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x19[6] => Location: PIN_L15, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x19[7] => Location: PIN_N19, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x19[8] => Location: PIN_C15, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x19[9] => Location: PIN_N21, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x20[0] => Location: PIN_J15, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x20[1] => Location: PIN_B14, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x20[2] => Location: PIN_A14, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x20[3] => Location: PIN_K21, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x20[4] => Location: PIN_A10, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x20[5] => Location: PIN_B13, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x20[6] => Location: PIN_E12, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x20[7] => Location: PIN_C13, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x20[8] => Location: PIN_H11, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x20[9] => Location: PIN_E22, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x21[0] => Location: PIN_T14, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x21[1] => Location: PIN_AA18, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x21[2] => Location: PIN_AA19, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x21[3] => Location: PIN_AB20, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x21[4] => Location: PIN_T15, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x21[5] => Location: PIN_W15, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x21[6] => Location: PIN_AB18, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x21[7] => Location: PIN_Y17, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x21[8] => Location: PIN_V15, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x21[9] => Location: PIN_Y21, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x22[0] => Location: PIN_D22, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x22[1] => Location: PIN_F22, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x22[2] => Location: PIN_F21, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x22[3] => Location: PIN_K17, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x22[4] => Location: PIN_K18, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x22[5] => Location: PIN_H20, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x22[6] => Location: PIN_E21, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x22[7] => Location: PIN_H21, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x22[8] => Location: PIN_J18, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x22[9] => Location: PIN_B19, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x23[0] => Location: PIN_M20, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x23[1] => Location: PIN_H6, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x23[2] => Location: PIN_M21, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x23[3] => Location: PIN_W20, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x23[4] => Location: PIN_M19, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x23[5] => Location: PIN_R22, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x23[6] => Location: PIN_R13, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x23[7] => Location: PIN_M16, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x23[8] => Location: PIN_K7, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x23[9] => Location: PIN_R21, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x24[0] => Location: PIN_H15, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x24[1] => Location: PIN_B20, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x24[2] => Location: PIN_G14, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x24[3] => Location: PIN_A19, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x24[4] => Location: PIN_C22, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x24[5] => Location: PIN_D15, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x24[6] => Location: PIN_A18, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x24[7] => Location: PIN_B16, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x24[8] => Location: PIN_A20, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x24[9] => Location: PIN_B18, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x25[0] => Location: PIN_U10, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x25[1] => Location: PIN_L8, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x25[2] => Location: PIN_K8, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x25[3] => Location: PIN_V10, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x25[4] => Location: PIN_AA8, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x25[5] => Location: PIN_T10, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x25[6] => Location: PIN_AB7, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x25[7] => Location: PIN_B6, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x25[8] => Location: PIN_J7, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x25[9] => Location: PIN_W8, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x26[0] => Location: PIN_N7, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x26[1] => Location: PIN_AA7, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x26[2] => Location: PIN_V8, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x26[3] => Location: PIN_N1, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x26[4] => Location: PIN_E9, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x26[5] => Location: PIN_M3, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x26[6] => Location: PIN_G10, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x26[7] => Location: PIN_Y8, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x26[8] => Location: PIN_V9, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x26[9] => Location: PIN_N2, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x27[0] => Location: PIN_T11, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x27[1] => Location: PIN_W10, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x27[2] => Location: PIN_U11, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x27[3] => Location: PIN_U21, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x27[4] => Location: PIN_AA10, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x27[5] => Location: PIN_AB8, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x27[6] => Location: PIN_AB9, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x27[7] => Location: PIN_Y10, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x27[8] => Location: PIN_AB10, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x27[9] => Location: PIN_V11, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x28[0] => Location: PIN_P15, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x28[1] => Location: PIN_U19, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x28[2] => Location: PIN_P22, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x28[3] => Location: PIN_AA22, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x28[4] => Location: PIN_R20, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x28[5] => Location: PIN_M15, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x28[6] => Location: PIN_N15, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x28[7] => Location: PIN_U22, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x28[8] => Location: PIN_T17, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x28[9] => Location: PIN_W19, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x29[0] => Location: PIN_AA13, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x29[1] => Location: PIN_V12, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x29[2] => Location: PIN_V22, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x29[3] => Location: PIN_W1, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x29[4] => Location: PIN_W13, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x29[5] => Location: PIN_AB16, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x29[6] => Location: PIN_U12, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x29[7] => Location: PIN_AB14, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x29[8] => Location: PIN_AA14, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x29[9] => Location: PIN_AB13, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x30[0] => Location: PIN_R6, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x30[1] => Location: PIN_R7, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x30[2] => Location: PIN_V3, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x30[3] => Location: PIN_R8, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x30[4] => Location: PIN_R10, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x30[5] => Location: PIN_U7, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x30[6] => Location: PIN_T9, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x30[7] => Location: PIN_T4, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x30[8] => Location: PIN_P6, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x30[9] => Location: PIN_P8, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x31[0] => Location: PIN_E1, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x31[1] => Location: PIN_AA9, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x31[2] => Location: PIN_G11, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x31[3] => Location: PIN_A7, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x31[4] => Location: PIN_J6, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x31[5] => Location: PIN_B9, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x31[6] => Location: PIN_B10, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x31[7] => Location: PIN_H2, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x31[8] => Location: PIN_A6, I/O Standard: 2.5 V, Current Strength: Default
+// top_grid_x31[9] => Location: PIN_C10, I/O Standard: 2.5 V, Current Strength: Default
+// first_red_pos_x[0] => Location: PIN_R16, I/O Standard: 2.5 V, Current Strength: Default
+// first_red_pos_x[1] => Location: PIN_B5, I/O Standard: 2.5 V, Current Strength: Default
+// first_red_pos_x[2] => Location: PIN_H7, I/O Standard: 2.5 V, Current Strength: Default
+// first_red_pos_x[3] => Location: PIN_E7, I/O Standard: 2.5 V, Current Strength: Default
+// first_red_pos_x[4] => Location: PIN_G2, I/O Standard: 2.5 V, Current Strength: Default
+// first_red_pos_x[5] => Location: PIN_G1, I/O Standard: 2.5 V, Current Strength: Default
+// first_red_pos_x[6] => Location: PIN_R11, I/O Standard: 2.5 V, Current Strength: Default
+// first_red_pos_x[7] => Location: PIN_F9, I/O Standard: 2.5 V, Current Strength: Default
+// first_red_pos_x[8] => Location: PIN_T2, I/O Standard: 2.5 V, Current Strength: Default
+// first_red_pos_x[9] => Location: PIN_T1, I/O Standard: 2.5 V, Current Strength: Default
+// sec_red_pos_x[5] => Location: PIN_AA11, I/O Standard: 2.5 V, Current Strength: Default
+// sec_red_pos_x[4] => Location: PIN_AB11, I/O Standard: 2.5 V, Current Strength: Default
+// sec_red_pos_x[3] => Location: PIN_AA12, I/O Standard: 2.5 V, Current Strength: Default
+// sec_red_pos_x[2] => Location: PIN_AB12, I/O Standard: 2.5 V, Current Strength: Default
+// sec_red_pos_x[1] => Location: PIN_T22, I/O Standard: 2.5 V, Current Strength: Default
+// sec_red_pos_x[0] => Location: PIN_T21, I/O Standard: 2.5 V, Current Strength: Default
+// sec_red_pos_x[6] => Location: PIN_G22, I/O Standard: 2.5 V, Current Strength: Default
+// sec_red_pos_x[7] => Location: PIN_G21, I/O Standard: 2.5 V, Current Strength: Default
+// sec_red_pos_x[8] => Location: PIN_A12, I/O Standard: 2.5 V, Current Strength: Default
+// sec_red_pos_x[9] => Location: PIN_B12, I/O Standard: 2.5 V, Current Strength: Default
+
+
+wire gnd;
+wire vcc;
+wire unknown;
+
+assign gnd = 1'b0;
+assign vcc = 1'b1;
+assign unknown = 1'bx;
+
+tri1 devclrn;
+tri1 devpor;
+tri1 devoe;
+wire \Mult0|mult_core|padder|adder[0]|auto_generated|op_1~8_combout ;
+wire \Mult0|mult_core|padder|adder[0]|auto_generated|op_1~10_combout ;
+wire \Mult0|mult_core|padder|adder[1]|auto_generated|op_1~0_combout ;
+wire \Mult0|mult_core|padder|adder[1]|auto_generated|op_1~2_combout ;
+wire \Mult1|mult_core|padder|adder[0]|auto_generated|op_1~8_combout ;
+wire \Mult1|mult_core|padder|adder[1]|auto_generated|op_1~2_combout ;
+wire \Mult2|mult_core|padder|adder[0]|auto_generated|op_1~10_combout ;
+wire \Mult2|mult_core|padder|adder[0]|auto_generated|op_1~15 ;
+wire \Mult2|mult_core|padder|adder[0]|auto_generated|op_1~16_combout ;
+wire \Mult3|mult_core|padder|adder[0]|auto_generated|op_1~10_combout ;
+wire \Mult3|mult_core|padder|adder[0]|auto_generated|op_1~14_combout ;
+wire \Mult3|mult_core|padder|adder[1]|auto_generated|op_1~0_combout ;
+wire \Mult3|mult_core|padder|adder[1]|auto_generated|op_1~3 ;
+wire \Mult3|mult_core|padder|adder[1]|auto_generated|op_1~4_combout ;
+wire \Mult4|mult_core|padder|adder[0]|auto_generated|op_1~9 ;
+wire \Mult4|mult_core|padder|adder[0]|auto_generated|op_1~10_combout ;
+wire \Mult4|mult_core|padder|adder[0]|auto_generated|op_1~11 ;
+wire \Mult4|mult_core|padder|adder[0]|auto_generated|op_1~12_combout ;
+wire \Mult4|mult_core|padder|adder[0]|auto_generated|op_1~13 ;
+wire \Mult4|mult_core|padder|adder[0]|auto_generated|op_1~14_combout ;
+wire \Mult5|mult_core|padder|adder[0]|auto_generated|op_1~8_combout ;
+wire \Mult5|mult_core|padder|adder[0]|auto_generated|op_1~10_combout ;
+wire \Mult5|mult_core|padder|adder[0]|auto_generated|op_1~12_combout ;
+wire \Mult5|mult_core|padder|adder[0]|auto_generated|op_1~15 ;
+wire \Mult5|mult_core|padder|adder[0]|auto_generated|op_1~16_combout ;
+wire \Mult6|mult_core|padder|adder[0]|auto_generated|op_1~8_combout ;
+wire \Mult6|mult_core|padder|adder[0]|auto_generated|op_1~10_combout ;
+wire \Mult6|mult_core|padder|adder[0]|auto_generated|op_1~15 ;
+wire \Mult6|mult_core|padder|adder[0]|auto_generated|op_1~16_combout ;
+wire \Mult6|mult_core|padder|adder[1]|auto_generated|op_1~2_combout ;
+wire \Mult8|mult_core|padder|adder[0]|auto_generated|op_1~10_combout ;
+wire \Mult8|mult_core|padder|adder[0]|auto_generated|op_1~12_combout ;
+wire \Mult8|mult_core|padder|adder[1]|auto_generated|op_1~0_combout ;
+wire \Mult8|mult_core|padder|adder[1]|auto_generated|op_1~3 ;
+wire \Mult8|mult_core|padder|adder[1]|auto_generated|op_1~4_combout ;
+wire \Mult9|mult_core|padder|adder[0]|auto_generated|op_1~8_combout ;
+wire \Mult9|mult_core|padder|adder[0]|auto_generated|op_1~12_combout ;
+wire \Mult9|mult_core|padder|adder[0]|auto_generated|op_1~15 ;
+wire \Mult9|mult_core|padder|adder[0]|auto_generated|op_1~16_combout ;
+wire \Mult9|mult_core|padder|adder[1]|auto_generated|op_1~3 ;
+wire \Mult9|mult_core|padder|adder[1]|auto_generated|op_1~4_combout ;
+wire \Mult0|mult_core|romout[0][5]~1_combout ;
+wire \Mult0|mult_core|romout[1][2]~combout ;
+wire \Mult0|mult_core|_~0_combout ;
+wire \Mult0|mult_core|_~2_combout ;
+wire \Mult1|mult_core|romout[1][3]~combout ;
+wire \Mult1|mult_core|romout[2][3]~5_combout ;
+wire \Mult1|mult_core|romout[2][4]~combout ;
+wire \Mult1|mult_core|romout[2][5]~combout ;
+wire \Mult2|mult_core|romout[0][5]~combout ;
+wire \Mult2|mult_core|romout[0][7]~combout ;
+wire \Mult6|mult_core|romout[0][8]~0_combout ;
+wire \Mult2|mult_core|romout[1][6]~combout ;
+wire \Mult0|mult_core|_~4_combout ;
+wire \Mult0|mult_core|_~5_combout ;
+wire \Mult2|mult_core|romout[2][5]~combout ;
+wire \Mult3|mult_core|romout[0][5]~combout ;
+wire \Mult3|mult_core|romout[0][4]~0_combout ;
+wire \Mult3|mult_core|romout[0][7]~combout ;
+wire \Mult3|mult_core|romout[1][4]~1_combout ;
+wire \Mult3|mult_core|romout[1][6]~combout ;
+wire \Mult0|mult_core|_~7_combout ;
+wire \Mult5|mult_core|romout[0][6]~combout ;
+wire \Mult5|mult_core|romout[1][3]~combout ;
+wire \Mult5|mult_core|romout[1][5]~combout ;
+wire \Mult5|mult_core|romout[2][4]~combout ;
+wire \Mult0|mult_core|_~9_combout ;
+wire \Mult6|mult_core|romout[0][5]~combout ;
+wire \Mult6|mult_core|romout[0][4]~combout ;
+wire \Mult6|mult_core|romout[0][6]~2_combout ;
+wire \Mult6|mult_core|romout[0][7]~combout ;
+wire \Mult6|mult_core|romout[1][4]~4_combout ;
+wire \Mult6|mult_core|romout[1][5]~combout ;
+wire \Mult6|mult_core|romout[1][8]~combout ;
+wire \Mult8|mult_core|romout[0][5]~combout ;
+wire \Mult8|mult_core|romout[0][4]~combout ;
+wire \Mult8|mult_core|romout[1][4]~0_combout ;
+wire \Mult8|mult_core|romout[1][6]~1_combout ;
+wire \Mult8|mult_core|romout[2][4]~2_combout ;
+wire \Mult0|mult_core|_~10_combout ;
+wire \Mult8|mult_core|romout[2][6]~combout ;
+wire \Mult9|mult_core|romout[0][5]~combout ;
+wire \Mult9|mult_core|romout[0][6]~0_combout ;
+wire \Mult9|mult_core|romout[0][8]~2_combout ;
+wire \Mult9|mult_core|romout[1][5]~combout ;
+wire \Mult9|mult_core|romout[1][7]~combout ;
+wire \Mult9|mult_core|romout[1][8]~4_combout ;
+wire \Mult9|mult_core|romout[2][6]~combout ;
+wire \sec_red_pos_x[5]~input_o ;
+wire \sec_red_pos_x[4]~input_o ;
+wire \sec_red_pos_x[2]~input_o ;
+wire \sec_red_pos_x[0]~input_o ;
+wire \sec_red_pos_x[7]~input_o ;
+wire \top_grid_x0[0]~output_o ;
+wire \top_grid_x0[1]~output_o ;
+wire \top_grid_x0[2]~output_o ;
+wire \top_grid_x0[3]~output_o ;
+wire \top_grid_x0[4]~output_o ;
+wire \top_grid_x0[5]~output_o ;
+wire \top_grid_x0[6]~output_o ;
+wire \top_grid_x0[7]~output_o ;
+wire \top_grid_x0[8]~output_o ;
+wire \top_grid_x0[9]~output_o ;
+wire \top_grid_x1[0]~output_o ;
+wire \top_grid_x1[1]~output_o ;
+wire \top_grid_x1[2]~output_o ;
+wire \top_grid_x1[3]~output_o ;
+wire \top_grid_x1[4]~output_o ;
+wire \top_grid_x1[5]~output_o ;
+wire \top_grid_x1[6]~output_o ;
+wire \top_grid_x1[7]~output_o ;
+wire \top_grid_x1[8]~output_o ;
+wire \top_grid_x1[9]~output_o ;
+wire \top_grid_x2[0]~output_o ;
+wire \top_grid_x2[1]~output_o ;
+wire \top_grid_x2[2]~output_o ;
+wire \top_grid_x2[3]~output_o ;
+wire \top_grid_x2[4]~output_o ;
+wire \top_grid_x2[5]~output_o ;
+wire \top_grid_x2[6]~output_o ;
+wire \top_grid_x2[7]~output_o ;
+wire \top_grid_x2[8]~output_o ;
+wire \top_grid_x2[9]~output_o ;
+wire \top_grid_x3[0]~output_o ;
+wire \top_grid_x3[1]~output_o ;
+wire \top_grid_x3[2]~output_o ;
+wire \top_grid_x3[3]~output_o ;
+wire \top_grid_x3[4]~output_o ;
+wire \top_grid_x3[5]~output_o ;
+wire \top_grid_x3[6]~output_o ;
+wire \top_grid_x3[7]~output_o ;
+wire \top_grid_x3[8]~output_o ;
+wire \top_grid_x3[9]~output_o ;
+wire \top_grid_x4[0]~output_o ;
+wire \top_grid_x4[1]~output_o ;
+wire \top_grid_x4[2]~output_o ;
+wire \top_grid_x4[3]~output_o ;
+wire \top_grid_x4[4]~output_o ;
+wire \top_grid_x4[5]~output_o ;
+wire \top_grid_x4[6]~output_o ;
+wire \top_grid_x4[7]~output_o ;
+wire \top_grid_x4[8]~output_o ;
+wire \top_grid_x4[9]~output_o ;
+wire \top_grid_x5[0]~output_o ;
+wire \top_grid_x5[1]~output_o ;
+wire \top_grid_x5[2]~output_o ;
+wire \top_grid_x5[3]~output_o ;
+wire \top_grid_x5[4]~output_o ;
+wire \top_grid_x5[5]~output_o ;
+wire \top_grid_x5[6]~output_o ;
+wire \top_grid_x5[7]~output_o ;
+wire \top_grid_x5[8]~output_o ;
+wire \top_grid_x5[9]~output_o ;
+wire \top_grid_x6[0]~output_o ;
+wire \top_grid_x6[1]~output_o ;
+wire \top_grid_x6[2]~output_o ;
+wire \top_grid_x6[3]~output_o ;
+wire \top_grid_x6[4]~output_o ;
+wire \top_grid_x6[5]~output_o ;
+wire \top_grid_x6[6]~output_o ;
+wire \top_grid_x6[7]~output_o ;
+wire \top_grid_x6[8]~output_o ;
+wire \top_grid_x6[9]~output_o ;
+wire \top_grid_x7[0]~output_o ;
+wire \top_grid_x7[1]~output_o ;
+wire \top_grid_x7[2]~output_o ;
+wire \top_grid_x7[3]~output_o ;
+wire \top_grid_x7[4]~output_o ;
+wire \top_grid_x7[5]~output_o ;
+wire \top_grid_x7[6]~output_o ;
+wire \top_grid_x7[7]~output_o ;
+wire \top_grid_x7[8]~output_o ;
+wire \top_grid_x7[9]~output_o ;
+wire \top_grid_x8[0]~output_o ;
+wire \top_grid_x8[1]~output_o ;
+wire \top_grid_x8[2]~output_o ;
+wire \top_grid_x8[3]~output_o ;
+wire \top_grid_x8[4]~output_o ;
+wire \top_grid_x8[5]~output_o ;
+wire \top_grid_x8[6]~output_o ;
+wire \top_grid_x8[7]~output_o ;
+wire \top_grid_x8[8]~output_o ;
+wire \top_grid_x8[9]~output_o ;
+wire \top_grid_x9[0]~output_o ;
+wire \top_grid_x9[1]~output_o ;
+wire \top_grid_x9[2]~output_o ;
+wire \top_grid_x9[3]~output_o ;
+wire \top_grid_x9[4]~output_o ;
+wire \top_grid_x9[5]~output_o ;
+wire \top_grid_x9[6]~output_o ;
+wire \top_grid_x9[7]~output_o ;
+wire \top_grid_x9[8]~output_o ;
+wire \top_grid_x9[9]~output_o ;
+wire \top_grid_x10[0]~output_o ;
+wire \top_grid_x10[1]~output_o ;
+wire \top_grid_x10[2]~output_o ;
+wire \top_grid_x10[3]~output_o ;
+wire \top_grid_x10[4]~output_o ;
+wire \top_grid_x10[5]~output_o ;
+wire \top_grid_x10[6]~output_o ;
+wire \top_grid_x10[7]~output_o ;
+wire \top_grid_x10[8]~output_o ;
+wire \top_grid_x10[9]~output_o ;
+wire \top_grid_x11[0]~output_o ;
+wire \top_grid_x11[1]~output_o ;
+wire \top_grid_x11[2]~output_o ;
+wire \top_grid_x11[3]~output_o ;
+wire \top_grid_x11[4]~output_o ;
+wire \top_grid_x11[5]~output_o ;
+wire \top_grid_x11[6]~output_o ;
+wire \top_grid_x11[7]~output_o ;
+wire \top_grid_x11[8]~output_o ;
+wire \top_grid_x11[9]~output_o ;
+wire \top_grid_x12[0]~output_o ;
+wire \top_grid_x12[1]~output_o ;
+wire \top_grid_x12[2]~output_o ;
+wire \top_grid_x12[3]~output_o ;
+wire \top_grid_x12[4]~output_o ;
+wire \top_grid_x12[5]~output_o ;
+wire \top_grid_x12[6]~output_o ;
+wire \top_grid_x12[7]~output_o ;
+wire \top_grid_x12[8]~output_o ;
+wire \top_grid_x12[9]~output_o ;
+wire \top_grid_x13[0]~output_o ;
+wire \top_grid_x13[1]~output_o ;
+wire \top_grid_x13[2]~output_o ;
+wire \top_grid_x13[3]~output_o ;
+wire \top_grid_x13[4]~output_o ;
+wire \top_grid_x13[5]~output_o ;
+wire \top_grid_x13[6]~output_o ;
+wire \top_grid_x13[7]~output_o ;
+wire \top_grid_x13[8]~output_o ;
+wire \top_grid_x13[9]~output_o ;
+wire \top_grid_x14[0]~output_o ;
+wire \top_grid_x14[1]~output_o ;
+wire \top_grid_x14[2]~output_o ;
+wire \top_grid_x14[3]~output_o ;
+wire \top_grid_x14[4]~output_o ;
+wire \top_grid_x14[5]~output_o ;
+wire \top_grid_x14[6]~output_o ;
+wire \top_grid_x14[7]~output_o ;
+wire \top_grid_x14[8]~output_o ;
+wire \top_grid_x14[9]~output_o ;
+wire \top_grid_x15[0]~output_o ;
+wire \top_grid_x15[1]~output_o ;
+wire \top_grid_x15[2]~output_o ;
+wire \top_grid_x15[3]~output_o ;
+wire \top_grid_x15[4]~output_o ;
+wire \top_grid_x15[5]~output_o ;
+wire \top_grid_x15[6]~output_o ;
+wire \top_grid_x15[7]~output_o ;
+wire \top_grid_x15[8]~output_o ;
+wire \top_grid_x15[9]~output_o ;
+wire \top_grid_x16[0]~output_o ;
+wire \top_grid_x16[1]~output_o ;
+wire \top_grid_x16[2]~output_o ;
+wire \top_grid_x16[3]~output_o ;
+wire \top_grid_x16[4]~output_o ;
+wire \top_grid_x16[5]~output_o ;
+wire \top_grid_x16[6]~output_o ;
+wire \top_grid_x16[7]~output_o ;
+wire \top_grid_x16[8]~output_o ;
+wire \top_grid_x16[9]~output_o ;
+wire \top_grid_x17[0]~output_o ;
+wire \top_grid_x17[1]~output_o ;
+wire \top_grid_x17[2]~output_o ;
+wire \top_grid_x17[3]~output_o ;
+wire \top_grid_x17[4]~output_o ;
+wire \top_grid_x17[5]~output_o ;
+wire \top_grid_x17[6]~output_o ;
+wire \top_grid_x17[7]~output_o ;
+wire \top_grid_x17[8]~output_o ;
+wire \top_grid_x17[9]~output_o ;
+wire \top_grid_x18[0]~output_o ;
+wire \top_grid_x18[1]~output_o ;
+wire \top_grid_x18[2]~output_o ;
+wire \top_grid_x18[3]~output_o ;
+wire \top_grid_x18[4]~output_o ;
+wire \top_grid_x18[5]~output_o ;
+wire \top_grid_x18[6]~output_o ;
+wire \top_grid_x18[7]~output_o ;
+wire \top_grid_x18[8]~output_o ;
+wire \top_grid_x18[9]~output_o ;
+wire \top_grid_x19[0]~output_o ;
+wire \top_grid_x19[1]~output_o ;
+wire \top_grid_x19[2]~output_o ;
+wire \top_grid_x19[3]~output_o ;
+wire \top_grid_x19[4]~output_o ;
+wire \top_grid_x19[5]~output_o ;
+wire \top_grid_x19[6]~output_o ;
+wire \top_grid_x19[7]~output_o ;
+wire \top_grid_x19[8]~output_o ;
+wire \top_grid_x19[9]~output_o ;
+wire \top_grid_x20[0]~output_o ;
+wire \top_grid_x20[1]~output_o ;
+wire \top_grid_x20[2]~output_o ;
+wire \top_grid_x20[3]~output_o ;
+wire \top_grid_x20[4]~output_o ;
+wire \top_grid_x20[5]~output_o ;
+wire \top_grid_x20[6]~output_o ;
+wire \top_grid_x20[7]~output_o ;
+wire \top_grid_x20[8]~output_o ;
+wire \top_grid_x20[9]~output_o ;
+wire \top_grid_x21[0]~output_o ;
+wire \top_grid_x21[1]~output_o ;
+wire \top_grid_x21[2]~output_o ;
+wire \top_grid_x21[3]~output_o ;
+wire \top_grid_x21[4]~output_o ;
+wire \top_grid_x21[5]~output_o ;
+wire \top_grid_x21[6]~output_o ;
+wire \top_grid_x21[7]~output_o ;
+wire \top_grid_x21[8]~output_o ;
+wire \top_grid_x21[9]~output_o ;
+wire \top_grid_x22[0]~output_o ;
+wire \top_grid_x22[1]~output_o ;
+wire \top_grid_x22[2]~output_o ;
+wire \top_grid_x22[3]~output_o ;
+wire \top_grid_x22[4]~output_o ;
+wire \top_grid_x22[5]~output_o ;
+wire \top_grid_x22[6]~output_o ;
+wire \top_grid_x22[7]~output_o ;
+wire \top_grid_x22[8]~output_o ;
+wire \top_grid_x22[9]~output_o ;
+wire \top_grid_x23[0]~output_o ;
+wire \top_grid_x23[1]~output_o ;
+wire \top_grid_x23[2]~output_o ;
+wire \top_grid_x23[3]~output_o ;
+wire \top_grid_x23[4]~output_o ;
+wire \top_grid_x23[5]~output_o ;
+wire \top_grid_x23[6]~output_o ;
+wire \top_grid_x23[7]~output_o ;
+wire \top_grid_x23[8]~output_o ;
+wire \top_grid_x23[9]~output_o ;
+wire \top_grid_x24[0]~output_o ;
+wire \top_grid_x24[1]~output_o ;
+wire \top_grid_x24[2]~output_o ;
+wire \top_grid_x24[3]~output_o ;
+wire \top_grid_x24[4]~output_o ;
+wire \top_grid_x24[5]~output_o ;
+wire \top_grid_x24[6]~output_o ;
+wire \top_grid_x24[7]~output_o ;
+wire \top_grid_x24[8]~output_o ;
+wire \top_grid_x24[9]~output_o ;
+wire \top_grid_x25[0]~output_o ;
+wire \top_grid_x25[1]~output_o ;
+wire \top_grid_x25[2]~output_o ;
+wire \top_grid_x25[3]~output_o ;
+wire \top_grid_x25[4]~output_o ;
+wire \top_grid_x25[5]~output_o ;
+wire \top_grid_x25[6]~output_o ;
+wire \top_grid_x25[7]~output_o ;
+wire \top_grid_x25[8]~output_o ;
+wire \top_grid_x25[9]~output_o ;
+wire \top_grid_x26[0]~output_o ;
+wire \top_grid_x26[1]~output_o ;
+wire \top_grid_x26[2]~output_o ;
+wire \top_grid_x26[3]~output_o ;
+wire \top_grid_x26[4]~output_o ;
+wire \top_grid_x26[5]~output_o ;
+wire \top_grid_x26[6]~output_o ;
+wire \top_grid_x26[7]~output_o ;
+wire \top_grid_x26[8]~output_o ;
+wire \top_grid_x26[9]~output_o ;
+wire \top_grid_x27[0]~output_o ;
+wire \top_grid_x27[1]~output_o ;
+wire \top_grid_x27[2]~output_o ;
+wire \top_grid_x27[3]~output_o ;
+wire \top_grid_x27[4]~output_o ;
+wire \top_grid_x27[5]~output_o ;
+wire \top_grid_x27[6]~output_o ;
+wire \top_grid_x27[7]~output_o ;
+wire \top_grid_x27[8]~output_o ;
+wire \top_grid_x27[9]~output_o ;
+wire \top_grid_x28[0]~output_o ;
+wire \top_grid_x28[1]~output_o ;
+wire \top_grid_x28[2]~output_o ;
+wire \top_grid_x28[3]~output_o ;
+wire \top_grid_x28[4]~output_o ;
+wire \top_grid_x28[5]~output_o ;
+wire \top_grid_x28[6]~output_o ;
+wire \top_grid_x28[7]~output_o ;
+wire \top_grid_x28[8]~output_o ;
+wire \top_grid_x28[9]~output_o ;
+wire \top_grid_x29[0]~output_o ;
+wire \top_grid_x29[1]~output_o ;
+wire \top_grid_x29[2]~output_o ;
+wire \top_grid_x29[3]~output_o ;
+wire \top_grid_x29[4]~output_o ;
+wire \top_grid_x29[5]~output_o ;
+wire \top_grid_x29[6]~output_o ;
+wire \top_grid_x29[7]~output_o ;
+wire \top_grid_x29[8]~output_o ;
+wire \top_grid_x29[9]~output_o ;
+wire \top_grid_x30[0]~output_o ;
+wire \top_grid_x30[1]~output_o ;
+wire \top_grid_x30[2]~output_o ;
+wire \top_grid_x30[3]~output_o ;
+wire \top_grid_x30[4]~output_o ;
+wire \top_grid_x30[5]~output_o ;
+wire \top_grid_x30[6]~output_o ;
+wire \top_grid_x30[7]~output_o ;
+wire \top_grid_x30[8]~output_o ;
+wire \top_grid_x30[9]~output_o ;
+wire \top_grid_x31[0]~output_o ;
+wire \top_grid_x31[1]~output_o ;
+wire \top_grid_x31[2]~output_o ;
+wire \top_grid_x31[3]~output_o ;
+wire \top_grid_x31[4]~output_o ;
+wire \top_grid_x31[5]~output_o ;
+wire \top_grid_x31[6]~output_o ;
+wire \top_grid_x31[7]~output_o ;
+wire \top_grid_x31[8]~output_o ;
+wire \top_grid_x31[9]~output_o ;
+wire \first_red_pos_x[0]~input_o ;
+wire \first_red_pos_x[1]~input_o ;
+wire \first_red_pos_x[2]~input_o ;
+wire \first_red_pos_x[3]~input_o ;
+wire \first_red_pos_x[4]~input_o ;
+wire \first_red_pos_x[5]~input_o ;
+wire \first_red_pos_x[6]~input_o ;
+wire \first_red_pos_x[7]~input_o ;
+wire \first_red_pos_x[8]~input_o ;
+wire \first_red_pos_x[9]~input_o ;
+wire \sec_red_pos_x[3]~input_o ;
+wire \sec_red_pos_x[1]~input_o ;
+wire \Add0~1 ;
+wire \Add0~3 ;
+wire \Add0~5 ;
+wire \Add0~7 ;
+wire \Add0~9 ;
+wire \Add0~10_combout ;
+wire \Add1~0_combout ;
+wire \sec_red_pos_x[6]~input_o ;
+wire \Add0~11 ;
+wire \Add0~12_combout ;
+wire \Add1~1 ;
+wire \Add1~2_combout ;
+wire \Add0~13 ;
+wire \Add0~14_combout ;
+wire \Add1~3 ;
+wire \Add1~4_combout ;
+wire \sec_red_pos_x[8]~input_o ;
+wire \Add0~15 ;
+wire \Add0~16_combout ;
+wire \Add1~5 ;
+wire \Add1~6_combout ;
+wire \sec_red_pos_x[9]~input_o ;
+wire \Add0~17 ;
+wire \Add0~18_combout ;
+wire \Add1~7 ;
+wire \Add1~8_combout ;
+wire \Add0~19 ;
+wire \Add0~20_combout ;
+wire \Add1~9 ;
+wire \Add1~10_combout ;
+wire \Add1~11 ;
+wire \Add1~12_combout ;
+wire \Add1~13 ;
+wire \Add1~14_combout ;
+wire \Add1~15 ;
+wire \Add1~16_combout ;
+wire \Add1~17 ;
+wire \Add1~18_combout ;
+wire \Add0~8_combout ;
+wire \Add2~0_combout ;
+wire \Add2~1 ;
+wire \Add2~2_combout ;
+wire \Add2~3 ;
+wire \Add2~4_combout ;
+wire \Add2~5 ;
+wire \Add2~6_combout ;
+wire \Add2~7 ;
+wire \Add2~8_combout ;
+wire \Add2~9 ;
+wire \Add2~10_combout ;
+wire \Add2~11 ;
+wire \Add2~12_combout ;
+wire \Add2~13 ;
+wire \Add2~14_combout ;
+wire \Add2~15 ;
+wire \Add2~16_combout ;
+wire \Add2~17 ;
+wire \Add2~18_combout ;
+wire \Add0~6_combout ;
+wire \Add0~2_combout ;
+wire \Add3~1_cout ;
+wire \Add3~3_cout ;
+wire \Add3~5 ;
+wire \Add3~7 ;
+wire \Add3~8_combout ;
+wire \Add4~0_combout ;
+wire \Add3~9 ;
+wire \Add3~10_combout ;
+wire \Add4~1 ;
+wire \Add4~2_combout ;
+wire \Add3~11 ;
+wire \Add3~12_combout ;
+wire \Add4~3 ;
+wire \Add4~4_combout ;
+wire \Add3~13 ;
+wire \Add3~14_combout ;
+wire \Add4~5 ;
+wire \Add4~6_combout ;
+wire \Add3~15 ;
+wire \Add3~16_combout ;
+wire \Add4~7 ;
+wire \Add4~8_combout ;
+wire \Add3~17 ;
+wire \Add3~18_combout ;
+wire \Add4~9 ;
+wire \Add4~10_combout ;
+wire \Add3~19 ;
+wire \Add3~20_combout ;
+wire \Add4~11 ;
+wire \Add4~12_combout ;
+wire \Add3~21 ;
+wire \Add3~22_combout ;
+wire \Add4~13 ;
+wire \Add4~14_combout ;
+wire \Add4~15 ;
+wire \Add4~16_combout ;
+wire \Add4~17 ;
+wire \Add4~18_combout ;
+wire \Add5~0_combout ;
+wire \Add5~1 ;
+wire \Add5~2_combout ;
+wire \Add5~3 ;
+wire \Add5~4_combout ;
+wire \Add5~5 ;
+wire \Add5~6_combout ;
+wire \Add5~7 ;
+wire \Add5~8_combout ;
+wire \Add5~9 ;
+wire \Add5~10_combout ;
+wire \Add5~11 ;
+wire \Add5~12_combout ;
+wire \Add5~13 ;
+wire \Add5~14_combout ;
+wire \Add5~15 ;
+wire \Add5~16_combout ;
+wire \Add5~17 ;
+wire \Add5~18_combout ;
+wire \Add0~0_combout ;
+wire \Add6~1_cout ;
+wire \Add6~3_cout ;
+wire \Add6~5 ;
+wire \Add6~6_combout ;
+wire \Add7~0_combout ;
+wire \Add6~7 ;
+wire \Add6~8_combout ;
+wire \Add7~1 ;
+wire \Add7~2_combout ;
+wire \Add6~9 ;
+wire \Add6~10_combout ;
+wire \Add7~3 ;
+wire \Add7~4_combout ;
+wire \Add6~11 ;
+wire \Add6~12_combout ;
+wire \Add7~5 ;
+wire \Add7~6_combout ;
+wire \Add6~13 ;
+wire \Add6~14_combout ;
+wire \Add7~7 ;
+wire \Add7~8_combout ;
+wire \Add6~15 ;
+wire \Add6~16_combout ;
+wire \Add7~9 ;
+wire \Add7~10_combout ;
+wire \Add6~17 ;
+wire \Add6~18_combout ;
+wire \Add7~11 ;
+wire \Add7~12_combout ;
+wire \Add6~19 ;
+wire \Add6~20_combout ;
+wire \Add7~13 ;
+wire \Add7~14_combout ;
+wire \Add6~21 ;
+wire \Add6~22_combout ;
+wire \Add7~15 ;
+wire \Add7~16_combout ;
+wire \Add7~17 ;
+wire \Add7~18_combout ;
+wire \Add3~6_combout ;
+wire \Add9~0_combout ;
+wire \Add9~1 ;
+wire \Add9~2_combout ;
+wire \Add9~3 ;
+wire \Add9~4_combout ;
+wire \Add9~5 ;
+wire \Add9~6_combout ;
+wire \Add9~7 ;
+wire \Add9~8_combout ;
+wire \Add9~9 ;
+wire \Add9~10_combout ;
+wire \Add9~11 ;
+wire \Add9~12_combout ;
+wire \Add9~13 ;
+wire \Add9~14_combout ;
+wire \Add9~15 ;
+wire \Add9~16_combout ;
+wire \Add9~17 ;
+wire \Add9~18_combout ;
+wire \Add0~4_combout ;
+wire \Add11~1_cout ;
+wire \Add11~3_cout ;
+wire \Add11~4_combout ;
+wire \Add12~0_combout ;
+wire \Add10~1_cout ;
+wire \Add10~3_cout ;
+wire \Add10~5 ;
+wire \Add10~7 ;
+wire \Add10~9 ;
+wire \Add10~10_combout ;
+wire \Add11~5 ;
+wire \Add11~6_combout ;
+wire \Add12~1 ;
+wire \Add12~2_combout ;
+wire \Add11~7 ;
+wire \Add11~8_combout ;
+wire \Add12~3 ;
+wire \Add12~4_combout ;
+wire \Add10~11 ;
+wire \Add10~13 ;
+wire \Add10~14_combout ;
+wire \Add11~9 ;
+wire \Add11~10_combout ;
+wire \Add12~5 ;
+wire \Add12~6_combout ;
+wire \Add11~11 ;
+wire \Add11~12_combout ;
+wire \Add12~7 ;
+wire \Add12~8_combout ;
+wire \Add10~15 ;
+wire \Add10~17 ;
+wire \Add10~18_combout ;
+wire \Add11~13 ;
+wire \Add11~14_combout ;
+wire \Add12~9 ;
+wire \Add12~10_combout ;
+wire \Add11~15 ;
+wire \Add11~16_combout ;
+wire \Add12~11 ;
+wire \Add12~12_combout ;
+wire \Add11~17 ;
+wire \Add11~18_combout ;
+wire \Add12~13 ;
+wire \Add12~14_combout ;
+wire \Add11~19 ;
+wire \Add11~20_combout ;
+wire \Add12~15 ;
+wire \Add12~16_combout ;
+wire \Add11~21 ;
+wire \Add11~22_combout ;
+wire \Add12~17 ;
+wire \Add12~18_combout ;
+wire \Add13~0_combout ;
+wire \Add13~1 ;
+wire \Add13~2_combout ;
+wire \Add13~3 ;
+wire \Add13~4_combout ;
+wire \Add13~5 ;
+wire \Add13~6_combout ;
+wire \Add13~7 ;
+wire \Add13~8_combout ;
+wire \Add13~9 ;
+wire \Add13~10_combout ;
+wire \Add13~11 ;
+wire \Add13~12_combout ;
+wire \Add13~13 ;
+wire \Add13~14_combout ;
+wire \Add13~15 ;
+wire \Add13~16_combout ;
+wire \Add13~17 ;
+wire \Add13~18_combout ;
+wire \Add14~1_cout ;
+wire \Add14~3_cout ;
+wire \Add14~4_combout ;
+wire \Add15~0_combout ;
+wire \Add14~5 ;
+wire \Add14~6_combout ;
+wire \Add15~1 ;
+wire \Add15~2_combout ;
+wire \Add14~7 ;
+wire \Add14~8_combout ;
+wire \Add15~3 ;
+wire \Add15~4_combout ;
+wire \Add14~9 ;
+wire \Add14~10_combout ;
+wire \Add15~5 ;
+wire \Add15~6_combout ;
+wire \Add14~11 ;
+wire \Add14~12_combout ;
+wire \Add15~7 ;
+wire \Add15~8_combout ;
+wire \Add14~13 ;
+wire \Add14~14_combout ;
+wire \Add15~9 ;
+wire \Add15~10_combout ;
+wire \Add14~15 ;
+wire \Add14~16_combout ;
+wire \Add15~11 ;
+wire \Add15~12_combout ;
+wire \Add14~17 ;
+wire \Add14~18_combout ;
+wire \Add15~13 ;
+wire \Add15~14_combout ;
+wire \Add14~19 ;
+wire \Add14~20_combout ;
+wire \Add15~15 ;
+wire \Add15~16_combout ;
+wire \Add14~21 ;
+wire \Add14~22_combout ;
+wire \Add15~17 ;
+wire \Add15~18_combout ;
+wire \Add6~4_combout ;
+wire \Add17~0_combout ;
+wire \Add17~1 ;
+wire \Add17~2_combout ;
+wire \Add17~3 ;
+wire \Add17~4_combout ;
+wire \Add17~5 ;
+wire \Add17~6_combout ;
+wire \Add17~7 ;
+wire \Add17~8_combout ;
+wire \Add17~9 ;
+wire \Add17~10_combout ;
+wire \Add17~11 ;
+wire \Add17~12_combout ;
+wire \Add17~13 ;
+wire \Add17~14_combout ;
+wire \Add17~15 ;
+wire \Add17~16_combout ;
+wire \Add17~17 ;
+wire \Add17~18_combout ;
+wire \Mult0|mult_core|romout[1][1]~0_combout ;
+wire \Mult0|mult_core|padder|adder[0]|auto_generated|op_1~1_cout ;
+wire \Mult0|mult_core|padder|adder[0]|auto_generated|op_1~2_combout ;
+wire \Add18~0_combout ;
+wire \Mult0|mult_core|romout[0][6]~combout ;
+wire \Mult0|mult_core|padder|adder[0]|auto_generated|op_1~3 ;
+wire \Mult0|mult_core|padder|adder[0]|auto_generated|op_1~4_combout ;
+wire \Add18~1 ;
+wire \Add18~2_combout ;
+wire \Mult0|mult_core|romout[1][3]~combout ;
+wire \Mult0|mult_core|padder|adder[0]|auto_generated|op_1~5 ;
+wire \Mult0|mult_core|padder|adder[0]|auto_generated|op_1~6_combout ;
+wire \Add18~3 ;
+wire \Add18~4_combout ;
+wire \Mult0|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~0_combout ;
+wire \Add18~5 ;
+wire \Add18~6_combout ;
+wire \Mult0|mult_core|romout[2][1]~combout ;
+wire \Mult0|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~1 ;
+wire \Mult0|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~2_combout ;
+wire \Add18~7 ;
+wire \Add18~8_combout ;
+wire \Mult0|mult_core|romout[1][5]~2_combout ;
+wire \Mult0|mult_core|romout[1][4]~combout ;
+wire \Mult0|mult_core|padder|adder[0]|auto_generated|op_1~7 ;
+wire \Mult0|mult_core|padder|adder[0]|auto_generated|op_1~9 ;
+wire \Mult0|mult_core|padder|adder[0]|auto_generated|op_1~11 ;
+wire \Mult0|mult_core|padder|adder[0]|auto_generated|op_1~12_combout ;
+wire \Mult0|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~3 ;
+wire \Mult0|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~4_combout ;
+wire \Add18~9 ;
+wire \Add18~10_combout ;
+wire \Mult0|mult_core|romout[1][7]~3_combout ;
+wire \Mult0|mult_core|padder|adder[0]|auto_generated|op_1~13 ;
+wire \Mult0|mult_core|padder|adder[0]|auto_generated|op_1~14_combout ;
+wire \Mult0|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~5 ;
+wire \Mult0|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~6_combout ;
+wire \Add18~11 ;
+wire \Add18~12_combout ;
+wire \Mult0|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~7 ;
+wire \Mult0|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~8_combout ;
+wire \Add18~13 ;
+wire \Add18~14_combout ;
+wire \Mult0|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~9 ;
+wire \Mult0|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~10_combout ;
+wire \Add18~15 ;
+wire \Add18~16_combout ;
+wire \Mult0|mult_core|_~3_combout ;
+wire \Mult0|mult_core|_~1_combout ;
+wire \Mult0|mult_core|padder|adder[1]|auto_generated|op_1~1 ;
+wire \Mult0|mult_core|padder|adder[1]|auto_generated|op_1~3 ;
+wire \Mult0|mult_core|padder|adder[1]|auto_generated|op_1~4_combout ;
+wire \Mult0|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~11 ;
+wire \Mult0|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~12_combout ;
+wire \Add18~17 ;
+wire \Add18~18_combout ;
+wire \Add3~4_combout ;
+wire \Add20~0_combout ;
+wire \Add20~1 ;
+wire \Add20~2_combout ;
+wire \Add20~3 ;
+wire \Add20~4_combout ;
+wire \Add20~5 ;
+wire \Add20~6_combout ;
+wire \Add20~7 ;
+wire \Add20~8_combout ;
+wire \Add20~9 ;
+wire \Add20~10_combout ;
+wire \Add20~11 ;
+wire \Add20~12_combout ;
+wire \Add20~13 ;
+wire \Add20~14_combout ;
+wire \Add20~15 ;
+wire \Add20~16_combout ;
+wire \Add20~17 ;
+wire \Add20~18_combout ;
+wire \Mult1|mult_core|romout[0][5]~combout ;
+wire \Mult7|mult_core|romout[0][5]~combout ;
+wire \Mult1|mult_core|padder|adder[0]|auto_generated|op_1~1_cout ;
+wire \Mult1|mult_core|padder|adder[0]|auto_generated|op_1~2_combout ;
+wire \Add21~0_combout ;
+wire \Mult1|mult_core|romout[0][6]~combout ;
+wire \Mult1|mult_core|padder|adder[0]|auto_generated|op_1~3 ;
+wire \Mult1|mult_core|padder|adder[0]|auto_generated|op_1~4_combout ;
+wire \Add21~1 ;
+wire \Add21~2_combout ;
+wire \Mult1|mult_core|romout[0][7]~1_combout ;
+wire \Mult1|mult_core|padder|adder[0]|auto_generated|op_1~5 ;
+wire \Mult1|mult_core|padder|adder[0]|auto_generated|op_1~6_combout ;
+wire \Add21~3 ;
+wire \Add21~4_combout ;
+wire \Mult1|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~0_combout ;
+wire \Add21~5 ;
+wire \Add21~6_combout ;
+wire \Mult1|mult_core|romout[1][5]~3_combout ;
+wire \Mult1|mult_core|romout[1][4]~2_combout ;
+wire \Mult1|mult_core|padder|adder[0]|auto_generated|op_1~7 ;
+wire \Mult1|mult_core|padder|adder[0]|auto_generated|op_1~9 ;
+wire \Mult1|mult_core|padder|adder[0]|auto_generated|op_1~10_combout ;
+wire \Mult1|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~1 ;
+wire \Mult1|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~2_combout ;
+wire \Add21~7 ;
+wire \Add21~8_combout ;
+wire \Mult1|mult_core|padder|adder[0]|auto_generated|op_1~11 ;
+wire \Mult1|mult_core|padder|adder[0]|auto_generated|op_1~12_combout ;
+wire \Mult1|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~3 ;
+wire \Mult1|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~4_combout ;
+wire \Add21~9 ;
+wire \Add21~10_combout ;
+wire \Mult1|mult_core|padder|adder[0]|auto_generated|op_1~13 ;
+wire \Mult1|mult_core|padder|adder[0]|auto_generated|op_1~14_combout ;
+wire \Mult1|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~5 ;
+wire \Mult1|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~6_combout ;
+wire \Add21~11 ;
+wire \Add21~12_combout ;
+wire \Mult1|mult_core|padder|adder[1]|auto_generated|op_1~0_combout ;
+wire \Mult1|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~7 ;
+wire \Mult1|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~8_combout ;
+wire \Add21~13 ;
+wire \Add21~14_combout ;
+wire \Mult1|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~9 ;
+wire \Mult1|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~10_combout ;
+wire \Add21~15 ;
+wire \Add21~16_combout ;
+wire \Mult1|mult_core|padder|adder[1]|auto_generated|op_1~1 ;
+wire \Mult1|mult_core|padder|adder[1]|auto_generated|op_1~3 ;
+wire \Mult1|mult_core|padder|adder[1]|auto_generated|op_1~4_combout ;
+wire \Mult1|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~11 ;
+wire \Mult1|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~12_combout ;
+wire \Add21~17 ;
+wire \Add21~18_combout ;
+wire \Add10~4_combout ;
+wire \Add23~1 ;
+wire \Add23~2_combout ;
+wire \Add24~0_combout ;
+wire \Add10~8_combout ;
+wire \Add23~3 ;
+wire \Add23~4_combout ;
+wire \Add24~1 ;
+wire \Add24~2_combout ;
+wire \Add23~5 ;
+wire \Add23~6_combout ;
+wire \Add24~3 ;
+wire \Add24~4_combout ;
+wire \Add10~12_combout ;
+wire \Add23~7 ;
+wire \Add23~8_combout ;
+wire \Add24~5 ;
+wire \Add24~6_combout ;
+wire \Add23~9 ;
+wire \Add23~10_combout ;
+wire \Add24~7 ;
+wire \Add24~8_combout ;
+wire \Add23~11 ;
+wire \Add23~12_combout ;
+wire \Add24~9 ;
+wire \Add24~10_combout ;
+wire \Add23~13 ;
+wire \Add23~14_combout ;
+wire \Add24~11 ;
+wire \Add24~12_combout ;
+wire \Add10~19 ;
+wire \Add10~20_combout ;
+wire \Add23~15 ;
+wire \Add23~16_combout ;
+wire \Add24~13 ;
+wire \Add24~14_combout ;
+wire \Add23~17 ;
+wire \Add23~18_combout ;
+wire \Add24~15 ;
+wire \Add24~16_combout ;
+wire \Add23~19 ;
+wire \Add23~20_combout ;
+wire \Add24~17 ;
+wire \Add24~18_combout ;
+wire \Add10~6_combout ;
+wire \Add25~1 ;
+wire \Add25~2_combout ;
+wire \Add26~0_combout ;
+wire \Add25~3 ;
+wire \Add25~4_combout ;
+wire \Add26~1 ;
+wire \Add26~2_combout ;
+wire \Add25~5 ;
+wire \Add25~6_combout ;
+wire \Add26~3 ;
+wire \Add26~4_combout ;
+wire \Add25~7 ;
+wire \Add25~8_combout ;
+wire \Add26~5 ;
+wire \Add26~6_combout ;
+wire \Add10~16_combout ;
+wire \Add25~9 ;
+wire \Add25~10_combout ;
+wire \Add26~7 ;
+wire \Add26~8_combout ;
+wire \Add25~11 ;
+wire \Add25~12_combout ;
+wire \Add26~9 ;
+wire \Add26~10_combout ;
+wire \Add25~13 ;
+wire \Add25~14_combout ;
+wire \Add26~11 ;
+wire \Add26~12_combout ;
+wire \Add25~15 ;
+wire \Add25~16_combout ;
+wire \Add26~13 ;
+wire \Add26~14_combout ;
+wire \Add25~17 ;
+wire \Add25~18_combout ;
+wire \Add26~15 ;
+wire \Add26~16_combout ;
+wire \Add25~19 ;
+wire \Add25~20_combout ;
+wire \Add26~17 ;
+wire \Add26~18_combout ;
+wire \Add27~0_combout ;
+wire \Add27~1 ;
+wire \Add27~2_combout ;
+wire \Add27~3 ;
+wire \Add27~4_combout ;
+wire \Add27~5 ;
+wire \Add27~6_combout ;
+wire \Add27~7 ;
+wire \Add27~8_combout ;
+wire \Add27~9 ;
+wire \Add27~10_combout ;
+wire \Add27~11 ;
+wire \Add27~12_combout ;
+wire \Add27~13 ;
+wire \Add27~14_combout ;
+wire \Add27~15 ;
+wire \Add27~16_combout ;
+wire \Add27~17 ;
+wire \Add27~18_combout ;
+wire \Add28~1_cout ;
+wire \Add28~2_combout ;
+wire \Add29~0_combout ;
+wire \Add28~3 ;
+wire \Add28~4_combout ;
+wire \Add29~1 ;
+wire \Add29~2_combout ;
+wire \Add28~5 ;
+wire \Add28~6_combout ;
+wire \Add29~3 ;
+wire \Add29~4_combout ;
+wire \Add28~7 ;
+wire \Add28~8_combout ;
+wire \Add29~5 ;
+wire \Add29~6_combout ;
+wire \Add28~9 ;
+wire \Add28~10_combout ;
+wire \Add29~7 ;
+wire \Add29~8_combout ;
+wire \Add28~11 ;
+wire \Add28~12_combout ;
+wire \Add29~9 ;
+wire \Add29~10_combout ;
+wire \Add28~13 ;
+wire \Add28~14_combout ;
+wire \Add29~11 ;
+wire \Add29~12_combout ;
+wire \Add28~15 ;
+wire \Add28~16_combout ;
+wire \Add29~13 ;
+wire \Add29~14_combout ;
+wire \Add28~17 ;
+wire \Add28~18_combout ;
+wire \Add29~15 ;
+wire \Add29~16_combout ;
+wire \Add28~19 ;
+wire \Add28~20_combout ;
+wire \Add29~17 ;
+wire \Add29~18_combout ;
+wire \Add30~1_cout ;
+wire \Add30~2_combout ;
+wire \Add31~0_combout ;
+wire \Add30~3 ;
+wire \Add30~4_combout ;
+wire \Add31~1 ;
+wire \Add31~2_combout ;
+wire \Add30~5 ;
+wire \Add30~6_combout ;
+wire \Add31~3 ;
+wire \Add31~4_combout ;
+wire \Add30~7 ;
+wire \Add30~8_combout ;
+wire \Add31~5 ;
+wire \Add31~6_combout ;
+wire \Add30~9 ;
+wire \Add30~10_combout ;
+wire \Add31~7 ;
+wire \Add31~8_combout ;
+wire \Add30~11 ;
+wire \Add30~12_combout ;
+wire \Add31~9 ;
+wire \Add31~10_combout ;
+wire \Add30~13 ;
+wire \Add30~14_combout ;
+wire \Add31~11 ;
+wire \Add31~12_combout ;
+wire \Add30~15 ;
+wire \Add30~16_combout ;
+wire \Add31~13 ;
+wire \Add31~14_combout ;
+wire \Add30~17 ;
+wire \Add30~18_combout ;
+wire \Add31~15 ;
+wire \Add31~16_combout ;
+wire \Add30~19 ;
+wire \Add30~20_combout ;
+wire \Add31~17 ;
+wire \Add31~18_combout ;
+wire \Mult2|mult_core|romout[0][4]~0_combout ;
+wire \Mult2|mult_core|padder|adder[0]|auto_generated|op_1~1_cout ;
+wire \Mult2|mult_core|padder|adder[0]|auto_generated|op_1~2_combout ;
+wire \Add32~0_combout ;
+wire \Mult2|mult_core|romout[0][6]~combout ;
+wire \Mult2|mult_core|padder|adder[0]|auto_generated|op_1~3 ;
+wire \Mult2|mult_core|padder|adder[0]|auto_generated|op_1~4_combout ;
+wire \Add32~1 ;
+wire \Add32~2_combout ;
+wire \Mult2|mult_core|romout[1][3]~combout ;
+wire \Mult2|mult_core|padder|adder[0]|auto_generated|op_1~5 ;
+wire \Mult2|mult_core|padder|adder[0]|auto_generated|op_1~6_combout ;
+wire \Add32~3 ;
+wire \Add32~4_combout ;
+wire \Mult2|mult_core|romout[1][4]~combout ;
+wire \Mult2|mult_core|padder|adder[0]|auto_generated|op_1~7 ;
+wire \Mult2|mult_core|padder|adder[0]|auto_generated|op_1~8_combout ;
+wire \Mult2|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~0_combout ;
+wire \Add32~5 ;
+wire \Add32~6_combout ;
+wire \Mult2|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~1 ;
+wire \Mult2|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~2_combout ;
+wire \Add32~7 ;
+wire \Add32~8_combout ;
+wire \Mult2|mult_core|romout[1][5]~1_combout ;
+wire \Mult2|mult_core|padder|adder[0]|auto_generated|op_1~9 ;
+wire \Mult2|mult_core|padder|adder[0]|auto_generated|op_1~11 ;
+wire \Mult2|mult_core|padder|adder[0]|auto_generated|op_1~12_combout ;
+wire \Mult2|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~3 ;
+wire \Mult2|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~4_combout ;
+wire \Add32~9 ;
+wire \Add32~10_combout ;
+wire \Mult2|mult_core|romout[1][7]~combout ;
+wire \Mult2|mult_core|padder|adder[0]|auto_generated|op_1~13 ;
+wire \Mult2|mult_core|padder|adder[0]|auto_generated|op_1~14_combout ;
+wire \Mult2|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~5 ;
+wire \Mult2|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~6_combout ;
+wire \Add32~11 ;
+wire \Add32~12_combout ;
+wire \Mult2|mult_core|padder|adder[1]|auto_generated|op_1~0_combout ;
+wire \Mult2|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~7 ;
+wire \Mult2|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~8_combout ;
+wire \Add32~13 ;
+wire \Add32~14_combout ;
+wire \Mult2|mult_core|padder|adder[1]|auto_generated|op_1~1 ;
+wire \Mult2|mult_core|padder|adder[1]|auto_generated|op_1~2_combout ;
+wire \Mult2|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~9 ;
+wire \Mult2|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~10_combout ;
+wire \Add32~15 ;
+wire \Add32~16_combout ;
+wire \Mult0|mult_core|_~6_combout ;
+wire \Mult2|mult_core|padder|adder[1]|auto_generated|op_1~3 ;
+wire \Mult2|mult_core|padder|adder[1]|auto_generated|op_1~4_combout ;
+wire \Mult2|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~11 ;
+wire \Mult2|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~12_combout ;
+wire \Add32~17 ;
+wire \Add32~18_combout ;
+wire \Add33~1_cout ;
+wire \Add33~2_combout ;
+wire \Add34~0_combout ;
+wire \Add33~3 ;
+wire \Add33~4_combout ;
+wire \Add34~1 ;
+wire \Add34~2_combout ;
+wire \Add33~5 ;
+wire \Add33~6_combout ;
+wire \Add34~3 ;
+wire \Add34~4_combout ;
+wire \Add33~7 ;
+wire \Add33~8_combout ;
+wire \Add34~5 ;
+wire \Add34~6_combout ;
+wire \Add33~9 ;
+wire \Add33~10_combout ;
+wire \Add34~7 ;
+wire \Add34~8_combout ;
+wire \Add33~11 ;
+wire \Add33~12_combout ;
+wire \Add34~9 ;
+wire \Add34~10_combout ;
+wire \Add33~13 ;
+wire \Add33~14_combout ;
+wire \Add34~11 ;
+wire \Add34~12_combout ;
+wire \Add33~15 ;
+wire \Add33~16_combout ;
+wire \Add34~13 ;
+wire \Add34~14_combout ;
+wire \Add33~17 ;
+wire \Add33~18_combout ;
+wire \Add34~15 ;
+wire \Add34~16_combout ;
+wire \Add33~19 ;
+wire \Add33~20_combout ;
+wire \Add34~17 ;
+wire \Add34~18_combout ;
+wire \Mult3|mult_core|padder|adder[0]|auto_generated|op_1~1_cout ;
+wire \Mult3|mult_core|padder|adder[0]|auto_generated|op_1~2_combout ;
+wire \Add35~0_combout ;
+wire \Mult3|mult_core|romout[0][6]~combout ;
+wire \Mult3|mult_core|padder|adder[0]|auto_generated|op_1~3 ;
+wire \Mult3|mult_core|padder|adder[0]|auto_generated|op_1~4_combout ;
+wire \Add35~1 ;
+wire \Add35~2_combout ;
+wire \Mult3|mult_core|romout[1][3]~combout ;
+wire \Mult3|mult_core|padder|adder[0]|auto_generated|op_1~5 ;
+wire \Mult3|mult_core|padder|adder[0]|auto_generated|op_1~6_combout ;
+wire \Add35~3 ;
+wire \Add35~4_combout ;
+wire \Mult3|mult_core|romout[0][8]~combout ;
+wire \Mult3|mult_core|padder|adder[0]|auto_generated|op_1~7 ;
+wire \Mult3|mult_core|padder|adder[0]|auto_generated|op_1~8_combout ;
+wire \Mult3|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~0_combout ;
+wire \Add35~5 ;
+wire \Add35~6_combout ;
+wire \Mult3|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~1 ;
+wire \Mult3|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~2_combout ;
+wire \Add35~7 ;
+wire \Add35~8_combout ;
+wire \Mult3|mult_core|romout[1][5]~combout ;
+wire \Mult3|mult_core|padder|adder[0]|auto_generated|op_1~9 ;
+wire \Mult3|mult_core|padder|adder[0]|auto_generated|op_1~11 ;
+wire \Mult3|mult_core|padder|adder[0]|auto_generated|op_1~12_combout ;
+wire \Mult3|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~3 ;
+wire \Mult3|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~4_combout ;
+wire \Add35~9 ;
+wire \Add35~10_combout ;
+wire \Mult3|mult_core|romout[2][3]~combout ;
+wire \Mult3|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~5 ;
+wire \Mult3|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~6_combout ;
+wire \Add35~11 ;
+wire \Add35~12_combout ;
+wire \Mult6|mult_core|romout[1][8]~1_combout ;
+wire \Mult3|mult_core|romout[1][7]~2_combout ;
+wire \Mult3|mult_core|padder|adder[0]|auto_generated|op_1~13 ;
+wire \Mult3|mult_core|padder|adder[0]|auto_generated|op_1~15 ;
+wire \Mult3|mult_core|padder|adder[0]|auto_generated|op_1~16_combout ;
+wire \Mult3|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~7 ;
+wire \Mult3|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~8_combout ;
+wire \Add35~13 ;
+wire \Add35~14_combout ;
+wire \Mult3|mult_core|romout[2][5]~4_combout ;
+wire \Mult3|mult_core|romout[2][4]~3_combout ;
+wire \Mult3|mult_core|padder|adder[1]|auto_generated|op_1~1 ;
+wire \Mult3|mult_core|padder|adder[1]|auto_generated|op_1~2_combout ;
+wire \Mult3|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~9 ;
+wire \Mult3|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~10_combout ;
+wire \Add35~15 ;
+wire \Add35~16_combout ;
+wire \Mult3|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~11 ;
+wire \Mult3|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~12_combout ;
+wire \Add35~17 ;
+wire \Add35~18_combout ;
+wire \Mult4|mult_core|romout[0][5]~combout ;
+wire \Mult4|mult_core|padder|adder[0]|auto_generated|op_1~0_combout ;
+wire \Add36~0_combout ;
+wire \Mult4|mult_core|padder|adder[0]|auto_generated|op_1~1 ;
+wire \Mult4|mult_core|padder|adder[0]|auto_generated|op_1~2_combout ;
+wire \Add36~1 ;
+wire \Add36~2_combout ;
+wire \Mult4|mult_core|padder|adder[0]|auto_generated|op_1~3 ;
+wire \Mult4|mult_core|padder|adder[0]|auto_generated|op_1~4_combout ;
+wire \Add36~3 ;
+wire \Add36~4_combout ;
+wire \Mult4|mult_core|padder|adder[0]|auto_generated|op_1~5 ;
+wire \Mult4|mult_core|padder|adder[0]|auto_generated|op_1~6_combout ;
+wire \Add36~5 ;
+wire \Add36~6_combout ;
+wire \Mult4|mult_core|padder|adder[0]|auto_generated|op_1~7 ;
+wire \Mult4|mult_core|padder|adder[0]|auto_generated|op_1~8_combout ;
+wire \Mult4|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~0_combout ;
+wire \Add36~7 ;
+wire \Add36~8_combout ;
+wire \Mult4|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~1 ;
+wire \Mult4|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~2_combout ;
+wire \Add36~9 ;
+wire \Add36~10_combout ;
+wire \Mult0|mult_core|romout[2][2]~combout ;
+wire \Mult4|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~3 ;
+wire \Mult4|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~4_combout ;
+wire \Add36~11 ;
+wire \Add36~12_combout ;
+wire \Mult0|mult_core|romout[2][3]~4_combout ;
+wire \Mult4|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~5 ;
+wire \Mult4|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~6_combout ;
+wire \Add36~13 ;
+wire \Add36~14_combout ;
+wire \Mult4|mult_core|padder|adder[1]|auto_generated|op_1~0_combout ;
+wire \Mult4|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~7 ;
+wire \Mult4|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~8_combout ;
+wire \Add36~15 ;
+wire \Add36~16_combout ;
+wire \Mult4|mult_core|padder|adder[1]|auto_generated|op_1~1 ;
+wire \Mult4|mult_core|padder|adder[1]|auto_generated|op_1~2_combout ;
+wire \Mult4|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~9 ;
+wire \Mult4|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~10_combout ;
+wire \Add36~17 ;
+wire \Add36~18_combout ;
+wire \Mult5|mult_core|romout[0][5]~combout ;
+wire \Mult5|mult_core|romout[0][4]~0_combout ;
+wire \Mult5|mult_core|padder|adder[0]|auto_generated|op_1~1_cout ;
+wire \Mult5|mult_core|padder|adder[0]|auto_generated|op_1~2_combout ;
+wire \Add37~0_combout ;
+wire \Mult5|mult_core|romout[1][2]~combout ;
+wire \Mult5|mult_core|padder|adder[0]|auto_generated|op_1~3 ;
+wire \Mult5|mult_core|padder|adder[0]|auto_generated|op_1~4_combout ;
+wire \Add37~1 ;
+wire \Add37~2_combout ;
+wire \Mult5|mult_core|padder|adder[0]|auto_generated|op_1~5 ;
+wire \Mult5|mult_core|padder|adder[0]|auto_generated|op_1~6_combout ;
+wire \Add37~3 ;
+wire \Add37~4_combout ;
+wire \Mult5|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~0_combout ;
+wire \Add37~5 ;
+wire \Add37~6_combout ;
+wire \Mult5|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~1 ;
+wire \Mult5|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~2_combout ;
+wire \Add37~7 ;
+wire \Add37~8_combout ;
+wire \Mult5|mult_core|romout[2][2]~combout ;
+wire \Mult5|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~3 ;
+wire \Mult5|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~4_combout ;
+wire \Add37~9 ;
+wire \Add37~10_combout ;
+wire \Mult0|mult_core|romout[1][6]~combout ;
+wire \Mult5|mult_core|romout[1][6]~combout ;
+wire \Mult5|mult_core|romout[1][4]~combout ;
+wire \Mult5|mult_core|padder|adder[0]|auto_generated|op_1~7 ;
+wire \Mult5|mult_core|padder|adder[0]|auto_generated|op_1~9 ;
+wire \Mult5|mult_core|padder|adder[0]|auto_generated|op_1~11 ;
+wire \Mult5|mult_core|padder|adder[0]|auto_generated|op_1~13 ;
+wire \Mult5|mult_core|padder|adder[0]|auto_generated|op_1~14_combout ;
+wire \Mult5|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~5 ;
+wire \Mult5|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~6_combout ;
+wire \Add37~11 ;
+wire \Add37~12_combout ;
+wire \Mult5|mult_core|padder|adder[1]|auto_generated|op_1~0_combout ;
+wire \Mult5|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~7 ;
+wire \Mult5|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~8_combout ;
+wire \Add37~13 ;
+wire \Add37~14_combout ;
+wire \Mult0|mult_core|_~8_combout ;
+wire \Mult5|mult_core|padder|adder[1]|auto_generated|op_1~1 ;
+wire \Mult5|mult_core|padder|adder[1]|auto_generated|op_1~2_combout ;
+wire \Mult5|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~9 ;
+wire \Mult5|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~10_combout ;
+wire \Add37~15 ;
+wire \Add37~16_combout ;
+wire \Mult2|mult_core|romout[2][3]~combout ;
+wire \Mult5|mult_core|padder|adder[1]|auto_generated|op_1~3 ;
+wire \Mult5|mult_core|padder|adder[1]|auto_generated|op_1~4_combout ;
+wire \Mult5|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~11 ;
+wire \Mult5|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~12_combout ;
+wire \Add37~17 ;
+wire \Add37~18_combout ;
+wire \Add38~1_cout ;
+wire \Add38~2_combout ;
+wire \Add39~0_combout ;
+wire \Add38~3 ;
+wire \Add38~4_combout ;
+wire \Add39~1 ;
+wire \Add39~2_combout ;
+wire \Add38~5 ;
+wire \Add38~6_combout ;
+wire \Add39~3 ;
+wire \Add39~4_combout ;
+wire \Add38~7 ;
+wire \Add38~8_combout ;
+wire \Add39~5 ;
+wire \Add39~6_combout ;
+wire \Add38~9 ;
+wire \Add38~10_combout ;
+wire \Add39~7 ;
+wire \Add39~8_combout ;
+wire \Add38~11 ;
+wire \Add38~12_combout ;
+wire \Add39~9 ;
+wire \Add39~10_combout ;
+wire \Add38~13 ;
+wire \Add38~14_combout ;
+wire \Add39~11 ;
+wire \Add39~12_combout ;
+wire \Add38~15 ;
+wire \Add38~16_combout ;
+wire \Add39~13 ;
+wire \Add39~14_combout ;
+wire \Add38~17 ;
+wire \Add38~18_combout ;
+wire \Add39~15 ;
+wire \Add39~16_combout ;
+wire \Add38~19 ;
+wire \Add38~20_combout ;
+wire \Add39~17 ;
+wire \Add39~18_combout ;
+wire \Mult6|mult_core|padder|adder[0]|auto_generated|op_1~1_cout ;
+wire \Mult6|mult_core|padder|adder[0]|auto_generated|op_1~2_combout ;
+wire \Add40~0_combout ;
+wire \Mult6|mult_core|padder|adder[0]|auto_generated|op_1~3 ;
+wire \Mult6|mult_core|padder|adder[0]|auto_generated|op_1~4_combout ;
+wire \Add40~1 ;
+wire \Add40~2_combout ;
+wire \Mult6|mult_core|romout[1][3]~3_combout ;
+wire \Mult6|mult_core|padder|adder[0]|auto_generated|op_1~5 ;
+wire \Mult6|mult_core|padder|adder[0]|auto_generated|op_1~6_combout ;
+wire \Add40~3 ;
+wire \Add40~4_combout ;
+wire \Mult6|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~0_combout ;
+wire \Add40~5 ;
+wire \Add40~6_combout ;
+wire \Mult6|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~1 ;
+wire \Mult6|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~2_combout ;
+wire \Add40~7 ;
+wire \Add40~8_combout ;
+wire \Mult6|mult_core|romout[1][6]~combout ;
+wire \Mult6|mult_core|romout[0][8]~5_combout ;
+wire \Mult6|mult_core|padder|adder[0]|auto_generated|op_1~7 ;
+wire \Mult6|mult_core|padder|adder[0]|auto_generated|op_1~9 ;
+wire \Mult6|mult_core|padder|adder[0]|auto_generated|op_1~11 ;
+wire \Mult6|mult_core|padder|adder[0]|auto_generated|op_1~12_combout ;
+wire \Mult6|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~3 ;
+wire \Mult6|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~4_combout ;
+wire \Add40~9 ;
+wire \Add40~10_combout ;
+wire \Mult6|mult_core|romout[1][7]~6_combout ;
+wire \Mult6|mult_core|padder|adder[0]|auto_generated|op_1~13 ;
+wire \Mult6|mult_core|padder|adder[0]|auto_generated|op_1~14_combout ;
+wire \Mult6|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~5 ;
+wire \Mult6|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~6_combout ;
+wire \Add40~11 ;
+wire \Add40~12_combout ;
+wire \Mult6|mult_core|romout[2][4]~combout ;
+wire \Mult6|mult_core|padder|adder[1]|auto_generated|op_1~0_combout ;
+wire \Mult6|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~7 ;
+wire \Mult6|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~8_combout ;
+wire \Add40~13 ;
+wire \Add40~14_combout ;
+wire \Mult6|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~9 ;
+wire \Mult6|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~10_combout ;
+wire \Add40~15 ;
+wire \Add40~16_combout ;
+wire \Mult6|mult_core|romout[2][6]~combout ;
+wire \Mult6|mult_core|romout[2][5]~combout ;
+wire \Mult6|mult_core|padder|adder[1]|auto_generated|op_1~1 ;
+wire \Mult6|mult_core|padder|adder[1]|auto_generated|op_1~3 ;
+wire \Mult6|mult_core|padder|adder[1]|auto_generated|op_1~4_combout ;
+wire \Mult6|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~11 ;
+wire \Mult6|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~12_combout ;
+wire \Add40~17 ;
+wire \Add40~18_combout ;
+wire \Mult7|mult_core|padder|adder[0]|auto_generated|op_1~0_combout ;
+wire \Add41~0_combout ;
+wire \Mult7|mult_core|padder|adder[0]|auto_generated|op_1~1 ;
+wire \Mult7|mult_core|padder|adder[0]|auto_generated|op_1~2_combout ;
+wire \Add41~1 ;
+wire \Add41~2_combout ;
+wire \Mult7|mult_core|padder|adder[0]|auto_generated|op_1~3 ;
+wire \Mult7|mult_core|padder|adder[0]|auto_generated|op_1~4_combout ;
+wire \Add41~3 ;
+wire \Add41~4_combout ;
+wire \Mult7|mult_core|padder|adder[0]|auto_generated|op_1~5 ;
+wire \Mult7|mult_core|padder|adder[0]|auto_generated|op_1~6_combout ;
+wire \Add41~5 ;
+wire \Add41~6_combout ;
+wire \Mult7|mult_core|padder|adder[0]|auto_generated|op_1~7 ;
+wire \Mult7|mult_core|padder|adder[0]|auto_generated|op_1~8_combout ;
+wire \Mult7|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~0_combout ;
+wire \Add41~7 ;
+wire \Add41~8_combout ;
+wire \Mult7|mult_core|padder|adder[0]|auto_generated|op_1~9 ;
+wire \Mult7|mult_core|padder|adder[0]|auto_generated|op_1~10_combout ;
+wire \Mult7|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~1 ;
+wire \Mult7|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~2_combout ;
+wire \Add41~9 ;
+wire \Add41~10_combout ;
+wire \Mult7|mult_core|padder|adder[0]|auto_generated|op_1~11 ;
+wire \Mult7|mult_core|padder|adder[0]|auto_generated|op_1~12_combout ;
+wire \Mult7|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~3 ;
+wire \Mult7|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~4_combout ;
+wire \Add41~11 ;
+wire \Add41~12_combout ;
+wire \Mult7|mult_core|padder|adder[0]|auto_generated|op_1~13 ;
+wire \Mult7|mult_core|padder|adder[0]|auto_generated|op_1~14_combout ;
+wire \Mult7|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~5 ;
+wire \Mult7|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~6_combout ;
+wire \Add41~13 ;
+wire \Add41~14_combout ;
+wire \Mult7|mult_core|padder|adder[1]|auto_generated|op_1~0_combout ;
+wire \Mult7|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~7 ;
+wire \Mult7|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~8_combout ;
+wire \Add41~15 ;
+wire \Add41~16_combout ;
+wire \Mult7|mult_core|padder|adder[1]|auto_generated|op_1~1 ;
+wire \Mult7|mult_core|padder|adder[1]|auto_generated|op_1~2_combout ;
+wire \Mult7|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~9 ;
+wire \Mult7|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~10_combout ;
+wire \Add41~17 ;
+wire \Add41~18_combout ;
+wire \Mult8|mult_core|padder|adder[0]|auto_generated|op_1~1_cout ;
+wire \Mult8|mult_core|padder|adder[0]|auto_generated|op_1~2_combout ;
+wire \Add42~0_combout ;
+wire \Mult8|mult_core|romout[0][6]~combout ;
+wire \Mult8|mult_core|padder|adder[0]|auto_generated|op_1~3 ;
+wire \Mult8|mult_core|padder|adder[0]|auto_generated|op_1~4_combout ;
+wire \Add42~1 ;
+wire \Add42~2_combout ;
+wire \Mult8|mult_core|padder|adder[0]|auto_generated|op_1~5 ;
+wire \Mult8|mult_core|padder|adder[0]|auto_generated|op_1~6_combout ;
+wire \Add42~3 ;
+wire \Add42~4_combout ;
+wire \Mult8|mult_core|padder|adder[0]|auto_generated|op_1~7 ;
+wire \Mult8|mult_core|padder|adder[0]|auto_generated|op_1~8_combout ;
+wire \Mult8|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~0_combout ;
+wire \Add42~5 ;
+wire \Add42~6_combout ;
+wire \Mult8|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~1 ;
+wire \Mult8|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~2_combout ;
+wire \Add42~7 ;
+wire \Add42~8_combout ;
+wire \Mult8|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~3 ;
+wire \Mult8|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~4_combout ;
+wire \Add42~9 ;
+wire \Add42~10_combout ;
+wire \Mult1|mult_core|romout[1][6]~combout ;
+wire \Mult8|mult_core|romout[1][5]~combout ;
+wire \Mult8|mult_core|padder|adder[0]|auto_generated|op_1~9 ;
+wire \Mult8|mult_core|padder|adder[0]|auto_generated|op_1~11 ;
+wire \Mult8|mult_core|padder|adder[0]|auto_generated|op_1~13 ;
+wire \Mult8|mult_core|padder|adder[0]|auto_generated|op_1~14_combout ;
+wire \Mult8|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~5 ;
+wire \Mult8|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~6_combout ;
+wire \Add42~11 ;
+wire \Add42~12_combout ;
+wire \Mult1|mult_core|romout[1][7]~4_combout ;
+wire \Mult8|mult_core|padder|adder[0]|auto_generated|op_1~15 ;
+wire \Mult8|mult_core|padder|adder[0]|auto_generated|op_1~16_combout ;
+wire \Mult8|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~7 ;
+wire \Mult8|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~8_combout ;
+wire \Add42~13 ;
+wire \Add42~14_combout ;
+wire \Mult8|mult_core|padder|adder[1]|auto_generated|op_1~1 ;
+wire \Mult8|mult_core|padder|adder[1]|auto_generated|op_1~2_combout ;
+wire \Mult8|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~9 ;
+wire \Mult8|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~10_combout ;
+wire \Add42~15 ;
+wire \Add42~16_combout ;
+wire \Mult8|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~11 ;
+wire \Mult8|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~12_combout ;
+wire \Add42~17 ;
+wire \Add42~18_combout ;
+wire \Add23~0_combout ;
+wire \Add43~0_combout ;
+wire \Add43~1 ;
+wire \Add43~2_combout ;
+wire \Add43~3 ;
+wire \Add43~4_combout ;
+wire \Add43~5 ;
+wire \Add43~6_combout ;
+wire \Add43~7 ;
+wire \Add43~8_combout ;
+wire \Add43~9 ;
+wire \Add43~10_combout ;
+wire \Add43~11 ;
+wire \Add43~12_combout ;
+wire \Add43~13 ;
+wire \Add43~14_combout ;
+wire \Add43~15 ;
+wire \Add43~16_combout ;
+wire \Add43~17 ;
+wire \Add43~18_combout ;
+wire \Mult9|mult_core|romout[0][4]~combout ;
+wire \Mult9|mult_core|padder|adder[0]|auto_generated|op_1~1_cout ;
+wire \Mult9|mult_core|padder|adder[0]|auto_generated|op_1~2_combout ;
+wire \Add44~0_combout ;
+wire \Mult1|mult_core|romout[1][2]~0_combout ;
+wire \Mult9|mult_core|padder|adder[0]|auto_generated|op_1~3 ;
+wire \Mult9|mult_core|padder|adder[0]|auto_generated|op_1~4_combout ;
+wire \Add44~1 ;
+wire \Add44~2_combout ;
+wire \Mult9|mult_core|romout[0][7]~1_combout ;
+wire \Mult9|mult_core|padder|adder[0]|auto_generated|op_1~5 ;
+wire \Mult9|mult_core|padder|adder[0]|auto_generated|op_1~6_combout ;
+wire \Add44~3 ;
+wire \Add44~4_combout ;
+wire \Mult9|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~0_combout ;
+wire \Add44~5 ;
+wire \Add44~6_combout ;
+wire \Mult9|mult_core|romout[1][4]~combout ;
+wire \Mult9|mult_core|padder|adder[0]|auto_generated|op_1~7 ;
+wire \Mult9|mult_core|padder|adder[0]|auto_generated|op_1~9 ;
+wire \Mult9|mult_core|padder|adder[0]|auto_generated|op_1~10_combout ;
+wire \Mult9|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~1 ;
+wire \Mult9|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~2_combout ;
+wire \Add44~7 ;
+wire \Add44~8_combout ;
+wire \Mult1|mult_core|romout[2][2]~combout ;
+wire \Mult9|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~3 ;
+wire \Mult9|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~4_combout ;
+wire \Add44~9 ;
+wire \Add44~10_combout ;
+wire \Mult9|mult_core|romout[1][6]~3_combout ;
+wire \Mult9|mult_core|padder|adder[0]|auto_generated|op_1~11 ;
+wire \Mult9|mult_core|padder|adder[0]|auto_generated|op_1~13 ;
+wire \Mult9|mult_core|padder|adder[0]|auto_generated|op_1~14_combout ;
+wire \Mult9|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~5 ;
+wire \Mult9|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~6_combout ;
+wire \Add44~11 ;
+wire \Add44~12_combout ;
+wire \Mult9|mult_core|romout[2][4]~5_combout ;
+wire \Mult9|mult_core|padder|adder[1]|auto_generated|op_1~0_combout ;
+wire \Mult9|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~7 ;
+wire \Mult9|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~8_combout ;
+wire \Add44~13 ;
+wire \Add44~14_combout ;
+wire \Mult5|mult_core|romout[2][3]~combout ;
+wire \Mult9|mult_core|padder|adder[1]|auto_generated|op_1~1 ;
+wire \Mult9|mult_core|padder|adder[1]|auto_generated|op_1~2_combout ;
+wire \Mult9|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~9 ;
+wire \Mult9|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~10_combout ;
+wire \Add44~15 ;
+wire \Add44~16_combout ;
+wire \Mult9|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~11 ;
+wire \Mult9|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~12_combout ;
+wire \Add44~17 ;
+wire \Add44~18_combout ;
+wire \Add25~0_combout ;
+wire \Add45~0_combout ;
+wire \Add45~1 ;
+wire \Add45~2_combout ;
+wire \Add45~3 ;
+wire \Add45~4_combout ;
+wire \Add45~5 ;
+wire \Add45~6_combout ;
+wire \Add45~7 ;
+wire \Add45~8_combout ;
+wire \Add45~9 ;
+wire \Add45~10_combout ;
+wire \Add45~11 ;
+wire \Add45~12_combout ;
+wire \Add45~13 ;
+wire \Add45~14_combout ;
+wire \Add45~15 ;
+wire \Add45~16_combout ;
+wire \Add45~17 ;
+wire \Add45~18_combout ;
+wire \Add46~0_combout ;
+wire \Add47~0_combout ;
+wire \Add46~1 ;
+wire \Add46~2_combout ;
+wire \Add47~1 ;
+wire \Add47~2_combout ;
+wire \Add46~3 ;
+wire \Add46~4_combout ;
+wire \Add47~3 ;
+wire \Add47~4_combout ;
+wire \Add46~5 ;
+wire \Add46~6_combout ;
+wire \Add47~5 ;
+wire \Add47~6_combout ;
+wire \Add46~7 ;
+wire \Add46~8_combout ;
+wire \Add47~7 ;
+wire \Add47~8_combout ;
+wire \Add46~9 ;
+wire \Add46~10_combout ;
+wire \Add47~9 ;
+wire \Add47~10_combout ;
+wire \Add46~11 ;
+wire \Add46~12_combout ;
+wire \Add47~11 ;
+wire \Add47~12_combout ;
+wire \Add46~13 ;
+wire \Add46~14_combout ;
+wire \Add47~13 ;
+wire \Add47~14_combout ;
+wire \Add46~15 ;
+wire \Add46~16_combout ;
+wire \Add47~15 ;
+wire \Add47~16_combout ;
+wire \Add46~17 ;
+wire \Add46~18_combout ;
+wire \Add47~17 ;
+wire \Add47~18_combout ;
+
+
+// Location: LCCOMB_X21_Y21_N10
+cycloneiii_lcell_comb \Mult0|mult_core|padder|adder[0]|auto_generated|op_1~8 (
+// Equation(s):
+// \Mult0|mult_core|padder|adder[0]|auto_generated|op_1~8_combout = (\Mult0|mult_core|romout[1][4]~combout & (\Mult0|mult_core|padder|adder[0]|auto_generated|op_1~7 $ (GND))) # (!\Mult0|mult_core|romout[1][4]~combout &
+// (!\Mult0|mult_core|padder|adder[0]|auto_generated|op_1~7 & VCC))
+// \Mult0|mult_core|padder|adder[0]|auto_generated|op_1~9 = CARRY((\Mult0|mult_core|romout[1][4]~combout & !\Mult0|mult_core|padder|adder[0]|auto_generated|op_1~7 ))
+
+ .dataa(gnd),
+ .datab(\Mult0|mult_core|romout[1][4]~combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Mult0|mult_core|padder|adder[0]|auto_generated|op_1~7 ),
+ .combout(\Mult0|mult_core|padder|adder[0]|auto_generated|op_1~8_combout ),
+ .cout(\Mult0|mult_core|padder|adder[0]|auto_generated|op_1~9 ));
+// synopsys translate_off
+defparam \Mult0|mult_core|padder|adder[0]|auto_generated|op_1~8 .lut_mask = 16'hC30C;
+defparam \Mult0|mult_core|padder|adder[0]|auto_generated|op_1~8 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X21_Y21_N12
+cycloneiii_lcell_comb \Mult0|mult_core|padder|adder[0]|auto_generated|op_1~10 (
+// Equation(s):
+// \Mult0|mult_core|padder|adder[0]|auto_generated|op_1~10_combout = (\Mult0|mult_core|romout[1][5]~2_combout & ((\Mult0|mult_core|padder|adder[0]|auto_generated|op_1~9 ) # (GND))) # (!\Mult0|mult_core|romout[1][5]~2_combout &
+// (!\Mult0|mult_core|padder|adder[0]|auto_generated|op_1~9 ))
+// \Mult0|mult_core|padder|adder[0]|auto_generated|op_1~11 = CARRY((\Mult0|mult_core|romout[1][5]~2_combout ) # (!\Mult0|mult_core|padder|adder[0]|auto_generated|op_1~9 ))
+
+ .dataa(gnd),
+ .datab(\Mult0|mult_core|romout[1][5]~2_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Mult0|mult_core|padder|adder[0]|auto_generated|op_1~9 ),
+ .combout(\Mult0|mult_core|padder|adder[0]|auto_generated|op_1~10_combout ),
+ .cout(\Mult0|mult_core|padder|adder[0]|auto_generated|op_1~11 ));
+// synopsys translate_off
+defparam \Mult0|mult_core|padder|adder[0]|auto_generated|op_1~10 .lut_mask = 16'hC3CF;
+defparam \Mult0|mult_core|padder|adder[0]|auto_generated|op_1~10 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X7_Y27_N24
+cycloneiii_lcell_comb \Mult0|mult_core|padder|adder[1]|auto_generated|op_1~0 (
+// Equation(s):
+// \Mult0|mult_core|padder|adder[1]|auto_generated|op_1~0_combout = (\Add0~20_combout & (\Mult0|mult_core|_~1_combout & VCC)) # (!\Add0~20_combout & (\Mult0|mult_core|_~1_combout $ (VCC)))
+// \Mult0|mult_core|padder|adder[1]|auto_generated|op_1~1 = CARRY((!\Add0~20_combout & \Mult0|mult_core|_~1_combout ))
+
+ .dataa(\Add0~20_combout ),
+ .datab(\Mult0|mult_core|_~1_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(\Mult0|mult_core|padder|adder[1]|auto_generated|op_1~0_combout ),
+ .cout(\Mult0|mult_core|padder|adder[1]|auto_generated|op_1~1 ));
+// synopsys translate_off
+defparam \Mult0|mult_core|padder|adder[1]|auto_generated|op_1~0 .lut_mask = 16'h9944;
+defparam \Mult0|mult_core|padder|adder[1]|auto_generated|op_1~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X7_Y27_N26
+cycloneiii_lcell_comb \Mult0|mult_core|padder|adder[1]|auto_generated|op_1~2 (
+// Equation(s):
+// \Mult0|mult_core|padder|adder[1]|auto_generated|op_1~2_combout = (\Mult0|mult_core|_~2_combout & (((!\Mult0|mult_core|padder|adder[1]|auto_generated|op_1~1 )))) # (!\Mult0|mult_core|_~2_combout & ((\Mult0|mult_core|_~3_combout &
+// (!\Mult0|mult_core|padder|adder[1]|auto_generated|op_1~1 )) # (!\Mult0|mult_core|_~3_combout & ((\Mult0|mult_core|padder|adder[1]|auto_generated|op_1~1 ) # (GND)))))
+// \Mult0|mult_core|padder|adder[1]|auto_generated|op_1~3 = CARRY(((!\Mult0|mult_core|_~2_combout & !\Mult0|mult_core|_~3_combout )) # (!\Mult0|mult_core|padder|adder[1]|auto_generated|op_1~1 ))
+
+ .dataa(\Mult0|mult_core|_~2_combout ),
+ .datab(\Mult0|mult_core|_~3_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Mult0|mult_core|padder|adder[1]|auto_generated|op_1~1 ),
+ .combout(\Mult0|mult_core|padder|adder[1]|auto_generated|op_1~2_combout ),
+ .cout(\Mult0|mult_core|padder|adder[1]|auto_generated|op_1~3 ));
+// synopsys translate_off
+defparam \Mult0|mult_core|padder|adder[1]|auto_generated|op_1~2 .lut_mask = 16'h1E1F;
+defparam \Mult0|mult_core|padder|adder[1]|auto_generated|op_1~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X15_Y12_N8
+cycloneiii_lcell_comb \Mult1|mult_core|padder|adder[0]|auto_generated|op_1~8 (
+// Equation(s):
+// \Mult1|mult_core|padder|adder[0]|auto_generated|op_1~8_combout = (\Mult1|mult_core|romout[1][4]~2_combout & (\Mult1|mult_core|padder|adder[0]|auto_generated|op_1~7 $ (GND))) # (!\Mult1|mult_core|romout[1][4]~2_combout &
+// (!\Mult1|mult_core|padder|adder[0]|auto_generated|op_1~7 & VCC))
+// \Mult1|mult_core|padder|adder[0]|auto_generated|op_1~9 = CARRY((\Mult1|mult_core|romout[1][4]~2_combout & !\Mult1|mult_core|padder|adder[0]|auto_generated|op_1~7 ))
+
+ .dataa(gnd),
+ .datab(\Mult1|mult_core|romout[1][4]~2_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Mult1|mult_core|padder|adder[0]|auto_generated|op_1~7 ),
+ .combout(\Mult1|mult_core|padder|adder[0]|auto_generated|op_1~8_combout ),
+ .cout(\Mult1|mult_core|padder|adder[0]|auto_generated|op_1~9 ));
+// synopsys translate_off
+defparam \Mult1|mult_core|padder|adder[0]|auto_generated|op_1~8 .lut_mask = 16'hC30C;
+defparam \Mult1|mult_core|padder|adder[0]|auto_generated|op_1~8 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X15_Y13_N26
+cycloneiii_lcell_comb \Mult1|mult_core|padder|adder[1]|auto_generated|op_1~2 (
+// Equation(s):
+// \Mult1|mult_core|padder|adder[1]|auto_generated|op_1~2_combout = (\Mult1|mult_core|romout[2][5]~combout & ((\Add0~20_combout & (!\Mult1|mult_core|padder|adder[1]|auto_generated|op_1~1 )) # (!\Add0~20_combout &
+// (\Mult1|mult_core|padder|adder[1]|auto_generated|op_1~1 & VCC)))) # (!\Mult1|mult_core|romout[2][5]~combout & ((\Add0~20_combout & ((\Mult1|mult_core|padder|adder[1]|auto_generated|op_1~1 ) # (GND))) # (!\Add0~20_combout &
+// (!\Mult1|mult_core|padder|adder[1]|auto_generated|op_1~1 ))))
+// \Mult1|mult_core|padder|adder[1]|auto_generated|op_1~3 = CARRY((\Mult1|mult_core|romout[2][5]~combout & (\Add0~20_combout & !\Mult1|mult_core|padder|adder[1]|auto_generated|op_1~1 )) # (!\Mult1|mult_core|romout[2][5]~combout & ((\Add0~20_combout ) #
+// (!\Mult1|mult_core|padder|adder[1]|auto_generated|op_1~1 ))))
+
+ .dataa(\Mult1|mult_core|romout[2][5]~combout ),
+ .datab(\Add0~20_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Mult1|mult_core|padder|adder[1]|auto_generated|op_1~1 ),
+ .combout(\Mult1|mult_core|padder|adder[1]|auto_generated|op_1~2_combout ),
+ .cout(\Mult1|mult_core|padder|adder[1]|auto_generated|op_1~3 ));
+// synopsys translate_off
+defparam \Mult1|mult_core|padder|adder[1]|auto_generated|op_1~2 .lut_mask = 16'h694D;
+defparam \Mult1|mult_core|padder|adder[1]|auto_generated|op_1~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y16_N20
+cycloneiii_lcell_comb \Mult2|mult_core|padder|adder[0]|auto_generated|op_1~10 (
+// Equation(s):
+// \Mult2|mult_core|padder|adder[0]|auto_generated|op_1~10_combout = (\Mult2|mult_core|romout[1][5]~1_combout & (!\Mult2|mult_core|padder|adder[0]|auto_generated|op_1~9 )) # (!\Mult2|mult_core|romout[1][5]~1_combout &
+// ((\Mult2|mult_core|padder|adder[0]|auto_generated|op_1~9 ) # (GND)))
+// \Mult2|mult_core|padder|adder[0]|auto_generated|op_1~11 = CARRY((!\Mult2|mult_core|padder|adder[0]|auto_generated|op_1~9 ) # (!\Mult2|mult_core|romout[1][5]~1_combout ))
+
+ .dataa(gnd),
+ .datab(\Mult2|mult_core|romout[1][5]~1_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Mult2|mult_core|padder|adder[0]|auto_generated|op_1~9 ),
+ .combout(\Mult2|mult_core|padder|adder[0]|auto_generated|op_1~10_combout ),
+ .cout(\Mult2|mult_core|padder|adder[0]|auto_generated|op_1~11 ));
+// synopsys translate_off
+defparam \Mult2|mult_core|padder|adder[0]|auto_generated|op_1~10 .lut_mask = 16'h3C3F;
+defparam \Mult2|mult_core|padder|adder[0]|auto_generated|op_1~10 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y16_N24
+cycloneiii_lcell_comb \Mult2|mult_core|padder|adder[0]|auto_generated|op_1~14 (
+// Equation(s):
+// \Mult2|mult_core|padder|adder[0]|auto_generated|op_1~14_combout = (\Mult2|mult_core|romout[1][7]~combout & (!\Mult2|mult_core|padder|adder[0]|auto_generated|op_1~13 )) # (!\Mult2|mult_core|romout[1][7]~combout &
+// ((\Mult2|mult_core|padder|adder[0]|auto_generated|op_1~13 ) # (GND)))
+// \Mult2|mult_core|padder|adder[0]|auto_generated|op_1~15 = CARRY((!\Mult2|mult_core|padder|adder[0]|auto_generated|op_1~13 ) # (!\Mult2|mult_core|romout[1][7]~combout ))
+
+ .dataa(gnd),
+ .datab(\Mult2|mult_core|romout[1][7]~combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Mult2|mult_core|padder|adder[0]|auto_generated|op_1~13 ),
+ .combout(\Mult2|mult_core|padder|adder[0]|auto_generated|op_1~14_combout ),
+ .cout(\Mult2|mult_core|padder|adder[0]|auto_generated|op_1~15 ));
+// synopsys translate_off
+defparam \Mult2|mult_core|padder|adder[0]|auto_generated|op_1~14 .lut_mask = 16'h3C3F;
+defparam \Mult2|mult_core|padder|adder[0]|auto_generated|op_1~14 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y16_N26
+cycloneiii_lcell_comb \Mult2|mult_core|padder|adder[0]|auto_generated|op_1~16 (
+// Equation(s):
+// \Mult2|mult_core|padder|adder[0]|auto_generated|op_1~16_combout = \Mult2|mult_core|padder|adder[0]|auto_generated|op_1~15 $ (((!\Mult0|mult_core|_~5_combout & !\Mult0|mult_core|_~4_combout )))
+
+ .dataa(\Mult0|mult_core|_~5_combout ),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\Mult0|mult_core|_~4_combout ),
+ .cin(\Mult2|mult_core|padder|adder[0]|auto_generated|op_1~15 ),
+ .combout(\Mult2|mult_core|padder|adder[0]|auto_generated|op_1~16_combout ),
+ .cout());
+// synopsys translate_off
+defparam \Mult2|mult_core|padder|adder[0]|auto_generated|op_1~16 .lut_mask = 16'hF0A5;
+defparam \Mult2|mult_core|padder|adder[0]|auto_generated|op_1~16 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y8_N22
+cycloneiii_lcell_comb \Mult3|mult_core|padder|adder[0]|auto_generated|op_1~10 (
+// Equation(s):
+// \Mult3|mult_core|padder|adder[0]|auto_generated|op_1~10_combout = (\Mult3|mult_core|romout[1][5]~combout & (!\Mult3|mult_core|padder|adder[0]|auto_generated|op_1~9 )) # (!\Mult3|mult_core|romout[1][5]~combout &
+// ((\Mult3|mult_core|padder|adder[0]|auto_generated|op_1~9 ) # (GND)))
+// \Mult3|mult_core|padder|adder[0]|auto_generated|op_1~11 = CARRY((!\Mult3|mult_core|padder|adder[0]|auto_generated|op_1~9 ) # (!\Mult3|mult_core|romout[1][5]~combout ))
+
+ .dataa(gnd),
+ .datab(\Mult3|mult_core|romout[1][5]~combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Mult3|mult_core|padder|adder[0]|auto_generated|op_1~9 ),
+ .combout(\Mult3|mult_core|padder|adder[0]|auto_generated|op_1~10_combout ),
+ .cout(\Mult3|mult_core|padder|adder[0]|auto_generated|op_1~11 ));
+// synopsys translate_off
+defparam \Mult3|mult_core|padder|adder[0]|auto_generated|op_1~10 .lut_mask = 16'h3C3F;
+defparam \Mult3|mult_core|padder|adder[0]|auto_generated|op_1~10 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y8_N26
+cycloneiii_lcell_comb \Mult3|mult_core|padder|adder[0]|auto_generated|op_1~14 (
+// Equation(s):
+// \Mult3|mult_core|padder|adder[0]|auto_generated|op_1~14_combout = (\Mult3|mult_core|romout[1][7]~2_combout & ((\Mult3|mult_core|padder|adder[0]|auto_generated|op_1~13 ) # (GND))) # (!\Mult3|mult_core|romout[1][7]~2_combout &
+// (!\Mult3|mult_core|padder|adder[0]|auto_generated|op_1~13 ))
+// \Mult3|mult_core|padder|adder[0]|auto_generated|op_1~15 = CARRY((\Mult3|mult_core|romout[1][7]~2_combout ) # (!\Mult3|mult_core|padder|adder[0]|auto_generated|op_1~13 ))
+
+ .dataa(gnd),
+ .datab(\Mult3|mult_core|romout[1][7]~2_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Mult3|mult_core|padder|adder[0]|auto_generated|op_1~13 ),
+ .combout(\Mult3|mult_core|padder|adder[0]|auto_generated|op_1~14_combout ),
+ .cout(\Mult3|mult_core|padder|adder[0]|auto_generated|op_1~15 ));
+// synopsys translate_off
+defparam \Mult3|mult_core|padder|adder[0]|auto_generated|op_1~14 .lut_mask = 16'hC3CF;
+defparam \Mult3|mult_core|padder|adder[0]|auto_generated|op_1~14 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y8_N22
+cycloneiii_lcell_comb \Mult3|mult_core|padder|adder[1]|auto_generated|op_1~0 (
+// Equation(s):
+// \Mult3|mult_core|padder|adder[1]|auto_generated|op_1~0_combout = (\Add0~20_combout & (\Mult3|mult_core|romout[2][4]~3_combout & VCC)) # (!\Add0~20_combout & (\Mult3|mult_core|romout[2][4]~3_combout $ (VCC)))
+// \Mult3|mult_core|padder|adder[1]|auto_generated|op_1~1 = CARRY((!\Add0~20_combout & \Mult3|mult_core|romout[2][4]~3_combout ))
+
+ .dataa(\Add0~20_combout ),
+ .datab(\Mult3|mult_core|romout[2][4]~3_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(\Mult3|mult_core|padder|adder[1]|auto_generated|op_1~0_combout ),
+ .cout(\Mult3|mult_core|padder|adder[1]|auto_generated|op_1~1 ));
+// synopsys translate_off
+defparam \Mult3|mult_core|padder|adder[1]|auto_generated|op_1~0 .lut_mask = 16'h9944;
+defparam \Mult3|mult_core|padder|adder[1]|auto_generated|op_1~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y8_N24
+cycloneiii_lcell_comb \Mult3|mult_core|padder|adder[1]|auto_generated|op_1~2 (
+// Equation(s):
+// \Mult3|mult_core|padder|adder[1]|auto_generated|op_1~2_combout = (\Add0~20_combout & ((\Mult3|mult_core|romout[2][5]~4_combout & (!\Mult3|mult_core|padder|adder[1]|auto_generated|op_1~1 )) # (!\Mult3|mult_core|romout[2][5]~4_combout &
+// ((\Mult3|mult_core|padder|adder[1]|auto_generated|op_1~1 ) # (GND))))) # (!\Add0~20_combout & ((\Mult3|mult_core|romout[2][5]~4_combout & (\Mult3|mult_core|padder|adder[1]|auto_generated|op_1~1 & VCC)) # (!\Mult3|mult_core|romout[2][5]~4_combout &
+// (!\Mult3|mult_core|padder|adder[1]|auto_generated|op_1~1 ))))
+// \Mult3|mult_core|padder|adder[1]|auto_generated|op_1~3 = CARRY((\Add0~20_combout & ((!\Mult3|mult_core|padder|adder[1]|auto_generated|op_1~1 ) # (!\Mult3|mult_core|romout[2][5]~4_combout ))) # (!\Add0~20_combout &
+// (!\Mult3|mult_core|romout[2][5]~4_combout & !\Mult3|mult_core|padder|adder[1]|auto_generated|op_1~1 )))
+
+ .dataa(\Add0~20_combout ),
+ .datab(\Mult3|mult_core|romout[2][5]~4_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Mult3|mult_core|padder|adder[1]|auto_generated|op_1~1 ),
+ .combout(\Mult3|mult_core|padder|adder[1]|auto_generated|op_1~2_combout ),
+ .cout(\Mult3|mult_core|padder|adder[1]|auto_generated|op_1~3 ));
+// synopsys translate_off
+defparam \Mult3|mult_core|padder|adder[1]|auto_generated|op_1~2 .lut_mask = 16'h692B;
+defparam \Mult3|mult_core|padder|adder[1]|auto_generated|op_1~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y8_N26
+cycloneiii_lcell_comb \Mult3|mult_core|padder|adder[1]|auto_generated|op_1~4 (
+// Equation(s):
+// \Mult3|mult_core|padder|adder[1]|auto_generated|op_1~4_combout = \Mult3|mult_core|padder|adder[1]|auto_generated|op_1~3 $ (!\Mult0|mult_core|_~7_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\Mult0|mult_core|_~7_combout ),
+ .cin(\Mult3|mult_core|padder|adder[1]|auto_generated|op_1~3 ),
+ .combout(\Mult3|mult_core|padder|adder[1]|auto_generated|op_1~4_combout ),
+ .cout());
+// synopsys translate_off
+defparam \Mult3|mult_core|padder|adder[1]|auto_generated|op_1~4 .lut_mask = 16'hF00F;
+defparam \Mult3|mult_core|padder|adder[1]|auto_generated|op_1~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y21_N14
+cycloneiii_lcell_comb \Mult4|mult_core|padder|adder[0]|auto_generated|op_1~8 (
+// Equation(s):
+// \Mult4|mult_core|padder|adder[0]|auto_generated|op_1~8_combout = (\Mult0|mult_core|romout[1][4]~combout & (\Mult4|mult_core|padder|adder[0]|auto_generated|op_1~7 $ (GND))) # (!\Mult0|mult_core|romout[1][4]~combout &
+// (!\Mult4|mult_core|padder|adder[0]|auto_generated|op_1~7 & VCC))
+// \Mult4|mult_core|padder|adder[0]|auto_generated|op_1~9 = CARRY((\Mult0|mult_core|romout[1][4]~combout & !\Mult4|mult_core|padder|adder[0]|auto_generated|op_1~7 ))
+
+ .dataa(gnd),
+ .datab(\Mult0|mult_core|romout[1][4]~combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Mult4|mult_core|padder|adder[0]|auto_generated|op_1~7 ),
+ .combout(\Mult4|mult_core|padder|adder[0]|auto_generated|op_1~8_combout ),
+ .cout(\Mult4|mult_core|padder|adder[0]|auto_generated|op_1~9 ));
+// synopsys translate_off
+defparam \Mult4|mult_core|padder|adder[0]|auto_generated|op_1~8 .lut_mask = 16'hC30C;
+defparam \Mult4|mult_core|padder|adder[0]|auto_generated|op_1~8 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y21_N16
+cycloneiii_lcell_comb \Mult4|mult_core|padder|adder[0]|auto_generated|op_1~10 (
+// Equation(s):
+// \Mult4|mult_core|padder|adder[0]|auto_generated|op_1~10_combout = (\Mult0|mult_core|romout[1][5]~2_combout & ((\Mult4|mult_core|padder|adder[0]|auto_generated|op_1~9 ) # (GND))) # (!\Mult0|mult_core|romout[1][5]~2_combout &
+// (!\Mult4|mult_core|padder|adder[0]|auto_generated|op_1~9 ))
+// \Mult4|mult_core|padder|adder[0]|auto_generated|op_1~11 = CARRY((\Mult0|mult_core|romout[1][5]~2_combout ) # (!\Mult4|mult_core|padder|adder[0]|auto_generated|op_1~9 ))
+
+ .dataa(\Mult0|mult_core|romout[1][5]~2_combout ),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Mult4|mult_core|padder|adder[0]|auto_generated|op_1~9 ),
+ .combout(\Mult4|mult_core|padder|adder[0]|auto_generated|op_1~10_combout ),
+ .cout(\Mult4|mult_core|padder|adder[0]|auto_generated|op_1~11 ));
+// synopsys translate_off
+defparam \Mult4|mult_core|padder|adder[0]|auto_generated|op_1~10 .lut_mask = 16'hA5AF;
+defparam \Mult4|mult_core|padder|adder[0]|auto_generated|op_1~10 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y21_N18
+cycloneiii_lcell_comb \Mult4|mult_core|padder|adder[0]|auto_generated|op_1~12 (
+// Equation(s):
+// \Mult4|mult_core|padder|adder[0]|auto_generated|op_1~12_combout = (\Mult0|mult_core|romout[1][6]~combout & (\Mult4|mult_core|padder|adder[0]|auto_generated|op_1~11 $ (GND))) # (!\Mult0|mult_core|romout[1][6]~combout &
+// (!\Mult4|mult_core|padder|adder[0]|auto_generated|op_1~11 & VCC))
+// \Mult4|mult_core|padder|adder[0]|auto_generated|op_1~13 = CARRY((\Mult0|mult_core|romout[1][6]~combout & !\Mult4|mult_core|padder|adder[0]|auto_generated|op_1~11 ))
+
+ .dataa(\Mult0|mult_core|romout[1][6]~combout ),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Mult4|mult_core|padder|adder[0]|auto_generated|op_1~11 ),
+ .combout(\Mult4|mult_core|padder|adder[0]|auto_generated|op_1~12_combout ),
+ .cout(\Mult4|mult_core|padder|adder[0]|auto_generated|op_1~13 ));
+// synopsys translate_off
+defparam \Mult4|mult_core|padder|adder[0]|auto_generated|op_1~12 .lut_mask = 16'hA50A;
+defparam \Mult4|mult_core|padder|adder[0]|auto_generated|op_1~12 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y21_N20
+cycloneiii_lcell_comb \Mult4|mult_core|padder|adder[0]|auto_generated|op_1~14 (
+// Equation(s):
+// \Mult4|mult_core|padder|adder[0]|auto_generated|op_1~14_combout = \Mult4|mult_core|padder|adder[0]|auto_generated|op_1~13 $ (\Mult0|mult_core|romout[1][7]~3_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\Mult0|mult_core|romout[1][7]~3_combout ),
+ .cin(\Mult4|mult_core|padder|adder[0]|auto_generated|op_1~13 ),
+ .combout(\Mult4|mult_core|padder|adder[0]|auto_generated|op_1~14_combout ),
+ .cout());
+// synopsys translate_off
+defparam \Mult4|mult_core|padder|adder[0]|auto_generated|op_1~14 .lut_mask = 16'h0FF0;
+defparam \Mult4|mult_core|padder|adder[0]|auto_generated|op_1~14 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y14_N8
+cycloneiii_lcell_comb \Mult5|mult_core|padder|adder[0]|auto_generated|op_1~8 (
+// Equation(s):
+// \Mult5|mult_core|padder|adder[0]|auto_generated|op_1~8_combout = ((\Mult0|mult_core|_~0_combout $ (\Mult5|mult_core|romout[1][4]~combout $ (!\Mult5|mult_core|padder|adder[0]|auto_generated|op_1~7 )))) # (GND)
+// \Mult5|mult_core|padder|adder[0]|auto_generated|op_1~9 = CARRY((\Mult0|mult_core|_~0_combout & ((\Mult5|mult_core|romout[1][4]~combout ) # (!\Mult5|mult_core|padder|adder[0]|auto_generated|op_1~7 ))) # (!\Mult0|mult_core|_~0_combout &
+// (\Mult5|mult_core|romout[1][4]~combout & !\Mult5|mult_core|padder|adder[0]|auto_generated|op_1~7 )))
+
+ .dataa(\Mult0|mult_core|_~0_combout ),
+ .datab(\Mult5|mult_core|romout[1][4]~combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Mult5|mult_core|padder|adder[0]|auto_generated|op_1~7 ),
+ .combout(\Mult5|mult_core|padder|adder[0]|auto_generated|op_1~8_combout ),
+ .cout(\Mult5|mult_core|padder|adder[0]|auto_generated|op_1~9 ));
+// synopsys translate_off
+defparam \Mult5|mult_core|padder|adder[0]|auto_generated|op_1~8 .lut_mask = 16'h698E;
+defparam \Mult5|mult_core|padder|adder[0]|auto_generated|op_1~8 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y14_N10
+cycloneiii_lcell_comb \Mult5|mult_core|padder|adder[0]|auto_generated|op_1~10 (
+// Equation(s):
+// \Mult5|mult_core|padder|adder[0]|auto_generated|op_1~10_combout = (\Mult5|mult_core|romout[1][5]~combout & (!\Mult5|mult_core|padder|adder[0]|auto_generated|op_1~9 )) # (!\Mult5|mult_core|romout[1][5]~combout &
+// ((\Mult5|mult_core|padder|adder[0]|auto_generated|op_1~9 ) # (GND)))
+// \Mult5|mult_core|padder|adder[0]|auto_generated|op_1~11 = CARRY((!\Mult5|mult_core|padder|adder[0]|auto_generated|op_1~9 ) # (!\Mult5|mult_core|romout[1][5]~combout ))
+
+ .dataa(\Mult5|mult_core|romout[1][5]~combout ),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Mult5|mult_core|padder|adder[0]|auto_generated|op_1~9 ),
+ .combout(\Mult5|mult_core|padder|adder[0]|auto_generated|op_1~10_combout ),
+ .cout(\Mult5|mult_core|padder|adder[0]|auto_generated|op_1~11 ));
+// synopsys translate_off
+defparam \Mult5|mult_core|padder|adder[0]|auto_generated|op_1~10 .lut_mask = 16'h5A5F;
+defparam \Mult5|mult_core|padder|adder[0]|auto_generated|op_1~10 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y14_N12
+cycloneiii_lcell_comb \Mult5|mult_core|padder|adder[0]|auto_generated|op_1~12 (
+// Equation(s):
+// \Mult5|mult_core|padder|adder[0]|auto_generated|op_1~12_combout = (\Mult5|mult_core|romout[1][6]~combout & (\Mult5|mult_core|padder|adder[0]|auto_generated|op_1~11 $ (GND))) # (!\Mult5|mult_core|romout[1][6]~combout &
+// (!\Mult5|mult_core|padder|adder[0]|auto_generated|op_1~11 & VCC))
+// \Mult5|mult_core|padder|adder[0]|auto_generated|op_1~13 = CARRY((\Mult5|mult_core|romout[1][6]~combout & !\Mult5|mult_core|padder|adder[0]|auto_generated|op_1~11 ))
+
+ .dataa(gnd),
+ .datab(\Mult5|mult_core|romout[1][6]~combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Mult5|mult_core|padder|adder[0]|auto_generated|op_1~11 ),
+ .combout(\Mult5|mult_core|padder|adder[0]|auto_generated|op_1~12_combout ),
+ .cout(\Mult5|mult_core|padder|adder[0]|auto_generated|op_1~13 ));
+// synopsys translate_off
+defparam \Mult5|mult_core|padder|adder[0]|auto_generated|op_1~12 .lut_mask = 16'hC30C;
+defparam \Mult5|mult_core|padder|adder[0]|auto_generated|op_1~12 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y14_N14
+cycloneiii_lcell_comb \Mult5|mult_core|padder|adder[0]|auto_generated|op_1~14 (
+// Equation(s):
+// \Mult5|mult_core|padder|adder[0]|auto_generated|op_1~14_combout = (\Mult0|mult_core|romout[1][6]~combout & (!\Mult5|mult_core|padder|adder[0]|auto_generated|op_1~13 )) # (!\Mult0|mult_core|romout[1][6]~combout &
+// ((\Mult5|mult_core|padder|adder[0]|auto_generated|op_1~13 ) # (GND)))
+// \Mult5|mult_core|padder|adder[0]|auto_generated|op_1~15 = CARRY((!\Mult5|mult_core|padder|adder[0]|auto_generated|op_1~13 ) # (!\Mult0|mult_core|romout[1][6]~combout ))
+
+ .dataa(gnd),
+ .datab(\Mult0|mult_core|romout[1][6]~combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Mult5|mult_core|padder|adder[0]|auto_generated|op_1~13 ),
+ .combout(\Mult5|mult_core|padder|adder[0]|auto_generated|op_1~14_combout ),
+ .cout(\Mult5|mult_core|padder|adder[0]|auto_generated|op_1~15 ));
+// synopsys translate_off
+defparam \Mult5|mult_core|padder|adder[0]|auto_generated|op_1~14 .lut_mask = 16'h3C3F;
+defparam \Mult5|mult_core|padder|adder[0]|auto_generated|op_1~14 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y14_N16
+cycloneiii_lcell_comb \Mult5|mult_core|padder|adder[0]|auto_generated|op_1~16 (
+// Equation(s):
+// \Mult5|mult_core|padder|adder[0]|auto_generated|op_1~16_combout = \Mult5|mult_core|padder|adder[0]|auto_generated|op_1~15 $ (!\Mult0|mult_core|romout[1][7]~3_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\Mult0|mult_core|romout[1][7]~3_combout ),
+ .cin(\Mult5|mult_core|padder|adder[0]|auto_generated|op_1~15 ),
+ .combout(\Mult5|mult_core|padder|adder[0]|auto_generated|op_1~16_combout ),
+ .cout());
+// synopsys translate_off
+defparam \Mult5|mult_core|padder|adder[0]|auto_generated|op_1~16 .lut_mask = 16'hF00F;
+defparam \Mult5|mult_core|padder|adder[0]|auto_generated|op_1~16 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X17_Y15_N12
+cycloneiii_lcell_comb \Mult6|mult_core|padder|adder[0]|auto_generated|op_1~8 (
+// Equation(s):
+// \Mult6|mult_core|padder|adder[0]|auto_generated|op_1~8_combout = ((\Mult6|mult_core|romout[1][4]~4_combout $ (\Mult6|mult_core|romout[0][8]~5_combout $ (!\Mult6|mult_core|padder|adder[0]|auto_generated|op_1~7 )))) # (GND)
+// \Mult6|mult_core|padder|adder[0]|auto_generated|op_1~9 = CARRY((\Mult6|mult_core|romout[1][4]~4_combout & ((\Mult6|mult_core|romout[0][8]~5_combout ) # (!\Mult6|mult_core|padder|adder[0]|auto_generated|op_1~7 ))) #
+// (!\Mult6|mult_core|romout[1][4]~4_combout & (\Mult6|mult_core|romout[0][8]~5_combout & !\Mult6|mult_core|padder|adder[0]|auto_generated|op_1~7 )))
+
+ .dataa(\Mult6|mult_core|romout[1][4]~4_combout ),
+ .datab(\Mult6|mult_core|romout[0][8]~5_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Mult6|mult_core|padder|adder[0]|auto_generated|op_1~7 ),
+ .combout(\Mult6|mult_core|padder|adder[0]|auto_generated|op_1~8_combout ),
+ .cout(\Mult6|mult_core|padder|adder[0]|auto_generated|op_1~9 ));
+// synopsys translate_off
+defparam \Mult6|mult_core|padder|adder[0]|auto_generated|op_1~8 .lut_mask = 16'h698E;
+defparam \Mult6|mult_core|padder|adder[0]|auto_generated|op_1~8 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X17_Y15_N14
+cycloneiii_lcell_comb \Mult6|mult_core|padder|adder[0]|auto_generated|op_1~10 (
+// Equation(s):
+// \Mult6|mult_core|padder|adder[0]|auto_generated|op_1~10_combout = (\Mult6|mult_core|romout[1][5]~combout & (!\Mult6|mult_core|padder|adder[0]|auto_generated|op_1~9 )) # (!\Mult6|mult_core|romout[1][5]~combout &
+// ((\Mult6|mult_core|padder|adder[0]|auto_generated|op_1~9 ) # (GND)))
+// \Mult6|mult_core|padder|adder[0]|auto_generated|op_1~11 = CARRY((!\Mult6|mult_core|padder|adder[0]|auto_generated|op_1~9 ) # (!\Mult6|mult_core|romout[1][5]~combout ))
+
+ .dataa(\Mult6|mult_core|romout[1][5]~combout ),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Mult6|mult_core|padder|adder[0]|auto_generated|op_1~9 ),
+ .combout(\Mult6|mult_core|padder|adder[0]|auto_generated|op_1~10_combout ),
+ .cout(\Mult6|mult_core|padder|adder[0]|auto_generated|op_1~11 ));
+// synopsys translate_off
+defparam \Mult6|mult_core|padder|adder[0]|auto_generated|op_1~10 .lut_mask = 16'h5A5F;
+defparam \Mult6|mult_core|padder|adder[0]|auto_generated|op_1~10 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X17_Y15_N18
+cycloneiii_lcell_comb \Mult6|mult_core|padder|adder[0]|auto_generated|op_1~14 (
+// Equation(s):
+// \Mult6|mult_core|padder|adder[0]|auto_generated|op_1~14_combout = (\Mult6|mult_core|romout[1][7]~6_combout & (!\Mult6|mult_core|padder|adder[0]|auto_generated|op_1~13 )) # (!\Mult6|mult_core|romout[1][7]~6_combout &
+// ((\Mult6|mult_core|padder|adder[0]|auto_generated|op_1~13 ) # (GND)))
+// \Mult6|mult_core|padder|adder[0]|auto_generated|op_1~15 = CARRY((!\Mult6|mult_core|padder|adder[0]|auto_generated|op_1~13 ) # (!\Mult6|mult_core|romout[1][7]~6_combout ))
+
+ .dataa(gnd),
+ .datab(\Mult6|mult_core|romout[1][7]~6_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Mult6|mult_core|padder|adder[0]|auto_generated|op_1~13 ),
+ .combout(\Mult6|mult_core|padder|adder[0]|auto_generated|op_1~14_combout ),
+ .cout(\Mult6|mult_core|padder|adder[0]|auto_generated|op_1~15 ));
+// synopsys translate_off
+defparam \Mult6|mult_core|padder|adder[0]|auto_generated|op_1~14 .lut_mask = 16'h3C3F;
+defparam \Mult6|mult_core|padder|adder[0]|auto_generated|op_1~14 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X17_Y15_N20
+cycloneiii_lcell_comb \Mult6|mult_core|padder|adder[0]|auto_generated|op_1~16 (
+// Equation(s):
+// \Mult6|mult_core|padder|adder[0]|auto_generated|op_1~16_combout = \Mult6|mult_core|padder|adder[0]|auto_generated|op_1~15 $ (!\Mult6|mult_core|romout[1][8]~combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\Mult6|mult_core|romout[1][8]~combout ),
+ .cin(\Mult6|mult_core|padder|adder[0]|auto_generated|op_1~15 ),
+ .combout(\Mult6|mult_core|padder|adder[0]|auto_generated|op_1~16_combout ),
+ .cout());
+// synopsys translate_off
+defparam \Mult6|mult_core|padder|adder[0]|auto_generated|op_1~16 .lut_mask = 16'hF00F;
+defparam \Mult6|mult_core|padder|adder[0]|auto_generated|op_1~16 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X16_Y15_N22
+cycloneiii_lcell_comb \Mult6|mult_core|padder|adder[1]|auto_generated|op_1~2 (
+// Equation(s):
+// \Mult6|mult_core|padder|adder[1]|auto_generated|op_1~2_combout = (\Add0~20_combout & ((\Mult6|mult_core|romout[2][5]~combout & (!\Mult6|mult_core|padder|adder[1]|auto_generated|op_1~1 )) # (!\Mult6|mult_core|romout[2][5]~combout &
+// ((\Mult6|mult_core|padder|adder[1]|auto_generated|op_1~1 ) # (GND))))) # (!\Add0~20_combout & ((\Mult6|mult_core|romout[2][5]~combout & (\Mult6|mult_core|padder|adder[1]|auto_generated|op_1~1 & VCC)) # (!\Mult6|mult_core|romout[2][5]~combout &
+// (!\Mult6|mult_core|padder|adder[1]|auto_generated|op_1~1 ))))
+// \Mult6|mult_core|padder|adder[1]|auto_generated|op_1~3 = CARRY((\Add0~20_combout & ((!\Mult6|mult_core|padder|adder[1]|auto_generated|op_1~1 ) # (!\Mult6|mult_core|romout[2][5]~combout ))) # (!\Add0~20_combout & (!\Mult6|mult_core|romout[2][5]~combout
+// & !\Mult6|mult_core|padder|adder[1]|auto_generated|op_1~1 )))
+
+ .dataa(\Add0~20_combout ),
+ .datab(\Mult6|mult_core|romout[2][5]~combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Mult6|mult_core|padder|adder[1]|auto_generated|op_1~1 ),
+ .combout(\Mult6|mult_core|padder|adder[1]|auto_generated|op_1~2_combout ),
+ .cout(\Mult6|mult_core|padder|adder[1]|auto_generated|op_1~3 ));
+// synopsys translate_off
+defparam \Mult6|mult_core|padder|adder[1]|auto_generated|op_1~2 .lut_mask = 16'h692B;
+defparam \Mult6|mult_core|padder|adder[1]|auto_generated|op_1~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X20_Y12_N10
+cycloneiii_lcell_comb \Mult8|mult_core|padder|adder[0]|auto_generated|op_1~10 (
+// Equation(s):
+// \Mult8|mult_core|padder|adder[0]|auto_generated|op_1~10_combout = (\Mult8|mult_core|romout[1][5]~combout & (!\Mult8|mult_core|padder|adder[0]|auto_generated|op_1~9 )) # (!\Mult8|mult_core|romout[1][5]~combout &
+// ((\Mult8|mult_core|padder|adder[0]|auto_generated|op_1~9 ) # (GND)))
+// \Mult8|mult_core|padder|adder[0]|auto_generated|op_1~11 = CARRY((!\Mult8|mult_core|padder|adder[0]|auto_generated|op_1~9 ) # (!\Mult8|mult_core|romout[1][5]~combout ))
+
+ .dataa(gnd),
+ .datab(\Mult8|mult_core|romout[1][5]~combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Mult8|mult_core|padder|adder[0]|auto_generated|op_1~9 ),
+ .combout(\Mult8|mult_core|padder|adder[0]|auto_generated|op_1~10_combout ),
+ .cout(\Mult8|mult_core|padder|adder[0]|auto_generated|op_1~11 ));
+// synopsys translate_off
+defparam \Mult8|mult_core|padder|adder[0]|auto_generated|op_1~10 .lut_mask = 16'h3C3F;
+defparam \Mult8|mult_core|padder|adder[0]|auto_generated|op_1~10 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X20_Y12_N12
+cycloneiii_lcell_comb \Mult8|mult_core|padder|adder[0]|auto_generated|op_1~12 (
+// Equation(s):
+// \Mult8|mult_core|padder|adder[0]|auto_generated|op_1~12_combout = (\Mult8|mult_core|romout[1][6]~1_combout & (\Mult8|mult_core|padder|adder[0]|auto_generated|op_1~11 $ (GND))) # (!\Mult8|mult_core|romout[1][6]~1_combout &
+// (!\Mult8|mult_core|padder|adder[0]|auto_generated|op_1~11 & VCC))
+// \Mult8|mult_core|padder|adder[0]|auto_generated|op_1~13 = CARRY((\Mult8|mult_core|romout[1][6]~1_combout & !\Mult8|mult_core|padder|adder[0]|auto_generated|op_1~11 ))
+
+ .dataa(\Mult8|mult_core|romout[1][6]~1_combout ),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Mult8|mult_core|padder|adder[0]|auto_generated|op_1~11 ),
+ .combout(\Mult8|mult_core|padder|adder[0]|auto_generated|op_1~12_combout ),
+ .cout(\Mult8|mult_core|padder|adder[0]|auto_generated|op_1~13 ));
+// synopsys translate_off
+defparam \Mult8|mult_core|padder|adder[0]|auto_generated|op_1~12 .lut_mask = 16'hA50A;
+defparam \Mult8|mult_core|padder|adder[0]|auto_generated|op_1~12 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X24_Y14_N16
+cycloneiii_lcell_comb \Mult8|mult_core|padder|adder[1]|auto_generated|op_1~0 (
+// Equation(s):
+// \Mult8|mult_core|padder|adder[1]|auto_generated|op_1~0_combout = (\Mult8|mult_core|romout[2][4]~2_combout & (!\Add0~20_combout & VCC)) # (!\Mult8|mult_core|romout[2][4]~2_combout & (\Add0~20_combout $ (GND)))
+// \Mult8|mult_core|padder|adder[1]|auto_generated|op_1~1 = CARRY((!\Mult8|mult_core|romout[2][4]~2_combout & !\Add0~20_combout ))
+
+ .dataa(\Mult8|mult_core|romout[2][4]~2_combout ),
+ .datab(\Add0~20_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(\Mult8|mult_core|padder|adder[1]|auto_generated|op_1~0_combout ),
+ .cout(\Mult8|mult_core|padder|adder[1]|auto_generated|op_1~1 ));
+// synopsys translate_off
+defparam \Mult8|mult_core|padder|adder[1]|auto_generated|op_1~0 .lut_mask = 16'h6611;
+defparam \Mult8|mult_core|padder|adder[1]|auto_generated|op_1~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X24_Y14_N18
+cycloneiii_lcell_comb \Mult8|mult_core|padder|adder[1]|auto_generated|op_1~2 (
+// Equation(s):
+// \Mult8|mult_core|padder|adder[1]|auto_generated|op_1~2_combout = (\Mult0|mult_core|_~10_combout & (((!\Mult8|mult_core|padder|adder[1]|auto_generated|op_1~1 )))) # (!\Mult0|mult_core|_~10_combout & ((\Mult0|mult_core|_~8_combout &
+// (!\Mult8|mult_core|padder|adder[1]|auto_generated|op_1~1 )) # (!\Mult0|mult_core|_~8_combout & ((\Mult8|mult_core|padder|adder[1]|auto_generated|op_1~1 ) # (GND)))))
+// \Mult8|mult_core|padder|adder[1]|auto_generated|op_1~3 = CARRY(((!\Mult0|mult_core|_~10_combout & !\Mult0|mult_core|_~8_combout )) # (!\Mult8|mult_core|padder|adder[1]|auto_generated|op_1~1 ))
+
+ .dataa(\Mult0|mult_core|_~10_combout ),
+ .datab(\Mult0|mult_core|_~8_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Mult8|mult_core|padder|adder[1]|auto_generated|op_1~1 ),
+ .combout(\Mult8|mult_core|padder|adder[1]|auto_generated|op_1~2_combout ),
+ .cout(\Mult8|mult_core|padder|adder[1]|auto_generated|op_1~3 ));
+// synopsys translate_off
+defparam \Mult8|mult_core|padder|adder[1]|auto_generated|op_1~2 .lut_mask = 16'h1E1F;
+defparam \Mult8|mult_core|padder|adder[1]|auto_generated|op_1~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X24_Y14_N20
+cycloneiii_lcell_comb \Mult8|mult_core|padder|adder[1]|auto_generated|op_1~4 (
+// Equation(s):
+// \Mult8|mult_core|padder|adder[1]|auto_generated|op_1~4_combout = \Add0~20_combout $ (\Mult8|mult_core|padder|adder[1]|auto_generated|op_1~3 $ (\Mult8|mult_core|romout[2][6]~combout ))
+
+ .dataa(gnd),
+ .datab(\Add0~20_combout ),
+ .datac(gnd),
+ .datad(\Mult8|mult_core|romout[2][6]~combout ),
+ .cin(\Mult8|mult_core|padder|adder[1]|auto_generated|op_1~3 ),
+ .combout(\Mult8|mult_core|padder|adder[1]|auto_generated|op_1~4_combout ),
+ .cout());
+// synopsys translate_off
+defparam \Mult8|mult_core|padder|adder[1]|auto_generated|op_1~4 .lut_mask = 16'hC33C;
+defparam \Mult8|mult_core|padder|adder[1]|auto_generated|op_1~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X23_Y9_N22
+cycloneiii_lcell_comb \Mult9|mult_core|padder|adder[0]|auto_generated|op_1~8 (
+// Equation(s):
+// \Mult9|mult_core|padder|adder[0]|auto_generated|op_1~8_combout = ((\Mult9|mult_core|romout[0][8]~2_combout $ (\Mult9|mult_core|romout[1][4]~combout $ (!\Mult9|mult_core|padder|adder[0]|auto_generated|op_1~7 )))) # (GND)
+// \Mult9|mult_core|padder|adder[0]|auto_generated|op_1~9 = CARRY((\Mult9|mult_core|romout[0][8]~2_combout & ((\Mult9|mult_core|romout[1][4]~combout ) # (!\Mult9|mult_core|padder|adder[0]|auto_generated|op_1~7 ))) #
+// (!\Mult9|mult_core|romout[0][8]~2_combout & (\Mult9|mult_core|romout[1][4]~combout & !\Mult9|mult_core|padder|adder[0]|auto_generated|op_1~7 )))
+
+ .dataa(\Mult9|mult_core|romout[0][8]~2_combout ),
+ .datab(\Mult9|mult_core|romout[1][4]~combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Mult9|mult_core|padder|adder[0]|auto_generated|op_1~7 ),
+ .combout(\Mult9|mult_core|padder|adder[0]|auto_generated|op_1~8_combout ),
+ .cout(\Mult9|mult_core|padder|adder[0]|auto_generated|op_1~9 ));
+// synopsys translate_off
+defparam \Mult9|mult_core|padder|adder[0]|auto_generated|op_1~8 .lut_mask = 16'h698E;
+defparam \Mult9|mult_core|padder|adder[0]|auto_generated|op_1~8 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X23_Y9_N26
+cycloneiii_lcell_comb \Mult9|mult_core|padder|adder[0]|auto_generated|op_1~12 (
+// Equation(s):
+// \Mult9|mult_core|padder|adder[0]|auto_generated|op_1~12_combout = (\Mult9|mult_core|romout[1][6]~3_combout & (\Mult9|mult_core|padder|adder[0]|auto_generated|op_1~11 $ (GND))) # (!\Mult9|mult_core|romout[1][6]~3_combout &
+// (!\Mult9|mult_core|padder|adder[0]|auto_generated|op_1~11 & VCC))
+// \Mult9|mult_core|padder|adder[0]|auto_generated|op_1~13 = CARRY((\Mult9|mult_core|romout[1][6]~3_combout & !\Mult9|mult_core|padder|adder[0]|auto_generated|op_1~11 ))
+
+ .dataa(gnd),
+ .datab(\Mult9|mult_core|romout[1][6]~3_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Mult9|mult_core|padder|adder[0]|auto_generated|op_1~11 ),
+ .combout(\Mult9|mult_core|padder|adder[0]|auto_generated|op_1~12_combout ),
+ .cout(\Mult9|mult_core|padder|adder[0]|auto_generated|op_1~13 ));
+// synopsys translate_off
+defparam \Mult9|mult_core|padder|adder[0]|auto_generated|op_1~12 .lut_mask = 16'hC30C;
+defparam \Mult9|mult_core|padder|adder[0]|auto_generated|op_1~12 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X23_Y9_N28
+cycloneiii_lcell_comb \Mult9|mult_core|padder|adder[0]|auto_generated|op_1~14 (
+// Equation(s):
+// \Mult9|mult_core|padder|adder[0]|auto_generated|op_1~14_combout = (\Mult9|mult_core|romout[1][7]~combout & (!\Mult9|mult_core|padder|adder[0]|auto_generated|op_1~13 )) # (!\Mult9|mult_core|romout[1][7]~combout &
+// ((\Mult9|mult_core|padder|adder[0]|auto_generated|op_1~13 ) # (GND)))
+// \Mult9|mult_core|padder|adder[0]|auto_generated|op_1~15 = CARRY((!\Mult9|mult_core|padder|adder[0]|auto_generated|op_1~13 ) # (!\Mult9|mult_core|romout[1][7]~combout ))
+
+ .dataa(\Mult9|mult_core|romout[1][7]~combout ),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Mult9|mult_core|padder|adder[0]|auto_generated|op_1~13 ),
+ .combout(\Mult9|mult_core|padder|adder[0]|auto_generated|op_1~14_combout ),
+ .cout(\Mult9|mult_core|padder|adder[0]|auto_generated|op_1~15 ));
+// synopsys translate_off
+defparam \Mult9|mult_core|padder|adder[0]|auto_generated|op_1~14 .lut_mask = 16'h5A5F;
+defparam \Mult9|mult_core|padder|adder[0]|auto_generated|op_1~14 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X23_Y9_N30
+cycloneiii_lcell_comb \Mult9|mult_core|padder|adder[0]|auto_generated|op_1~16 (
+// Equation(s):
+// \Mult9|mult_core|padder|adder[0]|auto_generated|op_1~16_combout = \Mult9|mult_core|padder|adder[0]|auto_generated|op_1~15 $ (!\Mult9|mult_core|romout[1][8]~4_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\Mult9|mult_core|romout[1][8]~4_combout ),
+ .cin(\Mult9|mult_core|padder|adder[0]|auto_generated|op_1~15 ),
+ .combout(\Mult9|mult_core|padder|adder[0]|auto_generated|op_1~16_combout ),
+ .cout());
+// synopsys translate_off
+defparam \Mult9|mult_core|padder|adder[0]|auto_generated|op_1~16 .lut_mask = 16'hF00F;
+defparam \Mult9|mult_core|padder|adder[0]|auto_generated|op_1~16 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X24_Y9_N20
+cycloneiii_lcell_comb \Mult9|mult_core|padder|adder[1]|auto_generated|op_1~2 (
+// Equation(s):
+// \Mult9|mult_core|padder|adder[1]|auto_generated|op_1~2_combout = (\Add0~20_combout & ((\Mult5|mult_core|romout[2][3]~combout & (!\Mult9|mult_core|padder|adder[1]|auto_generated|op_1~1 )) # (!\Mult5|mult_core|romout[2][3]~combout &
+// ((\Mult9|mult_core|padder|adder[1]|auto_generated|op_1~1 ) # (GND))))) # (!\Add0~20_combout & ((\Mult5|mult_core|romout[2][3]~combout & (\Mult9|mult_core|padder|adder[1]|auto_generated|op_1~1 & VCC)) # (!\Mult5|mult_core|romout[2][3]~combout &
+// (!\Mult9|mult_core|padder|adder[1]|auto_generated|op_1~1 ))))
+// \Mult9|mult_core|padder|adder[1]|auto_generated|op_1~3 = CARRY((\Add0~20_combout & ((!\Mult9|mult_core|padder|adder[1]|auto_generated|op_1~1 ) # (!\Mult5|mult_core|romout[2][3]~combout ))) # (!\Add0~20_combout & (!\Mult5|mult_core|romout[2][3]~combout
+// & !\Mult9|mult_core|padder|adder[1]|auto_generated|op_1~1 )))
+
+ .dataa(\Add0~20_combout ),
+ .datab(\Mult5|mult_core|romout[2][3]~combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Mult9|mult_core|padder|adder[1]|auto_generated|op_1~1 ),
+ .combout(\Mult9|mult_core|padder|adder[1]|auto_generated|op_1~2_combout ),
+ .cout(\Mult9|mult_core|padder|adder[1]|auto_generated|op_1~3 ));
+// synopsys translate_off
+defparam \Mult9|mult_core|padder|adder[1]|auto_generated|op_1~2 .lut_mask = 16'h692B;
+defparam \Mult9|mult_core|padder|adder[1]|auto_generated|op_1~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X24_Y9_N22
+cycloneiii_lcell_comb \Mult9|mult_core|padder|adder[1]|auto_generated|op_1~4 (
+// Equation(s):
+// \Mult9|mult_core|padder|adder[1]|auto_generated|op_1~4_combout = \Mult9|mult_core|padder|adder[1]|auto_generated|op_1~3 $ (!\Mult9|mult_core|romout[2][6]~combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\Mult9|mult_core|romout[2][6]~combout ),
+ .cin(\Mult9|mult_core|padder|adder[1]|auto_generated|op_1~3 ),
+ .combout(\Mult9|mult_core|padder|adder[1]|auto_generated|op_1~4_combout ),
+ .cout());
+// synopsys translate_off
+defparam \Mult9|mult_core|padder|adder[1]|auto_generated|op_1~4 .lut_mask = 16'hF00F;
+defparam \Mult9|mult_core|padder|adder[1]|auto_generated|op_1~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y15_N24
+cycloneiii_lcell_comb \Mult0|mult_core|romout[0][5]~1 (
+// Equation(s):
+// \Mult0|mult_core|romout[0][5]~1_combout = (\Add0~2_combout & ((\Add0~4_combout & ((!\Add0~6_combout ) # (!\Add0~0_combout ))) # (!\Add0~4_combout & (!\Add0~0_combout & !\Add0~6_combout )))) # (!\Add0~2_combout & ((\Add0~4_combout &
+// ((\Add0~6_combout ))) # (!\Add0~4_combout & ((!\Add0~6_combout ) # (!\Add0~0_combout )))))
+
+ .dataa(\Add0~2_combout ),
+ .datab(\Add0~4_combout ),
+ .datac(\Add0~0_combout ),
+ .datad(\Add0~6_combout ),
+ .cin(gnd),
+ .combout(\Mult0|mult_core|romout[0][5]~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \Mult0|mult_core|romout[0][5]~1 .lut_mask = 16'h4D9B;
+defparam \Mult0|mult_core|romout[0][5]~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y21_N2
+cycloneiii_lcell_comb \Mult0|mult_core|romout[1][2] (
+// Equation(s):
+// \Mult0|mult_core|romout[1][2]~combout = \Add0~12_combout $ (((!\Add0~8_combout & \Add0~10_combout )))
+
+ .dataa(\Add0~8_combout ),
+ .datab(gnd),
+ .datac(\Add0~10_combout ),
+ .datad(\Add0~12_combout ),
+ .cin(gnd),
+ .combout(\Mult0|mult_core|romout[1][2]~combout ),
+ .cout());
+// synopsys translate_off
+defparam \Mult0|mult_core|romout[1][2] .lut_mask = 16'hAF50;
+defparam \Mult0|mult_core|romout[1][2] .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X23_Y12_N20
+cycloneiii_lcell_comb \Mult0|mult_core|_~0 (
+// Equation(s):
+// \Mult0|mult_core|_~0_combout = (\Add0~6_combout & \Add0~4_combout )
+
+ .dataa(\Add0~6_combout ),
+ .datab(gnd),
+ .datac(\Add0~4_combout ),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\Mult0|mult_core|_~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \Mult0|mult_core|_~0 .lut_mask = 16'hA0A0;
+defparam \Mult0|mult_core|_~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X7_Y27_N30
+cycloneiii_lcell_comb \Mult0|mult_core|_~2 (
+// Equation(s):
+// \Mult0|mult_core|_~2_combout = (\Add0~20_combout & (\Add0~18_combout & \Add0~16_combout ))
+
+ .dataa(\Add0~20_combout ),
+ .datab(\Add0~18_combout ),
+ .datac(\Add0~16_combout ),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\Mult0|mult_core|_~2_combout ),
+ .cout());
+// synopsys translate_off
+defparam \Mult0|mult_core|_~2 .lut_mask = 16'h8080;
+defparam \Mult0|mult_core|_~2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X23_Y9_N2
+cycloneiii_lcell_comb \Mult1|mult_core|romout[1][3] (
+// Equation(s):
+// \Mult1|mult_core|romout[1][3]~combout = \Add0~10_combout $ (\Add0~14_combout $ (((\Add0~8_combout & !\Add0~12_combout ))))
+
+ .dataa(\Add0~8_combout ),
+ .datab(\Add0~10_combout ),
+ .datac(\Add0~14_combout ),
+ .datad(\Add0~12_combout ),
+ .cin(gnd),
+ .combout(\Mult1|mult_core|romout[1][3]~combout ),
+ .cout());
+// synopsys translate_off
+defparam \Mult1|mult_core|romout[1][3] .lut_mask = 16'h3C96;
+defparam \Mult1|mult_core|romout[1][3] .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X15_Y13_N20
+cycloneiii_lcell_comb \Mult1|mult_core|romout[2][3]~5 (
+// Equation(s):
+// \Mult1|mult_core|romout[2][3]~5_combout = \Add0~18_combout $ (((\Add0~16_combout ) # (!\Add0~20_combout )))
+
+ .dataa(\Add0~18_combout ),
+ .datab(gnd),
+ .datac(\Add0~20_combout ),
+ .datad(\Add0~16_combout ),
+ .cin(gnd),
+ .combout(\Mult1|mult_core|romout[2][3]~5_combout ),
+ .cout());
+// synopsys translate_off
+defparam \Mult1|mult_core|romout[2][3]~5 .lut_mask = 16'h55A5;
+defparam \Mult1|mult_core|romout[2][3]~5 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X15_Y13_N22
+cycloneiii_lcell_comb \Mult1|mult_core|romout[2][4] (
+// Equation(s):
+// \Mult1|mult_core|romout[2][4]~combout = (!\Add0~16_combout & ((\Add0~18_combout ) # (!\Add0~20_combout )))
+
+ .dataa(\Add0~18_combout ),
+ .datab(gnd),
+ .datac(\Add0~20_combout ),
+ .datad(\Add0~16_combout ),
+ .cin(gnd),
+ .combout(\Mult1|mult_core|romout[2][4]~combout ),
+ .cout());
+// synopsys translate_off
+defparam \Mult1|mult_core|romout[2][4] .lut_mask = 16'h00AF;
+defparam \Mult1|mult_core|romout[2][4] .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X15_Y13_N30
+cycloneiii_lcell_comb \Mult1|mult_core|romout[2][5] (
+// Equation(s):
+// \Mult1|mult_core|romout[2][5]~combout = (\Add0~18_combout & (\Add0~20_combout $ (!\Add0~16_combout ))) # (!\Add0~18_combout & (!\Add0~20_combout & \Add0~16_combout ))
+
+ .dataa(\Add0~18_combout ),
+ .datab(gnd),
+ .datac(\Add0~20_combout ),
+ .datad(\Add0~16_combout ),
+ .cin(gnd),
+ .combout(\Mult1|mult_core|romout[2][5]~combout ),
+ .cout());
+// synopsys translate_off
+defparam \Mult1|mult_core|romout[2][5] .lut_mask = 16'hA50A;
+defparam \Mult1|mult_core|romout[2][5] .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y15_N20
+cycloneiii_lcell_comb \Mult2|mult_core|romout[0][5] (
+// Equation(s):
+// \Mult2|mult_core|romout[0][5]~combout = (\Add0~6_combout & (\Add0~2_combout $ (((\Add0~4_combout ) # (\Add0~0_combout ))))) # (!\Add0~6_combout & (\Add0~2_combout & ((!\Add0~0_combout ) # (!\Add0~4_combout ))))
+
+ .dataa(\Add0~2_combout ),
+ .datab(\Add0~4_combout ),
+ .datac(\Add0~0_combout ),
+ .datad(\Add0~6_combout ),
+ .cin(gnd),
+ .combout(\Mult2|mult_core|romout[0][5]~combout ),
+ .cout());
+// synopsys translate_off
+defparam \Mult2|mult_core|romout[0][5] .lut_mask = 16'h562A;
+defparam \Mult2|mult_core|romout[0][5] .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y15_N10
+cycloneiii_lcell_comb \Mult2|mult_core|romout[0][7] (
+// Equation(s):
+// \Mult2|mult_core|romout[0][7]~combout = (\Add0~2_combout & ((\Add0~4_combout & (\Add0~0_combout & !\Add0~6_combout )) # (!\Add0~4_combout & ((\Add0~6_combout ))))) # (!\Add0~2_combout & (((\Add0~6_combout ))))
+
+ .dataa(\Add0~2_combout ),
+ .datab(\Add0~4_combout ),
+ .datac(\Add0~0_combout ),
+ .datad(\Add0~6_combout ),
+ .cin(gnd),
+ .combout(\Mult2|mult_core|romout[0][7]~combout ),
+ .cout());
+// synopsys translate_off
+defparam \Mult2|mult_core|romout[0][7] .lut_mask = 16'h7780;
+defparam \Mult2|mult_core|romout[0][7] .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X23_Y12_N24
+cycloneiii_lcell_comb \Mult6|mult_core|romout[0][8]~0 (
+// Equation(s):
+// \Mult6|mult_core|romout[0][8]~0_combout = ((!\Add0~2_combout ) # (!\Add0~4_combout )) # (!\Add0~6_combout )
+
+ .dataa(\Add0~6_combout ),
+ .datab(\Add0~4_combout ),
+ .datac(\Add0~2_combout ),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\Mult6|mult_core|romout[0][8]~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \Mult6|mult_core|romout[0][8]~0 .lut_mask = 16'h7F7F;
+defparam \Mult6|mult_core|romout[0][8]~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y16_N6
+cycloneiii_lcell_comb \Mult2|mult_core|romout[1][6] (
+// Equation(s):
+// \Mult2|mult_core|romout[1][6]~combout = (\Add0~10_combout & ((\Add0~8_combout & (!\Add0~12_combout & \Add0~14_combout )) # (!\Add0~8_combout & (\Add0~12_combout & !\Add0~14_combout )))) # (!\Add0~10_combout & (((\Add0~12_combout ))))
+
+ .dataa(\Add0~8_combout ),
+ .datab(\Add0~10_combout ),
+ .datac(\Add0~12_combout ),
+ .datad(\Add0~14_combout ),
+ .cin(gnd),
+ .combout(\Mult2|mult_core|romout[1][6]~combout ),
+ .cout());
+// synopsys translate_off
+defparam \Mult2|mult_core|romout[1][6] .lut_mask = 16'h3870;
+defparam \Mult2|mult_core|romout[1][6] .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y16_N28
+cycloneiii_lcell_comb \Mult0|mult_core|_~4 (
+// Equation(s):
+// \Mult0|mult_core|_~4_combout = (!\Add0~8_combout & (\Add0~10_combout & (\Add0~12_combout & \Add0~14_combout )))
+
+ .dataa(\Add0~8_combout ),
+ .datab(\Add0~10_combout ),
+ .datac(\Add0~12_combout ),
+ .datad(\Add0~14_combout ),
+ .cin(gnd),
+ .combout(\Mult0|mult_core|_~4_combout ),
+ .cout());
+// synopsys translate_off
+defparam \Mult0|mult_core|_~4 .lut_mask = 16'h4000;
+defparam \Mult0|mult_core|_~4 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y16_N30
+cycloneiii_lcell_comb \Mult0|mult_core|_~5 (
+// Equation(s):
+// \Mult0|mult_core|_~5_combout = (\Add0~8_combout & (\Add0~10_combout & (\Add0~12_combout & \Add0~14_combout )))
+
+ .dataa(\Add0~8_combout ),
+ .datab(\Add0~10_combout ),
+ .datac(\Add0~12_combout ),
+ .datad(\Add0~14_combout ),
+ .cin(gnd),
+ .combout(\Mult0|mult_core|_~5_combout ),
+ .cout());
+// synopsys translate_off
+defparam \Mult0|mult_core|_~5 .lut_mask = 16'h8000;
+defparam \Mult0|mult_core|_~5 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X26_Y16_N10
+cycloneiii_lcell_comb \Mult2|mult_core|romout[2][5] (
+// Equation(s):
+// \Mult2|mult_core|romout[2][5]~combout = \Add0~20_combout $ (!\Add0~18_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(\Add0~20_combout ),
+ .datad(\Add0~18_combout ),
+ .cin(gnd),
+ .combout(\Mult2|mult_core|romout[2][5]~combout ),
+ .cout());
+// synopsys translate_off
+defparam \Mult2|mult_core|romout[2][5] .lut_mask = 16'hF00F;
+defparam \Mult2|mult_core|romout[2][5] .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X23_Y12_N18
+cycloneiii_lcell_comb \Mult3|mult_core|romout[0][5] (
+// Equation(s):
+// \Mult3|mult_core|romout[0][5]~combout = (\Add0~6_combout & ((\Add0~4_combout & ((\Add0~2_combout ) # (!\Add0~0_combout ))) # (!\Add0~4_combout & ((\Add0~0_combout ) # (!\Add0~2_combout ))))) # (!\Add0~6_combout & (\Add0~2_combout $
+// (((\Add0~4_combout & \Add0~0_combout )))))
+
+ .dataa(\Add0~6_combout ),
+ .datab(\Add0~4_combout ),
+ .datac(\Add0~2_combout ),
+ .datad(\Add0~0_combout ),
+ .cin(gnd),
+ .combout(\Mult3|mult_core|romout[0][5]~combout ),
+ .cout());
+// synopsys translate_off
+defparam \Mult3|mult_core|romout[0][5] .lut_mask = 16'hB6DA;
+defparam \Mult3|mult_core|romout[0][5] .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y15_N12
+cycloneiii_lcell_comb \Mult3|mult_core|romout[0][4]~0 (
+// Equation(s):
+// \Mult3|mult_core|romout[0][4]~0_combout = (\Add0~4_combout & ((\Add0~2_combout & ((\Add0~0_combout ) # (!\Add0~6_combout ))) # (!\Add0~2_combout & ((\Add0~6_combout ) # (!\Add0~0_combout ))))) # (!\Add0~4_combout & (\Add0~0_combout $
+// (((\Add0~2_combout & \Add0~6_combout )))))
+
+ .dataa(\Add0~2_combout ),
+ .datab(\Add0~4_combout ),
+ .datac(\Add0~0_combout ),
+ .datad(\Add0~6_combout ),
+ .cin(gnd),
+ .combout(\Mult3|mult_core|romout[0][4]~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \Mult3|mult_core|romout[0][4]~0 .lut_mask = 16'hD6BC;
+defparam \Mult3|mult_core|romout[0][4]~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y15_N8
+cycloneiii_lcell_comb \Mult3|mult_core|romout[0][7] (
+// Equation(s):
+// \Mult3|mult_core|romout[0][7]~combout = (\Add0~4_combout & ((\Add0~2_combout & (\Add0~0_combout & !\Add0~6_combout )) # (!\Add0~2_combout & (!\Add0~0_combout & \Add0~6_combout )))) # (!\Add0~4_combout & (((\Add0~6_combout ))))
+
+ .dataa(\Add0~2_combout ),
+ .datab(\Add0~4_combout ),
+ .datac(\Add0~0_combout ),
+ .datad(\Add0~6_combout ),
+ .cin(gnd),
+ .combout(\Mult3|mult_core|romout[0][7]~combout ),
+ .cout());
+// synopsys translate_off
+defparam \Mult3|mult_core|romout[0][7] .lut_mask = 16'h3780;
+defparam \Mult3|mult_core|romout[0][7] .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y8_N10
+cycloneiii_lcell_comb \Mult3|mult_core|romout[1][4]~1 (
+// Equation(s):
+// \Mult3|mult_core|romout[1][4]~1_combout = (\Add0~8_combout & ((\Add0~14_combout & ((\Add0~12_combout ) # (!\Add0~10_combout ))) # (!\Add0~14_combout & ((\Add0~10_combout ) # (!\Add0~12_combout ))))) # (!\Add0~8_combout & (\Add0~12_combout $
+// (((\Add0~14_combout & \Add0~10_combout )))))
+
+ .dataa(\Add0~14_combout ),
+ .datab(\Add0~8_combout ),
+ .datac(\Add0~10_combout ),
+ .datad(\Add0~12_combout ),
+ .cin(gnd),
+ .combout(\Mult3|mult_core|romout[1][4]~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \Mult3|mult_core|romout[1][4]~1 .lut_mask = 16'hDB6C;
+defparam \Mult3|mult_core|romout[1][4]~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y8_N6
+cycloneiii_lcell_comb \Mult3|mult_core|romout[1][6] (
+// Equation(s):
+// \Mult3|mult_core|romout[1][6]~combout = (\Add0~14_combout & ((\Add0~10_combout & ((!\Add0~12_combout ))) # (!\Add0~10_combout & (!\Add0~8_combout & \Add0~12_combout )))) # (!\Add0~14_combout & (\Add0~12_combout & ((!\Add0~10_combout ) #
+// (!\Add0~8_combout ))))
+
+ .dataa(\Add0~14_combout ),
+ .datab(\Add0~8_combout ),
+ .datac(\Add0~10_combout ),
+ .datad(\Add0~12_combout ),
+ .cin(gnd),
+ .combout(\Mult3|mult_core|romout[1][6]~combout ),
+ .cout());
+// synopsys translate_off
+defparam \Mult3|mult_core|romout[1][6] .lut_mask = 16'h17A0;
+defparam \Mult3|mult_core|romout[1][6] .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y8_N20
+cycloneiii_lcell_comb \Mult0|mult_core|_~7 (
+// Equation(s):
+// \Mult0|mult_core|_~7_combout = (!\Add0~16_combout & (!\Add0~20_combout & !\Add0~18_combout ))
+
+ .dataa(\Add0~16_combout ),
+ .datab(\Add0~20_combout ),
+ .datac(\Add0~18_combout ),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\Mult0|mult_core|_~7_combout ),
+ .cout());
+// synopsys translate_off
+defparam \Mult0|mult_core|_~7 .lut_mask = 16'h0101;
+defparam \Mult0|mult_core|_~7 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y15_N16
+cycloneiii_lcell_comb \Mult5|mult_core|romout[0][6] (
+// Equation(s):
+// \Mult5|mult_core|romout[0][6]~combout = (\Add0~2_combout & ((\Add0~6_combout ) # ((!\Add0~4_combout & \Add0~0_combout )))) # (!\Add0~2_combout & ((\Add0~4_combout & ((!\Add0~6_combout ))) # (!\Add0~4_combout & (\Add0~0_combout & \Add0~6_combout
+// ))))
+
+ .dataa(\Add0~2_combout ),
+ .datab(\Add0~4_combout ),
+ .datac(\Add0~0_combout ),
+ .datad(\Add0~6_combout ),
+ .cin(gnd),
+ .combout(\Mult5|mult_core|romout[0][6]~combout ),
+ .cout());
+// synopsys translate_off
+defparam \Mult5|mult_core|romout[0][6] .lut_mask = 16'hBA64;
+defparam \Mult5|mult_core|romout[0][6] .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y14_N22
+cycloneiii_lcell_comb \Mult5|mult_core|romout[1][3] (
+// Equation(s):
+// \Mult5|mult_core|romout[1][3]~combout = \Add0~14_combout $ (((!\Add0~8_combout & ((\Add0~10_combout ) # (\Add0~12_combout )))))
+
+ .dataa(\Add0~8_combout ),
+ .datab(\Add0~10_combout ),
+ .datac(\Add0~14_combout ),
+ .datad(\Add0~12_combout ),
+ .cin(gnd),
+ .combout(\Mult5|mult_core|romout[1][3]~combout ),
+ .cout());
+// synopsys translate_off
+defparam \Mult5|mult_core|romout[1][3] .lut_mask = 16'hA5B4;
+defparam \Mult5|mult_core|romout[1][3] .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y14_N26
+cycloneiii_lcell_comb \Mult5|mult_core|romout[1][5] (
+// Equation(s):
+// \Mult5|mult_core|romout[1][5]~combout = (\Add0~8_combout & (\Add0~12_combout $ (((\Add0~10_combout & \Add0~14_combout ))))) # (!\Add0~8_combout & (!\Add0~12_combout & ((\Add0~10_combout ) # (\Add0~14_combout ))))
+
+ .dataa(\Add0~8_combout ),
+ .datab(\Add0~10_combout ),
+ .datac(\Add0~14_combout ),
+ .datad(\Add0~12_combout ),
+ .cin(gnd),
+ .combout(\Mult5|mult_core|romout[1][5]~combout ),
+ .cout());
+// synopsys translate_off
+defparam \Mult5|mult_core|romout[1][5] .lut_mask = 16'h2AD4;
+defparam \Mult5|mult_core|romout[1][5] .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X24_Y14_N26
+cycloneiii_lcell_comb \Mult5|mult_core|romout[2][4] (
+// Equation(s):
+// \Mult5|mult_core|romout[2][4]~combout = (\Add0~20_combout & (!\Add0~18_combout & \Add0~16_combout )) # (!\Add0~20_combout & (\Add0~18_combout $ (!\Add0~16_combout )))
+
+ .dataa(gnd),
+ .datab(\Add0~20_combout ),
+ .datac(\Add0~18_combout ),
+ .datad(\Add0~16_combout ),
+ .cin(gnd),
+ .combout(\Mult5|mult_core|romout[2][4]~combout ),
+ .cout());
+// synopsys translate_off
+defparam \Mult5|mult_core|romout[2][4] .lut_mask = 16'h3C03;
+defparam \Mult5|mult_core|romout[2][4] .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X24_Y14_N6
+cycloneiii_lcell_comb \Mult0|mult_core|_~9 (
+// Equation(s):
+// \Mult0|mult_core|_~9_combout = (!\Add0~20_combout & (!\Add0~18_combout & \Add0~16_combout ))
+
+ .dataa(gnd),
+ .datab(\Add0~20_combout ),
+ .datac(\Add0~18_combout ),
+ .datad(\Add0~16_combout ),
+ .cin(gnd),
+ .combout(\Mult0|mult_core|_~9_combout ),
+ .cout());
+// synopsys translate_off
+defparam \Mult0|mult_core|_~9 .lut_mask = 16'h0300;
+defparam \Mult0|mult_core|_~9 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y15_N2
+cycloneiii_lcell_comb \Mult6|mult_core|romout[0][5] (
+// Equation(s):
+// \Mult6|mult_core|romout[0][5]~combout = \Add0~4_combout $ (((\Add0~2_combout & (!\Add0~0_combout )) # (!\Add0~2_combout & (\Add0~0_combout & \Add0~6_combout ))))
+
+ .dataa(\Add0~2_combout ),
+ .datab(\Add0~4_combout ),
+ .datac(\Add0~0_combout ),
+ .datad(\Add0~6_combout ),
+ .cin(gnd),
+ .combout(\Mult6|mult_core|romout[0][5]~combout ),
+ .cout());
+// synopsys translate_off
+defparam \Mult6|mult_core|romout[0][5] .lut_mask = 16'h96C6;
+defparam \Mult6|mult_core|romout[0][5] .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X22_Y19_N26
+cycloneiii_lcell_comb \Mult6|mult_core|romout[0][4] (
+// Equation(s):
+// \Mult6|mult_core|romout[0][4]~combout = \Add0~2_combout $ (((!\Add0~6_combout & \Add0~0_combout )))
+
+ .dataa(\Add0~6_combout ),
+ .datab(gnd),
+ .datac(\Add0~2_combout ),
+ .datad(\Add0~0_combout ),
+ .cin(gnd),
+ .combout(\Mult6|mult_core|romout[0][4]~combout ),
+ .cout());
+// synopsys translate_off
+defparam \Mult6|mult_core|romout[0][4] .lut_mask = 16'hA5F0;
+defparam \Mult6|mult_core|romout[0][4] .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y15_N4
+cycloneiii_lcell_comb \Mult6|mult_core|romout[0][6]~2 (
+// Equation(s):
+// \Mult6|mult_core|romout[0][6]~2_combout = (\Add0~2_combout & (\Add0~6_combout $ (((!\Add0~4_combout & \Add0~0_combout ))))) # (!\Add0~2_combout & ((\Add0~4_combout & ((\Add0~0_combout ) # (!\Add0~6_combout ))) # (!\Add0~4_combout &
+// ((\Add0~6_combout )))))
+
+ .dataa(\Add0~2_combout ),
+ .datab(\Add0~4_combout ),
+ .datac(\Add0~0_combout ),
+ .datad(\Add0~6_combout ),
+ .cin(gnd),
+ .combout(\Mult6|mult_core|romout[0][6]~2_combout ),
+ .cout());
+// synopsys translate_off
+defparam \Mult6|mult_core|romout[0][6]~2 .lut_mask = 16'hDB64;
+defparam \Mult6|mult_core|romout[0][6]~2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X23_Y12_N12
+cycloneiii_lcell_comb \Mult6|mult_core|romout[0][7] (
+// Equation(s):
+// \Mult6|mult_core|romout[0][7]~combout = (\Add0~6_combout & (!\Add0~4_combout & ((!\Add0~0_combout ) # (!\Add0~2_combout )))) # (!\Add0~6_combout & (\Add0~4_combout & (\Add0~2_combout )))
+
+ .dataa(\Add0~6_combout ),
+ .datab(\Add0~4_combout ),
+ .datac(\Add0~2_combout ),
+ .datad(\Add0~0_combout ),
+ .cin(gnd),
+ .combout(\Mult6|mult_core|romout[0][7]~combout ),
+ .cout());
+// synopsys translate_off
+defparam \Mult6|mult_core|romout[0][7] .lut_mask = 16'h4262;
+defparam \Mult6|mult_core|romout[0][7] .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X17_Y15_N26
+cycloneiii_lcell_comb \Mult6|mult_core|romout[1][4]~4 (
+// Equation(s):
+// \Mult6|mult_core|romout[1][4]~4_combout = \Add0~10_combout $ (((!\Add0~14_combout & \Add0~8_combout )))
+
+ .dataa(\Add0~14_combout ),
+ .datab(\Add0~10_combout ),
+ .datac(\Add0~8_combout ),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\Mult6|mult_core|romout[1][4]~4_combout ),
+ .cout());
+// synopsys translate_off
+defparam \Mult6|mult_core|romout[1][4]~4 .lut_mask = 16'h9C9C;
+defparam \Mult6|mult_core|romout[1][4]~4 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X17_Y15_N22
+cycloneiii_lcell_comb \Mult6|mult_core|romout[1][5] (
+// Equation(s):
+// \Mult6|mult_core|romout[1][5]~combout = \Add0~12_combout $ (((\Add0~8_combout & (\Add0~14_combout & !\Add0~10_combout )) # (!\Add0~8_combout & ((\Add0~10_combout )))))
+
+ .dataa(\Add0~14_combout ),
+ .datab(\Add0~8_combout ),
+ .datac(\Add0~12_combout ),
+ .datad(\Add0~10_combout ),
+ .cin(gnd),
+ .combout(\Mult6|mult_core|romout[1][5]~combout ),
+ .cout());
+// synopsys translate_off
+defparam \Mult6|mult_core|romout[1][5] .lut_mask = 16'hC378;
+defparam \Mult6|mult_core|romout[1][5] .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X17_Y15_N28
+cycloneiii_lcell_comb \Mult6|mult_core|romout[1][8] (
+// Equation(s):
+// \Mult6|mult_core|romout[1][8]~combout = (\Add0~14_combout & ((\Add0~12_combout ) # ((\Add0~8_combout & \Add0~10_combout ))))
+
+ .dataa(\Add0~14_combout ),
+ .datab(\Add0~8_combout ),
+ .datac(\Add0~12_combout ),
+ .datad(\Add0~10_combout ),
+ .cin(gnd),
+ .combout(\Mult6|mult_core|romout[1][8]~combout ),
+ .cout());
+// synopsys translate_off
+defparam \Mult6|mult_core|romout[1][8] .lut_mask = 16'hA8A0;
+defparam \Mult6|mult_core|romout[1][8] .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X23_Y12_N22
+cycloneiii_lcell_comb \Mult8|mult_core|romout[0][5] (
+// Equation(s):
+// \Mult8|mult_core|romout[0][5]~combout = (\Add0~6_combout & ((\Add0~4_combout & (\Add0~2_combout & !\Add0~0_combout )) # (!\Add0~4_combout & ((\Add0~0_combout ))))) # (!\Add0~6_combout & ((\Add0~4_combout & ((\Add0~2_combout ) # (!\Add0~0_combout
+// ))) # (!\Add0~4_combout & (\Add0~2_combout & !\Add0~0_combout ))))
+
+ .dataa(\Add0~6_combout ),
+ .datab(\Add0~4_combout ),
+ .datac(\Add0~2_combout ),
+ .datad(\Add0~0_combout ),
+ .cin(gnd),
+ .combout(\Mult8|mult_core|romout[0][5]~combout ),
+ .cout());
+// synopsys translate_off
+defparam \Mult8|mult_core|romout[0][5] .lut_mask = 16'h62D4;
+defparam \Mult8|mult_core|romout[0][5] .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X23_Y12_N16
+cycloneiii_lcell_comb \Mult8|mult_core|romout[0][4] (
+// Equation(s):
+// \Mult8|mult_core|romout[0][4]~combout = (\Add0~6_combout & ((\Add0~4_combout & ((\Add0~2_combout ) # (\Add0~0_combout ))) # (!\Add0~4_combout & (!\Add0~2_combout )))) # (!\Add0~6_combout & ((\Add0~4_combout & (\Add0~2_combout & \Add0~0_combout )) #
+// (!\Add0~4_combout & ((\Add0~2_combout ) # (\Add0~0_combout )))))
+
+ .dataa(\Add0~6_combout ),
+ .datab(\Add0~4_combout ),
+ .datac(\Add0~2_combout ),
+ .datad(\Add0~0_combout ),
+ .cin(gnd),
+ .combout(\Mult8|mult_core|romout[0][4]~combout ),
+ .cout());
+// synopsys translate_off
+defparam \Mult8|mult_core|romout[0][4] .lut_mask = 16'hDB92;
+defparam \Mult8|mult_core|romout[0][4] .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X19_Y12_N12
+cycloneiii_lcell_comb \Mult8|mult_core|romout[1][4]~0 (
+// Equation(s):
+// \Mult8|mult_core|romout[1][4]~0_combout = (\Add0~10_combout & ((\Add0~14_combout & ((\Add0~12_combout ))) # (!\Add0~14_combout & ((\Add0~8_combout ) # (!\Add0~12_combout ))))) # (!\Add0~10_combout & ((\Add0~8_combout & ((\Add0~14_combout ) #
+// (!\Add0~12_combout ))) # (!\Add0~8_combout & (\Add0~14_combout & !\Add0~12_combout ))))
+
+ .dataa(\Add0~10_combout ),
+ .datab(\Add0~8_combout ),
+ .datac(\Add0~14_combout ),
+ .datad(\Add0~12_combout ),
+ .cin(gnd),
+ .combout(\Mult8|mult_core|romout[1][4]~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \Mult8|mult_core|romout[1][4]~0 .lut_mask = 16'hE85E;
+defparam \Mult8|mult_core|romout[1][4]~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X19_Y12_N0
+cycloneiii_lcell_comb \Mult8|mult_core|romout[1][6]~1 (
+// Equation(s):
+// \Mult8|mult_core|romout[1][6]~1_combout = (\Add0~10_combout & ((\Add0~8_combout & (!\Add0~14_combout & !\Add0~12_combout )) # (!\Add0~8_combout & (\Add0~14_combout & \Add0~12_combout )))) # (!\Add0~10_combout & ((\Add0~14_combout ) #
+// ((!\Add0~8_combout & \Add0~12_combout ))))
+
+ .dataa(\Add0~10_combout ),
+ .datab(\Add0~8_combout ),
+ .datac(\Add0~14_combout ),
+ .datad(\Add0~12_combout ),
+ .cin(gnd),
+ .combout(\Mult8|mult_core|romout[1][6]~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \Mult8|mult_core|romout[1][6]~1 .lut_mask = 16'h7158;
+defparam \Mult8|mult_core|romout[1][6]~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X24_Y14_N30
+cycloneiii_lcell_comb \Mult8|mult_core|romout[2][4]~2 (
+// Equation(s):
+// \Mult8|mult_core|romout[2][4]~2_combout = (!\Add0~18_combout & !\Add0~16_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(\Add0~18_combout ),
+ .datad(\Add0~16_combout ),
+ .cin(gnd),
+ .combout(\Mult8|mult_core|romout[2][4]~2_combout ),
+ .cout());
+// synopsys translate_off
+defparam \Mult8|mult_core|romout[2][4]~2 .lut_mask = 16'h000F;
+defparam \Mult8|mult_core|romout[2][4]~2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X24_Y14_N22
+cycloneiii_lcell_comb \Mult0|mult_core|_~10 (
+// Equation(s):
+// \Mult0|mult_core|_~10_combout = (!\Add0~20_combout & (\Add0~18_combout & !\Add0~16_combout ))
+
+ .dataa(gnd),
+ .datab(\Add0~20_combout ),
+ .datac(\Add0~18_combout ),
+ .datad(\Add0~16_combout ),
+ .cin(gnd),
+ .combout(\Mult0|mult_core|_~10_combout ),
+ .cout());
+// synopsys translate_off
+defparam \Mult0|mult_core|_~10 .lut_mask = 16'h0030;
+defparam \Mult0|mult_core|_~10 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X24_Y14_N24
+cycloneiii_lcell_comb \Mult8|mult_core|romout[2][6] (
+// Equation(s):
+// \Mult8|mult_core|romout[2][6]~combout = \Add0~20_combout $ (((!\Add0~16_combout ) # (!\Add0~18_combout )))
+
+ .dataa(gnd),
+ .datab(\Add0~20_combout ),
+ .datac(\Add0~18_combout ),
+ .datad(\Add0~16_combout ),
+ .cin(gnd),
+ .combout(\Mult8|mult_core|romout[2][6]~combout ),
+ .cout());
+// synopsys translate_off
+defparam \Mult8|mult_core|romout[2][6] .lut_mask = 16'hC333;
+defparam \Mult8|mult_core|romout[2][6] .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X23_Y12_N28
+cycloneiii_lcell_comb \Mult9|mult_core|romout[0][5] (
+// Equation(s):
+// \Mult9|mult_core|romout[0][5]~combout = (\Add0~6_combout & ((\Add0~4_combout & ((\Add0~0_combout ))) # (!\Add0~4_combout & ((\Add0~2_combout ) # (!\Add0~0_combout ))))) # (!\Add0~6_combout & (!\Add0~0_combout & ((\Add0~4_combout ) # (\Add0~2_combout
+// ))))
+
+ .dataa(\Add0~6_combout ),
+ .datab(\Add0~4_combout ),
+ .datac(\Add0~2_combout ),
+ .datad(\Add0~0_combout ),
+ .cin(gnd),
+ .combout(\Mult9|mult_core|romout[0][5]~combout ),
+ .cout());
+// synopsys translate_off
+defparam \Mult9|mult_core|romout[0][5] .lut_mask = 16'hA876;
+defparam \Mult9|mult_core|romout[0][5] .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X23_Y12_N8
+cycloneiii_lcell_comb \Mult9|mult_core|romout[0][6]~0 (
+// Equation(s):
+// \Mult9|mult_core|romout[0][6]~0_combout = (\Add0~6_combout & (!\Add0~2_combout & ((\Add0~4_combout ) # (!\Add0~0_combout )))) # (!\Add0~6_combout & ((\Add0~2_combout & ((\Add0~0_combout ))) # (!\Add0~2_combout & (\Add0~4_combout & !\Add0~0_combout
+// ))))
+
+ .dataa(\Add0~6_combout ),
+ .datab(\Add0~4_combout ),
+ .datac(\Add0~2_combout ),
+ .datad(\Add0~0_combout ),
+ .cin(gnd),
+ .combout(\Mult9|mult_core|romout[0][6]~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \Mult9|mult_core|romout[0][6]~0 .lut_mask = 16'h580E;
+defparam \Mult9|mult_core|romout[0][6]~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X23_Y12_N4
+cycloneiii_lcell_comb \Mult9|mult_core|romout[0][8]~2 (
+// Equation(s):
+// \Mult9|mult_core|romout[0][8]~2_combout = (\Add0~6_combout & ((\Add0~4_combout ) # ((\Add0~2_combout ) # (\Add0~0_combout ))))
+
+ .dataa(\Add0~6_combout ),
+ .datab(\Add0~4_combout ),
+ .datac(\Add0~2_combout ),
+ .datad(\Add0~0_combout ),
+ .cin(gnd),
+ .combout(\Mult9|mult_core|romout[0][8]~2_combout ),
+ .cout());
+// synopsys translate_off
+defparam \Mult9|mult_core|romout[0][8]~2 .lut_mask = 16'hAAA8;
+defparam \Mult9|mult_core|romout[0][8]~2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X23_Y9_N6
+cycloneiii_lcell_comb \Mult9|mult_core|romout[1][5] (
+// Equation(s):
+// \Mult9|mult_core|romout[1][5]~combout = (\Add0~8_combout & (\Add0~14_combout & ((\Add0~10_combout ) # (\Add0~12_combout )))) # (!\Add0~8_combout & ((\Add0~14_combout & ((!\Add0~12_combout ))) # (!\Add0~14_combout & ((\Add0~10_combout ) #
+// (\Add0~12_combout )))))
+
+ .dataa(\Add0~8_combout ),
+ .datab(\Add0~10_combout ),
+ .datac(\Add0~14_combout ),
+ .datad(\Add0~12_combout ),
+ .cin(gnd),
+ .combout(\Mult9|mult_core|romout[1][5]~combout ),
+ .cout());
+// synopsys translate_off
+defparam \Mult9|mult_core|romout[1][5] .lut_mask = 16'hA5D4;
+defparam \Mult9|mult_core|romout[1][5] .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X23_Y9_N10
+cycloneiii_lcell_comb \Mult9|mult_core|romout[1][7] (
+// Equation(s):
+// \Mult9|mult_core|romout[1][7]~combout = (\Add0~10_combout & (((\Add0~12_combout )))) # (!\Add0~10_combout & ((\Add0~8_combout & (!\Add0~14_combout & \Add0~12_combout )) # (!\Add0~8_combout & (\Add0~14_combout & !\Add0~12_combout ))))
+
+ .dataa(\Add0~8_combout ),
+ .datab(\Add0~10_combout ),
+ .datac(\Add0~14_combout ),
+ .datad(\Add0~12_combout ),
+ .cin(gnd),
+ .combout(\Mult9|mult_core|romout[1][7]~combout ),
+ .cout());
+// synopsys translate_off
+defparam \Mult9|mult_core|romout[1][7] .lut_mask = 16'hCE10;
+defparam \Mult9|mult_core|romout[1][7] .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X23_Y9_N12
+cycloneiii_lcell_comb \Mult9|mult_core|romout[1][8]~4 (
+// Equation(s):
+// \Mult9|mult_core|romout[1][8]~4_combout = (\Add0~14_combout & ((\Add0~8_combout ) # ((\Add0~10_combout ) # (\Add0~12_combout ))))
+
+ .dataa(\Add0~8_combout ),
+ .datab(\Add0~10_combout ),
+ .datac(\Add0~14_combout ),
+ .datad(\Add0~12_combout ),
+ .cin(gnd),
+ .combout(\Mult9|mult_core|romout[1][8]~4_combout ),
+ .cout());
+// synopsys translate_off
+defparam \Mult9|mult_core|romout[1][8]~4 .lut_mask = 16'hF0E0;
+defparam \Mult9|mult_core|romout[1][8]~4 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X24_Y9_N24
+cycloneiii_lcell_comb \Mult9|mult_core|romout[2][6] (
+// Equation(s):
+// \Mult9|mult_core|romout[2][6]~combout = (\Add0~20_combout & (\Add0~16_combout & \Add0~18_combout )) # (!\Add0~20_combout & ((!\Add0~18_combout )))
+
+ .dataa(\Add0~20_combout ),
+ .datab(gnd),
+ .datac(\Add0~16_combout ),
+ .datad(\Add0~18_combout ),
+ .cin(gnd),
+ .combout(\Mult9|mult_core|romout[2][6]~combout ),
+ .cout());
+// synopsys translate_off
+defparam \Mult9|mult_core|romout[2][6] .lut_mask = 16'hA055;
+defparam \Mult9|mult_core|romout[2][6] .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: IOIBUF_X21_Y0_N22
+cycloneiii_io_ibuf \sec_red_pos_x[5]~input (
+ .i(sec_red_pos_x[5]),
+ .ibar(gnd),
+ .o(\sec_red_pos_x[5]~input_o ));
+// synopsys translate_off
+defparam \sec_red_pos_x[5]~input .bus_hold = "false";
+defparam \sec_red_pos_x[5]~input .simulate_z_as = "z";
+// synopsys translate_on
+
+// Location: IOIBUF_X21_Y0_N15
+cycloneiii_io_ibuf \sec_red_pos_x[4]~input (
+ .i(sec_red_pos_x[4]),
+ .ibar(gnd),
+ .o(\sec_red_pos_x[4]~input_o ));
+// synopsys translate_off
+defparam \sec_red_pos_x[4]~input .bus_hold = "false";
+defparam \sec_red_pos_x[4]~input .simulate_z_as = "z";
+// synopsys translate_on
+
+// Location: IOIBUF_X21_Y0_N1
+cycloneiii_io_ibuf \sec_red_pos_x[2]~input (
+ .i(sec_red_pos_x[2]),
+ .ibar(gnd),
+ .o(\sec_red_pos_x[2]~input_o ));
+// synopsys translate_off
+defparam \sec_red_pos_x[2]~input .bus_hold = "false";
+defparam \sec_red_pos_x[2]~input .simulate_z_as = "z";
+// synopsys translate_on
+
+// Location: IOIBUF_X41_Y15_N15
+cycloneiii_io_ibuf \sec_red_pos_x[0]~input (
+ .i(sec_red_pos_x[0]),
+ .ibar(gnd),
+ .o(\sec_red_pos_x[0]~input_o ));
+// synopsys translate_off
+defparam \sec_red_pos_x[0]~input .bus_hold = "false";
+defparam \sec_red_pos_x[0]~input .simulate_z_as = "z";
+// synopsys translate_on
+
+// Location: IOIBUF_X41_Y15_N1
+cycloneiii_io_ibuf \sec_red_pos_x[7]~input (
+ .i(sec_red_pos_x[7]),
+ .ibar(gnd),
+ .o(\sec_red_pos_x[7]~input_o ));
+// synopsys translate_off
+defparam \sec_red_pos_x[7]~input .bus_hold = "false";
+defparam \sec_red_pos_x[7]~input .simulate_z_as = "z";
+// synopsys translate_on
+
+// Location: IOOBUF_X37_Y0_N23
+cycloneiii_io_obuf \top_grid_x0[0]~output (
+ .i(\first_red_pos_x[0]~input_o ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x0[0]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x0[0]~output .bus_hold = "false";
+defparam \top_grid_x0[0]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X7_Y29_N9
+cycloneiii_io_obuf \top_grid_x0[1]~output (
+ .i(\first_red_pos_x[1]~input_o ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x0[1]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x0[1]~output .bus_hold = "false";
+defparam \top_grid_x0[1]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X0_Y26_N9
+cycloneiii_io_obuf \top_grid_x0[2]~output (
+ .i(\first_red_pos_x[2]~input_o ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x0[2]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x0[2]~output .bus_hold = "false";
+defparam \top_grid_x0[2]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X3_Y29_N30
+cycloneiii_io_obuf \top_grid_x0[3]~output (
+ .i(\first_red_pos_x[3]~input_o ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x0[3]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x0[3]~output .bus_hold = "false";
+defparam \top_grid_x0[3]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X0_Y26_N16
+cycloneiii_io_obuf \top_grid_x0[4]~output (
+ .i(\first_red_pos_x[4]~input_o ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x0[4]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x0[4]~output .bus_hold = "false";
+defparam \top_grid_x0[4]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X0_Y5_N9
+cycloneiii_io_obuf \top_grid_x0[5]~output (
+ .i(\first_red_pos_x[5]~input_o ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x0[5]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x0[5]~output .bus_hold = "false";
+defparam \top_grid_x0[5]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X3_Y0_N16
+cycloneiii_io_obuf \top_grid_x0[6]~output (
+ .i(\first_red_pos_x[6]~input_o ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x0[6]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x0[6]~output .bus_hold = "false";
+defparam \top_grid_x0[6]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X9_Y29_N2
+cycloneiii_io_obuf \top_grid_x0[7]~output (
+ .i(\first_red_pos_x[7]~input_o ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x0[7]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x0[7]~output .bus_hold = "false";
+defparam \top_grid_x0[7]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X0_Y25_N2
+cycloneiii_io_obuf \top_grid_x0[8]~output (
+ .i(\first_red_pos_x[8]~input_o ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x0[8]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x0[8]~output .bus_hold = "false";
+defparam \top_grid_x0[8]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X0_Y6_N9
+cycloneiii_io_obuf \top_grid_x0[9]~output (
+ .i(\first_red_pos_x[9]~input_o ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x0[9]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x0[9]~output .bus_hold = "false";
+defparam \top_grid_x0[9]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X23_Y29_N9
+cycloneiii_io_obuf \top_grid_x1[0]~output (
+ .i(\Add1~0_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x1[0]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x1[0]~output .bus_hold = "false";
+defparam \top_grid_x1[0]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X21_Y29_N30
+cycloneiii_io_obuf \top_grid_x1[1]~output (
+ .i(\Add1~2_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x1[1]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x1[1]~output .bus_hold = "false";
+defparam \top_grid_x1[1]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X26_Y29_N16
+cycloneiii_io_obuf \top_grid_x1[2]~output (
+ .i(\Add1~4_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x1[2]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x1[2]~output .bus_hold = "false";
+defparam \top_grid_x1[2]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X26_Y29_N9
+cycloneiii_io_obuf \top_grid_x1[3]~output (
+ .i(\Add1~6_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x1[3]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x1[3]~output .bus_hold = "false";
+defparam \top_grid_x1[3]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X26_Y29_N30
+cycloneiii_io_obuf \top_grid_x1[4]~output (
+ .i(\Add1~8_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x1[4]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x1[4]~output .bus_hold = "false";
+defparam \top_grid_x1[4]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X21_Y29_N23
+cycloneiii_io_obuf \top_grid_x1[5]~output (
+ .i(\Add1~10_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x1[5]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x1[5]~output .bus_hold = "false";
+defparam \top_grid_x1[5]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X26_Y29_N2
+cycloneiii_io_obuf \top_grid_x1[6]~output (
+ .i(\Add1~12_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x1[6]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x1[6]~output .bus_hold = "false";
+defparam \top_grid_x1[6]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X21_Y29_N2
+cycloneiii_io_obuf \top_grid_x1[7]~output (
+ .i(\Add1~14_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x1[7]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x1[7]~output .bus_hold = "false";
+defparam \top_grid_x1[7]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X23_Y29_N16
+cycloneiii_io_obuf \top_grid_x1[8]~output (
+ .i(\Add1~16_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x1[8]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x1[8]~output .bus_hold = "false";
+defparam \top_grid_x1[8]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X26_Y29_N23
+cycloneiii_io_obuf \top_grid_x1[9]~output (
+ .i(\Add1~18_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x1[9]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x1[9]~output .bus_hold = "false";
+defparam \top_grid_x1[9]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y13_N16
+cycloneiii_io_obuf \top_grid_x2[0]~output (
+ .i(\Add2~0_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x2[0]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x2[0]~output .bus_hold = "false";
+defparam \top_grid_x2[0]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y13_N23
+cycloneiii_io_obuf \top_grid_x2[1]~output (
+ .i(\Add2~2_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x2[1]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x2[1]~output .bus_hold = "false";
+defparam \top_grid_x2[1]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y10_N23
+cycloneiii_io_obuf \top_grid_x2[2]~output (
+ .i(\Add2~4_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x2[2]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x2[2]~output .bus_hold = "false";
+defparam \top_grid_x2[2]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y6_N16
+cycloneiii_io_obuf \top_grid_x2[3]~output (
+ .i(\Add2~6_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x2[3]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x2[3]~output .bus_hold = "false";
+defparam \top_grid_x2[3]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y10_N2
+cycloneiii_io_obuf \top_grid_x2[4]~output (
+ .i(\Add2~8_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x2[4]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x2[4]~output .bus_hold = "false";
+defparam \top_grid_x2[4]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y6_N2
+cycloneiii_io_obuf \top_grid_x2[5]~output (
+ .i(\Add2~10_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x2[5]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x2[5]~output .bus_hold = "false";
+defparam \top_grid_x2[5]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y13_N2
+cycloneiii_io_obuf \top_grid_x2[6]~output (
+ .i(\Add2~12_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x2[6]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x2[6]~output .bus_hold = "false";
+defparam \top_grid_x2[6]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y9_N23
+cycloneiii_io_obuf \top_grid_x2[7]~output (
+ .i(\Add2~14_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x2[7]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x2[7]~output .bus_hold = "false";
+defparam \top_grid_x2[7]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y9_N16
+cycloneiii_io_obuf \top_grid_x2[8]~output (
+ .i(\Add2~16_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x2[8]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x2[8]~output .bus_hold = "false";
+defparam \top_grid_x2[8]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y5_N2
+cycloneiii_io_obuf \top_grid_x2[9]~output (
+ .i(\Add2~18_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x2[9]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x2[9]~output .bus_hold = "false";
+defparam \top_grid_x2[9]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X0_Y9_N23
+cycloneiii_io_obuf \top_grid_x3[0]~output (
+ .i(\Add4~0_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x3[0]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x3[0]~output .bus_hold = "false";
+defparam \top_grid_x3[0]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X0_Y11_N9
+cycloneiii_io_obuf \top_grid_x3[1]~output (
+ .i(\Add4~2_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x3[1]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x3[1]~output .bus_hold = "false";
+defparam \top_grid_x3[1]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X0_Y23_N2
+cycloneiii_io_obuf \top_grid_x3[2]~output (
+ .i(\Add4~4_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x3[2]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x3[2]~output .bus_hold = "false";
+defparam \top_grid_x3[2]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X0_Y11_N16
+cycloneiii_io_obuf \top_grid_x3[3]~output (
+ .i(\Add4~6_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x3[3]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x3[3]~output .bus_hold = "false";
+defparam \top_grid_x3[3]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X0_Y5_N23
+cycloneiii_io_obuf \top_grid_x3[4]~output (
+ .i(\Add4~8_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x3[4]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x3[4]~output .bus_hold = "false";
+defparam \top_grid_x3[4]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X0_Y7_N9
+cycloneiii_io_obuf \top_grid_x3[5]~output (
+ .i(\Add4~10_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x3[5]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x3[5]~output .bus_hold = "false";
+defparam \top_grid_x3[5]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X0_Y12_N2
+cycloneiii_io_obuf \top_grid_x3[6]~output (
+ .i(\Add4~12_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x3[6]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x3[6]~output .bus_hold = "false";
+defparam \top_grid_x3[6]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X0_Y9_N2
+cycloneiii_io_obuf \top_grid_x3[7]~output (
+ .i(\Add4~14_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x3[7]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x3[7]~output .bus_hold = "false";
+defparam \top_grid_x3[7]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X0_Y10_N2
+cycloneiii_io_obuf \top_grid_x3[8]~output (
+ .i(\Add4~16_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x3[8]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x3[8]~output .bus_hold = "false";
+defparam \top_grid_x3[8]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X0_Y9_N9
+cycloneiii_io_obuf \top_grid_x3[9]~output (
+ .i(\Add4~18_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x3[9]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x3[9]~output .bus_hold = "false";
+defparam \top_grid_x3[9]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y25_N23
+cycloneiii_io_obuf \top_grid_x4[0]~output (
+ .i(\Add5~0_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x4[0]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x4[0]~output .bus_hold = "false";
+defparam \top_grid_x4[0]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y25_N16
+cycloneiii_io_obuf \top_grid_x4[1]~output (
+ .i(\Add5~2_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x4[1]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x4[1]~output .bus_hold = "false";
+defparam \top_grid_x4[1]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y26_N16
+cycloneiii_io_obuf \top_grid_x4[2]~output (
+ .i(\Add5~4_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x4[2]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x4[2]~output .bus_hold = "false";
+defparam \top_grid_x4[2]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y26_N9
+cycloneiii_io_obuf \top_grid_x4[3]~output (
+ .i(\Add5~6_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x4[3]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x4[3]~output .bus_hold = "false";
+defparam \top_grid_x4[3]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y24_N16
+cycloneiii_io_obuf \top_grid_x4[4]~output (
+ .i(\Add5~8_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x4[4]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x4[4]~output .bus_hold = "false";
+defparam \top_grid_x4[4]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X39_Y29_N30
+cycloneiii_io_obuf \top_grid_x4[5]~output (
+ .i(\Add5~10_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x4[5]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x4[5]~output .bus_hold = "false";
+defparam \top_grid_x4[5]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y26_N2
+cycloneiii_io_obuf \top_grid_x4[6]~output (
+ .i(\Add5~12_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x4[6]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x4[6]~output .bus_hold = "false";
+defparam \top_grid_x4[6]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y25_N2
+cycloneiii_io_obuf \top_grid_x4[7]~output (
+ .i(\Add5~14_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x4[7]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x4[7]~output .bus_hold = "false";
+defparam \top_grid_x4[7]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X39_Y29_N23
+cycloneiii_io_obuf \top_grid_x4[8]~output (
+ .i(\Add5~16_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x4[8]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x4[8]~output .bus_hold = "false";
+defparam \top_grid_x4[8]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y25_N9
+cycloneiii_io_obuf \top_grid_x4[9]~output (
+ .i(\Add5~18_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x4[9]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x4[9]~output .bus_hold = "false";
+defparam \top_grid_x4[9]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X0_Y27_N16
+cycloneiii_io_obuf \top_grid_x5[0]~output (
+ .i(\Add7~0_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x5[0]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x5[0]~output .bus_hold = "false";
+defparam \top_grid_x5[0]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X3_Y29_N16
+cycloneiii_io_obuf \top_grid_x5[1]~output (
+ .i(\Add7~2_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x5[1]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x5[1]~output .bus_hold = "false";
+defparam \top_grid_x5[1]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X0_Y27_N9
+cycloneiii_io_obuf \top_grid_x5[2]~output (
+ .i(\Add7~4_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x5[2]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x5[2]~output .bus_hold = "false";
+defparam \top_grid_x5[2]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X3_Y29_N9
+cycloneiii_io_obuf \top_grid_x5[3]~output (
+ .i(\Add7~6_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x5[3]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x5[3]~output .bus_hold = "false";
+defparam \top_grid_x5[3]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X1_Y29_N30
+cycloneiii_io_obuf \top_grid_x5[4]~output (
+ .i(\Add7~8_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x5[4]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x5[4]~output .bus_hold = "false";
+defparam \top_grid_x5[4]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X1_Y29_N23
+cycloneiii_io_obuf \top_grid_x5[5]~output (
+ .i(\Add7~10_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x5[5]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x5[5]~output .bus_hold = "false";
+defparam \top_grid_x5[5]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X0_Y27_N23
+cycloneiii_io_obuf \top_grid_x5[6]~output (
+ .i(\Add7~12_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x5[6]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x5[6]~output .bus_hold = "false";
+defparam \top_grid_x5[6]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X0_Y26_N23
+cycloneiii_io_obuf \top_grid_x5[7]~output (
+ .i(\Add7~14_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x5[7]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x5[7]~output .bus_hold = "false";
+defparam \top_grid_x5[7]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X3_Y29_N2
+cycloneiii_io_obuf \top_grid_x5[8]~output (
+ .i(\Add7~16_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x5[8]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x5[8]~output .bus_hold = "false";
+defparam \top_grid_x5[8]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X0_Y27_N2
+cycloneiii_io_obuf \top_grid_x5[9]~output (
+ .i(\Add7~18_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x5[9]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x5[9]~output .bus_hold = "false";
+defparam \top_grid_x5[9]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X0_Y11_N23
+cycloneiii_io_obuf \top_grid_x6[0]~output (
+ .i(\Add9~0_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x6[0]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x6[0]~output .bus_hold = "false";
+defparam \top_grid_x6[0]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X0_Y8_N9
+cycloneiii_io_obuf \top_grid_x6[1]~output (
+ .i(\Add9~2_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x6[1]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x6[1]~output .bus_hold = "false";
+defparam \top_grid_x6[1]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X0_Y5_N2
+cycloneiii_io_obuf \top_grid_x6[2]~output (
+ .i(\Add9~4_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x6[2]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x6[2]~output .bus_hold = "false";
+defparam \top_grid_x6[2]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X0_Y9_N16
+cycloneiii_io_obuf \top_grid_x6[3]~output (
+ .i(\Add9~6_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x6[3]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x6[3]~output .bus_hold = "false";
+defparam \top_grid_x6[3]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X0_Y23_N16
+cycloneiii_io_obuf \top_grid_x6[4]~output (
+ .i(\Add9~8_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x6[4]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x6[4]~output .bus_hold = "false";
+defparam \top_grid_x6[4]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X0_Y23_N9
+cycloneiii_io_obuf \top_grid_x6[5]~output (
+ .i(\Add9~10_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x6[5]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x6[5]~output .bus_hold = "false";
+defparam \top_grid_x6[5]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X0_Y26_N2
+cycloneiii_io_obuf \top_grid_x6[6]~output (
+ .i(\Add9~12_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x6[6]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x6[6]~output .bus_hold = "false";
+defparam \top_grid_x6[6]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X0_Y5_N16
+cycloneiii_io_obuf \top_grid_x6[7]~output (
+ .i(\Add9~14_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x6[7]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x6[7]~output .bus_hold = "false";
+defparam \top_grid_x6[7]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X0_Y6_N16
+cycloneiii_io_obuf \top_grid_x6[8]~output (
+ .i(\Add9~16_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x6[8]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x6[8]~output .bus_hold = "false";
+defparam \top_grid_x6[8]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X0_Y10_N16
+cycloneiii_io_obuf \top_grid_x6[9]~output (
+ .i(\Add9~18_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x6[9]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x6[9]~output .bus_hold = "false";
+defparam \top_grid_x6[9]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X39_Y0_N23
+cycloneiii_io_obuf \top_grid_x7[0]~output (
+ .i(\Add12~0_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x7[0]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x7[0]~output .bus_hold = "false";
+defparam \top_grid_x7[0]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X37_Y0_N9
+cycloneiii_io_obuf \top_grid_x7[1]~output (
+ .i(\Add12~2_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x7[1]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x7[1]~output .bus_hold = "false";
+defparam \top_grid_x7[1]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X37_Y0_N30
+cycloneiii_io_obuf \top_grid_x7[2]~output (
+ .i(\Add12~4_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x7[2]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x7[2]~output .bus_hold = "false";
+defparam \top_grid_x7[2]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y2_N9
+cycloneiii_io_obuf \top_grid_x7[3]~output (
+ .i(\Add12~6_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x7[3]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x7[3]~output .bus_hold = "false";
+defparam \top_grid_x7[3]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X39_Y0_N30
+cycloneiii_io_obuf \top_grid_x7[4]~output (
+ .i(\Add12~8_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x7[4]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x7[4]~output .bus_hold = "false";
+defparam \top_grid_x7[4]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X39_Y0_N16
+cycloneiii_io_obuf \top_grid_x7[5]~output (
+ .i(\Add12~10_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x7[5]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x7[5]~output .bus_hold = "false";
+defparam \top_grid_x7[5]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X35_Y0_N16
+cycloneiii_io_obuf \top_grid_x7[6]~output (
+ .i(\Add12~12_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x7[6]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x7[6]~output .bus_hold = "false";
+defparam \top_grid_x7[6]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X39_Y0_N9
+cycloneiii_io_obuf \top_grid_x7[7]~output (
+ .i(\Add12~14_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x7[7]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x7[7]~output .bus_hold = "false";
+defparam \top_grid_x7[7]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y3_N2
+cycloneiii_io_obuf \top_grid_x7[8]~output (
+ .i(\Add12~16_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x7[8]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x7[8]~output .bus_hold = "false";
+defparam \top_grid_x7[8]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X35_Y0_N9
+cycloneiii_io_obuf \top_grid_x7[9]~output (
+ .i(\Add12~18_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x7[9]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x7[9]~output .bus_hold = "false";
+defparam \top_grid_x7[9]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y27_N23
+cycloneiii_io_obuf \top_grid_x8[0]~output (
+ .i(\Add13~0_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x8[0]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x8[0]~output .bus_hold = "false";
+defparam \top_grid_x8[0]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y27_N2
+cycloneiii_io_obuf \top_grid_x8[1]~output (
+ .i(\Add13~2_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x8[1]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x8[1]~output .bus_hold = "false";
+defparam \top_grid_x8[1]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X39_Y29_N9
+cycloneiii_io_obuf \top_grid_x8[2]~output (
+ .i(\Add13~4_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x8[2]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x8[2]~output .bus_hold = "false";
+defparam \top_grid_x8[2]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y27_N9
+cycloneiii_io_obuf \top_grid_x8[3]~output (
+ .i(\Add13~6_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x8[3]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x8[3]~output .bus_hold = "false";
+defparam \top_grid_x8[3]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X39_Y29_N2
+cycloneiii_io_obuf \top_grid_x8[4]~output (
+ .i(\Add13~8_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x8[4]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x8[4]~output .bus_hold = "false";
+defparam \top_grid_x8[4]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X39_Y29_N16
+cycloneiii_io_obuf \top_grid_x8[5]~output (
+ .i(\Add13~10_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x8[5]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x8[5]~output .bus_hold = "false";
+defparam \top_grid_x8[5]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y27_N16
+cycloneiii_io_obuf \top_grid_x8[6]~output (
+ .i(\Add13~12_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x8[6]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x8[6]~output .bus_hold = "false";
+defparam \top_grid_x8[6]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X35_Y29_N16
+cycloneiii_io_obuf \top_grid_x8[7]~output (
+ .i(\Add13~14_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x8[7]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x8[7]~output .bus_hold = "false";
+defparam \top_grid_x8[7]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X37_Y29_N30
+cycloneiii_io_obuf \top_grid_x8[8]~output (
+ .i(\Add13~16_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x8[8]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x8[8]~output .bus_hold = "false";
+defparam \top_grid_x8[8]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X37_Y29_N23
+cycloneiii_io_obuf \top_grid_x8[9]~output (
+ .i(\Add13~18_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x8[9]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x8[9]~output .bus_hold = "false";
+defparam \top_grid_x8[9]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X37_Y29_N16
+cycloneiii_io_obuf \top_grid_x9[0]~output (
+ .i(\Add15~0_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x9[0]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x9[0]~output .bus_hold = "false";
+defparam \top_grid_x9[0]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X28_Y29_N16
+cycloneiii_io_obuf \top_grid_x9[1]~output (
+ .i(\Add15~2_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x9[1]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x9[1]~output .bus_hold = "false";
+defparam \top_grid_x9[1]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X28_Y29_N23
+cycloneiii_io_obuf \top_grid_x9[2]~output (
+ .i(\Add15~4_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x9[2]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x9[2]~output .bus_hold = "false";
+defparam \top_grid_x9[2]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X30_Y29_N23
+cycloneiii_io_obuf \top_grid_x9[3]~output (
+ .i(\Add15~6_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x9[3]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x9[3]~output .bus_hold = "false";
+defparam \top_grid_x9[3]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X30_Y29_N16
+cycloneiii_io_obuf \top_grid_x9[4]~output (
+ .i(\Add15~8_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x9[4]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x9[4]~output .bus_hold = "false";
+defparam \top_grid_x9[4]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X37_Y29_N2
+cycloneiii_io_obuf \top_grid_x9[5]~output (
+ .i(\Add15~10_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x9[5]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x9[5]~output .bus_hold = "false";
+defparam \top_grid_x9[5]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X35_Y29_N30
+cycloneiii_io_obuf \top_grid_x9[6]~output (
+ .i(\Add15~12_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x9[6]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x9[6]~output .bus_hold = "false";
+defparam \top_grid_x9[6]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X30_Y29_N30
+cycloneiii_io_obuf \top_grid_x9[7]~output (
+ .i(\Add15~14_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x9[7]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x9[7]~output .bus_hold = "false";
+defparam \top_grid_x9[7]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X30_Y29_N2
+cycloneiii_io_obuf \top_grid_x9[8]~output (
+ .i(\Add15~16_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x9[8]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x9[8]~output .bus_hold = "false";
+defparam \top_grid_x9[8]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X30_Y29_N9
+cycloneiii_io_obuf \top_grid_x9[9]~output (
+ .i(\Add15~18_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x9[9]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x9[9]~output .bus_hold = "false";
+defparam \top_grid_x9[9]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X1_Y29_N9
+cycloneiii_io_obuf \top_grid_x10[0]~output (
+ .i(\Add17~0_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x10[0]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x10[0]~output .bus_hold = "false";
+defparam \top_grid_x10[0]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X5_Y29_N23
+cycloneiii_io_obuf \top_grid_x10[1]~output (
+ .i(\Add17~2_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x10[1]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x10[1]~output .bus_hold = "false";
+defparam \top_grid_x10[1]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X5_Y29_N2
+cycloneiii_io_obuf \top_grid_x10[2]~output (
+ .i(\Add17~4_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x10[2]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x10[2]~output .bus_hold = "false";
+defparam \top_grid_x10[2]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X1_Y29_N16
+cycloneiii_io_obuf \top_grid_x10[3]~output (
+ .i(\Add17~6_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x10[3]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x10[3]~output .bus_hold = "false";
+defparam \top_grid_x10[3]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X1_Y29_N2
+cycloneiii_io_obuf \top_grid_x10[4]~output (
+ .i(\Add17~8_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x10[4]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x10[4]~output .bus_hold = "false";
+defparam \top_grid_x10[4]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X7_Y29_N2
+cycloneiii_io_obuf \top_grid_x10[5]~output (
+ .i(\Add17~10_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x10[5]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x10[5]~output .bus_hold = "false";
+defparam \top_grid_x10[5]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X5_Y29_N30
+cycloneiii_io_obuf \top_grid_x10[6]~output (
+ .i(\Add17~12_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x10[6]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x10[6]~output .bus_hold = "false";
+defparam \top_grid_x10[6]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X5_Y29_N9
+cycloneiii_io_obuf \top_grid_x10[7]~output (
+ .i(\Add17~14_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x10[7]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x10[7]~output .bus_hold = "false";
+defparam \top_grid_x10[7]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X5_Y29_N16
+cycloneiii_io_obuf \top_grid_x10[8]~output (
+ .i(\Add17~16_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x10[8]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x10[8]~output .bus_hold = "false";
+defparam \top_grid_x10[8]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X7_Y29_N30
+cycloneiii_io_obuf \top_grid_x10[9]~output (
+ .i(\Add17~18_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x10[9]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x10[9]~output .bus_hold = "false";
+defparam \top_grid_x10[9]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X9_Y29_N23
+cycloneiii_io_obuf \top_grid_x11[0]~output (
+ .i(\Add18~0_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x11[0]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x11[0]~output .bus_hold = "false";
+defparam \top_grid_x11[0]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X11_Y29_N9
+cycloneiii_io_obuf \top_grid_x11[1]~output (
+ .i(\Add18~2_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x11[1]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x11[1]~output .bus_hold = "false";
+defparam \top_grid_x11[1]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X16_Y29_N30
+cycloneiii_io_obuf \top_grid_x11[2]~output (
+ .i(\Add18~4_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x11[2]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x11[2]~output .bus_hold = "false";
+defparam \top_grid_x11[2]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X0_Y21_N2
+cycloneiii_io_obuf \top_grid_x11[3]~output (
+ .i(\Add18~6_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x11[3]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x11[3]~output .bus_hold = "false";
+defparam \top_grid_x11[3]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X9_Y29_N9
+cycloneiii_io_obuf \top_grid_x11[4]~output (
+ .i(\Add18~8_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x11[4]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x11[4]~output .bus_hold = "false";
+defparam \top_grid_x11[4]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X16_Y29_N2
+cycloneiii_io_obuf \top_grid_x11[5]~output (
+ .i(\Add18~10_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x11[5]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x11[5]~output .bus_hold = "false";
+defparam \top_grid_x11[5]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X16_Y29_N9
+cycloneiii_io_obuf \top_grid_x11[6]~output (
+ .i(\Add18~12_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x11[6]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x11[6]~output .bus_hold = "false";
+defparam \top_grid_x11[6]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X14_Y29_N30
+cycloneiii_io_obuf \top_grid_x11[7]~output (
+ .i(\Add18~14_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x11[7]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x11[7]~output .bus_hold = "false";
+defparam \top_grid_x11[7]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X0_Y21_N23
+cycloneiii_io_obuf \top_grid_x11[8]~output (
+ .i(\Add18~16_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x11[8]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x11[8]~output .bus_hold = "false";
+defparam \top_grid_x11[8]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X14_Y29_N23
+cycloneiii_io_obuf \top_grid_x11[9]~output (
+ .i(\Add18~18_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x11[9]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x11[9]~output .bus_hold = "false";
+defparam \top_grid_x11[9]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X0_Y8_N16
+cycloneiii_io_obuf \top_grid_x12[0]~output (
+ .i(\Add20~0_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x12[0]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x12[0]~output .bus_hold = "false";
+defparam \top_grid_x12[0]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X0_Y7_N2
+cycloneiii_io_obuf \top_grid_x12[1]~output (
+ .i(\Add20~2_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x12[1]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x12[1]~output .bus_hold = "false";
+defparam \top_grid_x12[1]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X0_Y7_N16
+cycloneiii_io_obuf \top_grid_x12[2]~output (
+ .i(\Add20~4_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x12[2]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x12[2]~output .bus_hold = "false";
+defparam \top_grid_x12[2]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X0_Y10_N23
+cycloneiii_io_obuf \top_grid_x12[3]~output (
+ .i(\Add20~6_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x12[3]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x12[3]~output .bus_hold = "false";
+defparam \top_grid_x12[3]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X0_Y8_N23
+cycloneiii_io_obuf \top_grid_x12[4]~output (
+ .i(\Add20~8_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x12[4]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x12[4]~output .bus_hold = "false";
+defparam \top_grid_x12[4]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X0_Y8_N2
+cycloneiii_io_obuf \top_grid_x12[5]~output (
+ .i(\Add20~10_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x12[5]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x12[5]~output .bus_hold = "false";
+defparam \top_grid_x12[5]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X0_Y21_N16
+cycloneiii_io_obuf \top_grid_x12[6]~output (
+ .i(\Add20~12_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x12[6]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x12[6]~output .bus_hold = "false";
+defparam \top_grid_x12[6]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X0_Y20_N9
+cycloneiii_io_obuf \top_grid_x12[7]~output (
+ .i(\Add20~14_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x12[7]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x12[7]~output .bus_hold = "false";
+defparam \top_grid_x12[7]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X0_Y11_N2
+cycloneiii_io_obuf \top_grid_x12[8]~output (
+ .i(\Add20~16_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x12[8]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x12[8]~output .bus_hold = "false";
+defparam \top_grid_x12[8]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X0_Y10_N9
+cycloneiii_io_obuf \top_grid_x12[9]~output (
+ .i(\Add20~18_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x12[9]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x12[9]~output .bus_hold = "false";
+defparam \top_grid_x12[9]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X0_Y13_N23
+cycloneiii_io_obuf \top_grid_x13[0]~output (
+ .i(\Add21~0_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x13[0]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x13[0]~output .bus_hold = "false";
+defparam \top_grid_x13[0]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X7_Y0_N16
+cycloneiii_io_obuf \top_grid_x13[1]~output (
+ .i(\Add21~2_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x13[1]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x13[1]~output .bus_hold = "false";
+defparam \top_grid_x13[1]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X7_Y0_N9
+cycloneiii_io_obuf \top_grid_x13[2]~output (
+ .i(\Add21~4_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x13[2]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x13[2]~output .bus_hold = "false";
+defparam \top_grid_x13[2]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X0_Y24_N23
+cycloneiii_io_obuf \top_grid_x13[3]~output (
+ .i(\Add21~6_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x13[3]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x13[3]~output .bus_hold = "false";
+defparam \top_grid_x13[3]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X0_Y20_N2
+cycloneiii_io_obuf \top_grid_x13[4]~output (
+ .i(\Add21~8_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x13[4]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x13[4]~output .bus_hold = "false";
+defparam \top_grid_x13[4]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X9_Y29_N30
+cycloneiii_io_obuf \top_grid_x13[5]~output (
+ .i(\Add21~10_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x13[5]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x13[5]~output .bus_hold = "false";
+defparam \top_grid_x13[5]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X0_Y13_N9
+cycloneiii_io_obuf \top_grid_x13[6]~output (
+ .i(\Add21~12_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x13[6]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x13[6]~output .bus_hold = "false";
+defparam \top_grid_x13[6]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X0_Y13_N16
+cycloneiii_io_obuf \top_grid_x13[7]~output (
+ .i(\Add21~14_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x13[7]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x13[7]~output .bus_hold = "false";
+defparam \top_grid_x13[7]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X0_Y13_N2
+cycloneiii_io_obuf \top_grid_x13[8]~output (
+ .i(\Add21~16_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x13[8]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x13[8]~output .bus_hold = "false";
+defparam \top_grid_x13[8]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X0_Y6_N2
+cycloneiii_io_obuf \top_grid_x13[9]~output (
+ .i(\Add21~18_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x13[9]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x13[9]~output .bus_hold = "false";
+defparam \top_grid_x13[9]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y2_N16
+cycloneiii_io_obuf \top_grid_x14[0]~output (
+ .i(\Add24~0_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x14[0]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x14[0]~output .bus_hold = "false";
+defparam \top_grid_x14[0]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y12_N16
+cycloneiii_io_obuf \top_grid_x14[1]~output (
+ .i(\Add24~2_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x14[1]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x14[1]~output .bus_hold = "false";
+defparam \top_grid_x14[1]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y8_N23
+cycloneiii_io_obuf \top_grid_x14[2]~output (
+ .i(\Add24~4_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x14[2]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x14[2]~output .bus_hold = "false";
+defparam \top_grid_x14[2]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y5_N9
+cycloneiii_io_obuf \top_grid_x14[3]~output (
+ .i(\Add24~6_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x14[3]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x14[3]~output .bus_hold = "false";
+defparam \top_grid_x14[3]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y12_N23
+cycloneiii_io_obuf \top_grid_x14[4]~output (
+ .i(\Add24~8_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x14[4]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x14[4]~output .bus_hold = "false";
+defparam \top_grid_x14[4]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y5_N16
+cycloneiii_io_obuf \top_grid_x14[5]~output (
+ .i(\Add24~10_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x14[5]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x14[5]~output .bus_hold = "false";
+defparam \top_grid_x14[5]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y12_N2
+cycloneiii_io_obuf \top_grid_x14[6]~output (
+ .i(\Add24~12_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x14[6]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x14[6]~output .bus_hold = "false";
+defparam \top_grid_x14[6]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y7_N9
+cycloneiii_io_obuf \top_grid_x14[7]~output (
+ .i(\Add24~14_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x14[7]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x14[7]~output .bus_hold = "false";
+defparam \top_grid_x14[7]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y4_N2
+cycloneiii_io_obuf \top_grid_x14[8]~output (
+ .i(\Add24~16_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x14[8]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x14[8]~output .bus_hold = "false";
+defparam \top_grid_x14[8]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y3_N23
+cycloneiii_io_obuf \top_grid_x14[9]~output (
+ .i(\Add24~18_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x14[9]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x14[9]~output .bus_hold = "false";
+defparam \top_grid_x14[9]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X1_Y0_N30
+cycloneiii_io_obuf \top_grid_x15[0]~output (
+ .i(\Add26~0_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x15[0]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x15[0]~output .bus_hold = "false";
+defparam \top_grid_x15[0]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X3_Y0_N9
+cycloneiii_io_obuf \top_grid_x15[1]~output (
+ .i(\Add26~2_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x15[1]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x15[1]~output .bus_hold = "false";
+defparam \top_grid_x15[1]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X5_Y0_N30
+cycloneiii_io_obuf \top_grid_x15[2]~output (
+ .i(\Add26~4_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x15[2]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x15[2]~output .bus_hold = "false";
+defparam \top_grid_x15[2]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X0_Y2_N9
+cycloneiii_io_obuf \top_grid_x15[3]~output (
+ .i(\Add26~6_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x15[3]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x15[3]~output .bus_hold = "false";
+defparam \top_grid_x15[3]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X0_Y3_N2
+cycloneiii_io_obuf \top_grid_x15[4]~output (
+ .i(\Add26~8_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x15[4]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x15[4]~output .bus_hold = "false";
+defparam \top_grid_x15[4]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X0_Y4_N16
+cycloneiii_io_obuf \top_grid_x15[5]~output (
+ .i(\Add26~10_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x15[5]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x15[5]~output .bus_hold = "false";
+defparam \top_grid_x15[5]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X3_Y0_N30
+cycloneiii_io_obuf \top_grid_x15[6]~output (
+ .i(\Add26~12_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x15[6]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x15[6]~output .bus_hold = "false";
+defparam \top_grid_x15[6]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X1_Y0_N23
+cycloneiii_io_obuf \top_grid_x15[7]~output (
+ .i(\Add26~14_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x15[7]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x15[7]~output .bus_hold = "false";
+defparam \top_grid_x15[7]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X5_Y0_N9
+cycloneiii_io_obuf \top_grid_x15[8]~output (
+ .i(\Add26~16_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x15[8]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x15[8]~output .bus_hold = "false";
+defparam \top_grid_x15[8]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X1_Y0_N2
+cycloneiii_io_obuf \top_grid_x15[9]~output (
+ .i(\Add26~18_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x15[9]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x15[9]~output .bus_hold = "false";
+defparam \top_grid_x15[9]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y20_N9
+cycloneiii_io_obuf \top_grid_x16[0]~output (
+ .i(\Add27~0_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x16[0]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x16[0]~output .bus_hold = "false";
+defparam \top_grid_x16[0]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y24_N2
+cycloneiii_io_obuf \top_grid_x16[1]~output (
+ .i(\Add27~2_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x16[1]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x16[1]~output .bus_hold = "false";
+defparam \top_grid_x16[1]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y18_N16
+cycloneiii_io_obuf \top_grid_x16[2]~output (
+ .i(\Add27~4_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x16[2]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x16[2]~output .bus_hold = "false";
+defparam \top_grid_x16[2]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y19_N2
+cycloneiii_io_obuf \top_grid_x16[3]~output (
+ .i(\Add27~6_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x16[3]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x16[3]~output .bus_hold = "false";
+defparam \top_grid_x16[3]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y23_N23
+cycloneiii_io_obuf \top_grid_x16[4]~output (
+ .i(\Add27~8_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x16[4]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x16[4]~output .bus_hold = "false";
+defparam \top_grid_x16[4]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y24_N23
+cycloneiii_io_obuf \top_grid_x16[5]~output (
+ .i(\Add27~10_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x16[5]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x16[5]~output .bus_hold = "false";
+defparam \top_grid_x16[5]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y20_N23
+cycloneiii_io_obuf \top_grid_x16[6]~output (
+ .i(\Add27~12_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x16[6]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x16[6]~output .bus_hold = "false";
+defparam \top_grid_x16[6]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y20_N2
+cycloneiii_io_obuf \top_grid_x16[7]~output (
+ .i(\Add27~14_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x16[7]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x16[7]~output .bus_hold = "false";
+defparam \top_grid_x16[7]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y20_N16
+cycloneiii_io_obuf \top_grid_x16[8]~output (
+ .i(\Add27~16_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x16[8]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x16[8]~output .bus_hold = "false";
+defparam \top_grid_x16[8]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y23_N2
+cycloneiii_io_obuf \top_grid_x16[9]~output (
+ .i(\Add27~18_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x16[9]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x16[9]~output .bus_hold = "false";
+defparam \top_grid_x16[9]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X28_Y0_N30
+cycloneiii_io_obuf \top_grid_x17[0]~output (
+ .i(\Add29~0_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x17[0]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x17[0]~output .bus_hold = "false";
+defparam \top_grid_x17[0]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X30_Y0_N23
+cycloneiii_io_obuf \top_grid_x17[1]~output (
+ .i(\Add29~2_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x17[1]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x17[1]~output .bus_hold = "false";
+defparam \top_grid_x17[1]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X28_Y0_N2
+cycloneiii_io_obuf \top_grid_x17[2]~output (
+ .i(\Add29~4_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x17[2]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x17[2]~output .bus_hold = "false";
+defparam \top_grid_x17[2]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X28_Y0_N23
+cycloneiii_io_obuf \top_grid_x17[3]~output (
+ .i(\Add29~6_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x17[3]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x17[3]~output .bus_hold = "false";
+defparam \top_grid_x17[3]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X26_Y0_N16
+cycloneiii_io_obuf \top_grid_x17[4]~output (
+ .i(\Add29~8_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x17[4]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x17[4]~output .bus_hold = "false";
+defparam \top_grid_x17[4]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X26_Y0_N23
+cycloneiii_io_obuf \top_grid_x17[5]~output (
+ .i(\Add29~10_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x17[5]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x17[5]~output .bus_hold = "false";
+defparam \top_grid_x17[5]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X30_Y0_N2
+cycloneiii_io_obuf \top_grid_x17[6]~output (
+ .i(\Add29~12_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x17[6]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x17[6]~output .bus_hold = "false";
+defparam \top_grid_x17[6]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X28_Y0_N9
+cycloneiii_io_obuf \top_grid_x17[7]~output (
+ .i(\Add29~14_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x17[7]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x17[7]~output .bus_hold = "false";
+defparam \top_grid_x17[7]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X30_Y0_N16
+cycloneiii_io_obuf \top_grid_x17[8]~output (
+ .i(\Add29~16_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x17[8]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x17[8]~output .bus_hold = "false";
+defparam \top_grid_x17[8]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X30_Y0_N9
+cycloneiii_io_obuf \top_grid_x17[9]~output (
+ .i(\Add29~18_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x17[9]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x17[9]~output .bus_hold = "false";
+defparam \top_grid_x17[9]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X7_Y0_N2
+cycloneiii_io_obuf \top_grid_x18[0]~output (
+ .i(\Add31~0_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x18[0]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x18[0]~output .bus_hold = "false";
+defparam \top_grid_x18[0]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X9_Y0_N16
+cycloneiii_io_obuf \top_grid_x18[1]~output (
+ .i(\Add31~2_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x18[1]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x18[1]~output .bus_hold = "false";
+defparam \top_grid_x18[1]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X9_Y0_N9
+cycloneiii_io_obuf \top_grid_x18[2]~output (
+ .i(\Add31~4_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x18[2]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x18[2]~output .bus_hold = "false";
+defparam \top_grid_x18[2]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X7_Y0_N30
+cycloneiii_io_obuf \top_grid_x18[3]~output (
+ .i(\Add31~6_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x18[3]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x18[3]~output .bus_hold = "false";
+defparam \top_grid_x18[3]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X9_Y0_N23
+cycloneiii_io_obuf \top_grid_x18[4]~output (
+ .i(\Add31~8_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x18[4]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x18[4]~output .bus_hold = "false";
+defparam \top_grid_x18[4]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X5_Y0_N23
+cycloneiii_io_obuf \top_grid_x18[5]~output (
+ .i(\Add31~10_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x18[5]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x18[5]~output .bus_hold = "false";
+defparam \top_grid_x18[5]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X5_Y0_N2
+cycloneiii_io_obuf \top_grid_x18[6]~output (
+ .i(\Add31~12_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x18[6]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x18[6]~output .bus_hold = "false";
+defparam \top_grid_x18[6]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X9_Y0_N2
+cycloneiii_io_obuf \top_grid_x18[7]~output (
+ .i(\Add31~14_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x18[7]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x18[7]~output .bus_hold = "false";
+defparam \top_grid_x18[7]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X7_Y0_N23
+cycloneiii_io_obuf \top_grid_x18[8]~output (
+ .i(\Add31~16_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x18[8]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x18[8]~output .bus_hold = "false";
+defparam \top_grid_x18[8]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X9_Y0_N30
+cycloneiii_io_obuf \top_grid_x18[9]~output (
+ .i(\Add31~18_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x18[9]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x18[9]~output .bus_hold = "false";
+defparam \top_grid_x18[9]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X28_Y29_N30
+cycloneiii_io_obuf \top_grid_x19[0]~output (
+ .i(\Add32~0_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x19[0]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x19[0]~output .bus_hold = "false";
+defparam \top_grid_x19[0]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y17_N9
+cycloneiii_io_obuf \top_grid_x19[1]~output (
+ .i(\Add32~2_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x19[1]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x19[1]~output .bus_hold = "false";
+defparam \top_grid_x19[1]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y18_N23
+cycloneiii_io_obuf \top_grid_x19[2]~output (
+ .i(\Add32~4_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x19[2]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x19[2]~output .bus_hold = "false";
+defparam \top_grid_x19[2]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y18_N2
+cycloneiii_io_obuf \top_grid_x19[3]~output (
+ .i(\Add32~6_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x19[3]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x19[3]~output .bus_hold = "false";
+defparam \top_grid_x19[3]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y18_N9
+cycloneiii_io_obuf \top_grid_x19[4]~output (
+ .i(\Add32~8_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x19[4]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x19[4]~output .bus_hold = "false";
+defparam \top_grid_x19[4]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X26_Y0_N9
+cycloneiii_io_obuf \top_grid_x19[5]~output (
+ .i(\Add32~10_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x19[5]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x19[5]~output .bus_hold = "false";
+defparam \top_grid_x19[5]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y17_N2
+cycloneiii_io_obuf \top_grid_x19[6]~output (
+ .i(\Add32~12_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x19[6]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x19[6]~output .bus_hold = "false";
+defparam \top_grid_x19[6]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y12_N9
+cycloneiii_io_obuf \top_grid_x19[7]~output (
+ .i(\Add32~14_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x19[7]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x19[7]~output .bus_hold = "false";
+defparam \top_grid_x19[7]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X28_Y29_N9
+cycloneiii_io_obuf \top_grid_x19[8]~output (
+ .i(\Add32~16_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x19[8]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x19[8]~output .bus_hold = "false";
+defparam \top_grid_x19[8]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y13_N9
+cycloneiii_io_obuf \top_grid_x19[9]~output (
+ .i(\Add32~18_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x19[9]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x19[9]~output .bus_hold = "false";
+defparam \top_grid_x19[9]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y19_N23
+cycloneiii_io_obuf \top_grid_x20[0]~output (
+ .i(\Add34~0_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x20[0]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x20[0]~output .bus_hold = "false";
+defparam \top_grid_x20[0]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X23_Y29_N30
+cycloneiii_io_obuf \top_grid_x20[1]~output (
+ .i(\Add34~2_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x20[1]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x20[1]~output .bus_hold = "false";
+defparam \top_grid_x20[1]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X23_Y29_N23
+cycloneiii_io_obuf \top_grid_x20[2]~output (
+ .i(\Add34~4_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x20[2]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x20[2]~output .bus_hold = "false";
+defparam \top_grid_x20[2]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y19_N9
+cycloneiii_io_obuf \top_grid_x20[3]~output (
+ .i(\Add34~6_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x20[3]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x20[3]~output .bus_hold = "false";
+defparam \top_grid_x20[3]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X16_Y29_N16
+cycloneiii_io_obuf \top_grid_x20[4]~output (
+ .i(\Add34~8_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x20[4]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x20[4]~output .bus_hold = "false";
+defparam \top_grid_x20[4]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X21_Y29_N9
+cycloneiii_io_obuf \top_grid_x20[5]~output (
+ .i(\Add34~10_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x20[5]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x20[5]~output .bus_hold = "false";
+defparam \top_grid_x20[5]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X21_Y29_N16
+cycloneiii_io_obuf \top_grid_x20[6]~output (
+ .i(\Add34~12_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x20[6]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x20[6]~output .bus_hold = "false";
+defparam \top_grid_x20[6]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X23_Y29_N2
+cycloneiii_io_obuf \top_grid_x20[7]~output (
+ .i(\Add34~14_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x20[7]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x20[7]~output .bus_hold = "false";
+defparam \top_grid_x20[7]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X19_Y29_N30
+cycloneiii_io_obuf \top_grid_x20[8]~output (
+ .i(\Add34~16_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x20[8]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x20[8]~output .bus_hold = "false";
+defparam \top_grid_x20[8]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y23_N16
+cycloneiii_io_obuf \top_grid_x20[9]~output (
+ .i(\Add34~18_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x20[9]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x20[9]~output .bus_hold = "false";
+defparam \top_grid_x20[9]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X32_Y0_N16
+cycloneiii_io_obuf \top_grid_x21[0]~output (
+ .i(\Add35~0_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x21[0]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x21[0]~output .bus_hold = "false";
+defparam \top_grid_x21[0]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X35_Y0_N30
+cycloneiii_io_obuf \top_grid_x21[1]~output (
+ .i(\Add35~2_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x21[1]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x21[1]~output .bus_hold = "false";
+defparam \top_grid_x21[1]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X35_Y0_N23
+cycloneiii_io_obuf \top_grid_x21[2]~output (
+ .i(\Add35~4_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x21[2]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x21[2]~output .bus_hold = "false";
+defparam \top_grid_x21[2]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X37_Y0_N16
+cycloneiii_io_obuf \top_grid_x21[3]~output (
+ .i(\Add35~6_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x21[3]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x21[3]~output .bus_hold = "false";
+defparam \top_grid_x21[3]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X32_Y0_N9
+cycloneiii_io_obuf \top_grid_x21[4]~output (
+ .i(\Add35~8_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x21[4]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x21[4]~output .bus_hold = "false";
+defparam \top_grid_x21[4]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X32_Y0_N23
+cycloneiii_io_obuf \top_grid_x21[5]~output (
+ .i(\Add35~10_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x21[5]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x21[5]~output .bus_hold = "false";
+defparam \top_grid_x21[5]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X32_Y0_N2
+cycloneiii_io_obuf \top_grid_x21[6]~output (
+ .i(\Add35~12_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x21[6]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x21[6]~output .bus_hold = "false";
+defparam \top_grid_x21[6]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X35_Y0_N2
+cycloneiii_io_obuf \top_grid_x21[7]~output (
+ .i(\Add35~14_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x21[7]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x21[7]~output .bus_hold = "false";
+defparam \top_grid_x21[7]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X32_Y0_N30
+cycloneiii_io_obuf \top_grid_x21[8]~output (
+ .i(\Add35~16_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x21[8]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x21[8]~output .bus_hold = "false";
+defparam \top_grid_x21[8]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y4_N9
+cycloneiii_io_obuf \top_grid_x21[9]~output (
+ .i(\Add35~18_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x21[9]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x21[9]~output .bus_hold = "false";
+defparam \top_grid_x21[9]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y24_N9
+cycloneiii_io_obuf \top_grid_x22[0]~output (
+ .i(\Add36~0_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x22[0]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x22[0]~output .bus_hold = "false";
+defparam \top_grid_x22[0]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y22_N23
+cycloneiii_io_obuf \top_grid_x22[1]~output (
+ .i(\Add36~2_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x22[1]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x22[1]~output .bus_hold = "false";
+defparam \top_grid_x22[1]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y22_N16
+cycloneiii_io_obuf \top_grid_x22[2]~output (
+ .i(\Add36~4_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x22[2]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x22[2]~output .bus_hold = "false";
+defparam \top_grid_x22[2]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y21_N16
+cycloneiii_io_obuf \top_grid_x22[3]~output (
+ .i(\Add36~6_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x22[3]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x22[3]~output .bus_hold = "false";
+defparam \top_grid_x22[3]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y21_N9
+cycloneiii_io_obuf \top_grid_x22[4]~output (
+ .i(\Add36~8_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x22[4]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x22[4]~output .bus_hold = "false";
+defparam \top_grid_x22[4]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y22_N2
+cycloneiii_io_obuf \top_grid_x22[5]~output (
+ .i(\Add36~10_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x22[5]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x22[5]~output .bus_hold = "false";
+defparam \top_grid_x22[5]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y23_N9
+cycloneiii_io_obuf \top_grid_x22[6]~output (
+ .i(\Add36~12_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x22[6]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x22[6]~output .bus_hold = "false";
+defparam \top_grid_x22[6]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y21_N23
+cycloneiii_io_obuf \top_grid_x22[7]~output (
+ .i(\Add36~14_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x22[7]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x22[7]~output .bus_hold = "false";
+defparam \top_grid_x22[7]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y21_N2
+cycloneiii_io_obuf \top_grid_x22[8]~output (
+ .i(\Add36~16_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x22[8]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x22[8]~output .bus_hold = "false";
+defparam \top_grid_x22[8]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X32_Y29_N2
+cycloneiii_io_obuf \top_grid_x22[9]~output (
+ .i(\Add36~18_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x22[9]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x22[9]~output .bus_hold = "false";
+defparam \top_grid_x22[9]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y14_N16
+cycloneiii_io_obuf \top_grid_x23[0]~output (
+ .i(\Add37~0_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x23[0]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x23[0]~output .bus_hold = "false";
+defparam \top_grid_x23[0]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X0_Y25_N23
+cycloneiii_io_obuf \top_grid_x23[1]~output (
+ .i(\Add37~2_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x23[1]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x23[1]~output .bus_hold = "false";
+defparam \top_grid_x23[1]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y14_N23
+cycloneiii_io_obuf \top_grid_x23[2]~output (
+ .i(\Add37~4_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x23[2]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x23[2]~output .bus_hold = "false";
+defparam \top_grid_x23[2]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y3_N16
+cycloneiii_io_obuf \top_grid_x23[3]~output (
+ .i(\Add37~6_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x23[3]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x23[3]~output .bus_hold = "false";
+defparam \top_grid_x23[3]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y14_N9
+cycloneiii_io_obuf \top_grid_x23[4]~output (
+ .i(\Add37~8_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x23[4]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x23[4]~output .bus_hold = "false";
+defparam \top_grid_x23[4]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y10_N16
+cycloneiii_io_obuf \top_grid_x23[5]~output (
+ .i(\Add37~10_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x23[5]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x23[5]~output .bus_hold = "false";
+defparam \top_grid_x23[5]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X30_Y0_N30
+cycloneiii_io_obuf \top_grid_x23[6]~output (
+ .i(\Add37~12_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x23[6]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x23[6]~output .bus_hold = "false";
+defparam \top_grid_x23[6]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y14_N2
+cycloneiii_io_obuf \top_grid_x23[7]~output (
+ .i(\Add37~14_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x23[7]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x23[7]~output .bus_hold = "false";
+defparam \top_grid_x23[7]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X0_Y22_N23
+cycloneiii_io_obuf \top_grid_x23[8]~output (
+ .i(\Add37~16_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x23[8]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x23[8]~output .bus_hold = "false";
+defparam \top_grid_x23[8]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y10_N9
+cycloneiii_io_obuf \top_grid_x23[9]~output (
+ .i(\Add37~18_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x23[9]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x23[9]~output .bus_hold = "false";
+defparam \top_grid_x23[9]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X35_Y29_N23
+cycloneiii_io_obuf \top_grid_x24[0]~output (
+ .i(\Add39~0_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x24[0]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x24[0]~output .bus_hold = "false";
+defparam \top_grid_x24[0]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X35_Y29_N9
+cycloneiii_io_obuf \top_grid_x24[1]~output (
+ .i(\Add39~2_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x24[1]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x24[1]~output .bus_hold = "false";
+defparam \top_grid_x24[1]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X37_Y29_N9
+cycloneiii_io_obuf \top_grid_x24[2]~output (
+ .i(\Add39~4_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x24[2]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x24[2]~output .bus_hold = "false";
+defparam \top_grid_x24[2]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X32_Y29_N9
+cycloneiii_io_obuf \top_grid_x24[3]~output (
+ .i(\Add39~6_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x24[3]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x24[3]~output .bus_hold = "false";
+defparam \top_grid_x24[3]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y26_N23
+cycloneiii_io_obuf \top_grid_x24[4]~output (
+ .i(\Add39~8_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x24[4]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x24[4]~output .bus_hold = "false";
+defparam \top_grid_x24[4]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X32_Y29_N30
+cycloneiii_io_obuf \top_grid_x24[5]~output (
+ .i(\Add39~10_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x24[5]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x24[5]~output .bus_hold = "false";
+defparam \top_grid_x24[5]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X32_Y29_N16
+cycloneiii_io_obuf \top_grid_x24[6]~output (
+ .i(\Add39~12_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x24[6]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x24[6]~output .bus_hold = "false";
+defparam \top_grid_x24[6]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X28_Y29_N2
+cycloneiii_io_obuf \top_grid_x24[7]~output (
+ .i(\Add39~14_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x24[7]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x24[7]~output .bus_hold = "false";
+defparam \top_grid_x24[7]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X35_Y29_N2
+cycloneiii_io_obuf \top_grid_x24[8]~output (
+ .i(\Add39~16_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x24[8]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x24[8]~output .bus_hold = "false";
+defparam \top_grid_x24[8]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X32_Y29_N23
+cycloneiii_io_obuf \top_grid_x24[9]~output (
+ .i(\Add39~18_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x24[9]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x24[9]~output .bus_hold = "false";
+defparam \top_grid_x24[9]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X14_Y0_N2
+cycloneiii_io_obuf \top_grid_x25[0]~output (
+ .i(\Add40~0_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x25[0]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x25[0]~output .bus_hold = "false";
+defparam \top_grid_x25[0]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X0_Y22_N2
+cycloneiii_io_obuf \top_grid_x25[1]~output (
+ .i(\Add40~2_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x25[1]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x25[1]~output .bus_hold = "false";
+defparam \top_grid_x25[1]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X0_Y22_N9
+cycloneiii_io_obuf \top_grid_x25[2]~output (
+ .i(\Add40~4_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x25[2]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x25[2]~output .bus_hold = "false";
+defparam \top_grid_x25[2]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X14_Y0_N16
+cycloneiii_io_obuf \top_grid_x25[3]~output (
+ .i(\Add40~6_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x25[3]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x25[3]~output .bus_hold = "false";
+defparam \top_grid_x25[3]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X16_Y0_N30
+cycloneiii_io_obuf \top_grid_x25[4]~output (
+ .i(\Add40~8_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x25[4]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x25[4]~output .bus_hold = "false";
+defparam \top_grid_x25[4]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X14_Y0_N9
+cycloneiii_io_obuf \top_grid_x25[5]~output (
+ .i(\Add40~10_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x25[5]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x25[5]~output .bus_hold = "false";
+defparam \top_grid_x25[5]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X11_Y0_N9
+cycloneiii_io_obuf \top_grid_x25[6]~output (
+ .i(\Add40~12_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x25[6]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x25[6]~output .bus_hold = "false";
+defparam \top_grid_x25[6]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X11_Y29_N23
+cycloneiii_io_obuf \top_grid_x25[7]~output (
+ .i(\Add40~14_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x25[7]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x25[7]~output .bus_hold = "false";
+defparam \top_grid_x25[7]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X0_Y22_N16
+cycloneiii_io_obuf \top_grid_x25[8]~output (
+ .i(\Add40~16_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x25[8]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x25[8]~output .bus_hold = "false";
+defparam \top_grid_x25[8]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X11_Y0_N23
+cycloneiii_io_obuf \top_grid_x25[9]~output (
+ .i(\Add40~18_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x25[9]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x25[9]~output .bus_hold = "false";
+defparam \top_grid_x25[9]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X0_Y6_N23
+cycloneiii_io_obuf \top_grid_x26[0]~output (
+ .i(\Add41~0_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x26[0]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x26[0]~output .bus_hold = "false";
+defparam \top_grid_x26[0]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X11_Y0_N16
+cycloneiii_io_obuf \top_grid_x26[1]~output (
+ .i(\Add41~2_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x26[1]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x26[1]~output .bus_hold = "false";
+defparam \top_grid_x26[1]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X11_Y0_N30
+cycloneiii_io_obuf \top_grid_x26[2]~output (
+ .i(\Add41~4_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x26[2]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x26[2]~output .bus_hold = "false";
+defparam \top_grid_x26[2]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X0_Y12_N23
+cycloneiii_io_obuf \top_grid_x26[3]~output (
+ .i(\Add41~6_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x26[3]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x26[3]~output .bus_hold = "false";
+defparam \top_grid_x26[3]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X11_Y29_N30
+cycloneiii_io_obuf \top_grid_x26[4]~output (
+ .i(\Add41~8_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x26[4]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x26[4]~output .bus_hold = "false";
+defparam \top_grid_x26[4]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X0_Y12_N9
+cycloneiii_io_obuf \top_grid_x26[5]~output (
+ .i(\Add41~10_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x26[5]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x26[5]~output .bus_hold = "false";
+defparam \top_grid_x26[5]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X9_Y29_N16
+cycloneiii_io_obuf \top_grid_x26[6]~output (
+ .i(\Add41~12_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x26[6]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x26[6]~output .bus_hold = "false";
+defparam \top_grid_x26[6]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X11_Y0_N2
+cycloneiii_io_obuf \top_grid_x26[7]~output (
+ .i(\Add41~14_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x26[7]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x26[7]~output .bus_hold = "false";
+defparam \top_grid_x26[7]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X14_Y0_N23
+cycloneiii_io_obuf \top_grid_x26[8]~output (
+ .i(\Add41~16_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x26[8]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x26[8]~output .bus_hold = "false";
+defparam \top_grid_x26[8]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X0_Y12_N16
+cycloneiii_io_obuf \top_grid_x26[9]~output (
+ .i(\Add41~18_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x26[9]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x26[9]~output .bus_hold = "false";
+defparam \top_grid_x26[9]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X16_Y0_N16
+cycloneiii_io_obuf \top_grid_x27[0]~output (
+ .i(\Add42~0_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x27[0]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x27[0]~output .bus_hold = "false";
+defparam \top_grid_x27[0]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X19_Y0_N16
+cycloneiii_io_obuf \top_grid_x27[1]~output (
+ .i(\Add42~2_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x27[1]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x27[1]~output .bus_hold = "false";
+defparam \top_grid_x27[1]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X19_Y0_N30
+cycloneiii_io_obuf \top_grid_x27[2]~output (
+ .i(\Add42~4_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x27[2]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x27[2]~output .bus_hold = "false";
+defparam \top_grid_x27[2]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y8_N2
+cycloneiii_io_obuf \top_grid_x27[3]~output (
+ .i(\Add42~6_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x27[3]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x27[3]~output .bus_hold = "false";
+defparam \top_grid_x27[3]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X19_Y0_N2
+cycloneiii_io_obuf \top_grid_x27[4]~output (
+ .i(\Add42~8_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x27[4]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x27[4]~output .bus_hold = "false";
+defparam \top_grid_x27[4]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X16_Y0_N23
+cycloneiii_io_obuf \top_grid_x27[5]~output (
+ .i(\Add42~10_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x27[5]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x27[5]~output .bus_hold = "false";
+defparam \top_grid_x27[5]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X16_Y0_N2
+cycloneiii_io_obuf \top_grid_x27[6]~output (
+ .i(\Add42~12_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x27[6]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x27[6]~output .bus_hold = "false";
+defparam \top_grid_x27[6]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X19_Y0_N9
+cycloneiii_io_obuf \top_grid_x27[7]~output (
+ .i(\Add42~14_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x27[7]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x27[7]~output .bus_hold = "false";
+defparam \top_grid_x27[7]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X21_Y0_N30
+cycloneiii_io_obuf \top_grid_x27[8]~output (
+ .i(\Add42~16_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x27[8]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x27[8]~output .bus_hold = "false";
+defparam \top_grid_x27[8]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X19_Y0_N23
+cycloneiii_io_obuf \top_grid_x27[9]~output (
+ .i(\Add42~18_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x27[9]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x27[9]~output .bus_hold = "false";
+defparam \top_grid_x27[9]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y6_N23
+cycloneiii_io_obuf \top_grid_x28[0]~output (
+ .i(\Add43~0_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x28[0]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x28[0]~output .bus_hold = "false";
+defparam \top_grid_x28[0]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y5_N23
+cycloneiii_io_obuf \top_grid_x28[1]~output (
+ .i(\Add43~2_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x28[1]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x28[1]~output .bus_hold = "false";
+defparam \top_grid_x28[1]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y11_N2
+cycloneiii_io_obuf \top_grid_x28[2]~output (
+ .i(\Add43~4_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x28[2]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x28[2]~output .bus_hold = "false";
+defparam \top_grid_x28[2]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y2_N23
+cycloneiii_io_obuf \top_grid_x28[3]~output (
+ .i(\Add43~6_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x28[3]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x28[3]~output .bus_hold = "false";
+defparam \top_grid_x28[3]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y8_N16
+cycloneiii_io_obuf \top_grid_x28[4]~output (
+ .i(\Add43~8_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x28[4]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x28[4]~output .bus_hold = "false";
+defparam \top_grid_x28[4]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y7_N23
+cycloneiii_io_obuf \top_grid_x28[5]~output (
+ .i(\Add43~10_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x28[5]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x28[5]~output .bus_hold = "false";
+defparam \top_grid_x28[5]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y7_N16
+cycloneiii_io_obuf \top_grid_x28[6]~output (
+ .i(\Add43~12_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x28[6]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x28[6]~output .bus_hold = "false";
+defparam \top_grid_x28[6]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y8_N9
+cycloneiii_io_obuf \top_grid_x28[7]~output (
+ .i(\Add43~14_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x28[7]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x28[7]~output .bus_hold = "false";
+defparam \top_grid_x28[7]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y2_N2
+cycloneiii_io_obuf \top_grid_x28[8]~output (
+ .i(\Add43~16_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x28[8]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x28[8]~output .bus_hold = "false";
+defparam \top_grid_x28[8]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y3_N9
+cycloneiii_io_obuf \top_grid_x28[9]~output (
+ .i(\Add43~18_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x28[9]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x28[9]~output .bus_hold = "false";
+defparam \top_grid_x28[9]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X23_Y0_N30
+cycloneiii_io_obuf \top_grid_x29[0]~output (
+ .i(\Add44~0_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x29[0]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x29[0]~output .bus_hold = "false";
+defparam \top_grid_x29[0]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X23_Y0_N2
+cycloneiii_io_obuf \top_grid_x29[1]~output (
+ .i(\Add44~2_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x29[1]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x29[1]~output .bus_hold = "false";
+defparam \top_grid_x29[1]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X41_Y7_N2
+cycloneiii_io_obuf \top_grid_x29[2]~output (
+ .i(\Add44~4_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x29[2]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x29[2]~output .bus_hold = "false";
+defparam \top_grid_x29[2]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X0_Y7_N23
+cycloneiii_io_obuf \top_grid_x29[3]~output (
+ .i(\Add44~6_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x29[3]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x29[3]~output .bus_hold = "false";
+defparam \top_grid_x29[3]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X26_Y0_N30
+cycloneiii_io_obuf \top_grid_x29[4]~output (
+ .i(\Add44~8_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x29[4]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x29[4]~output .bus_hold = "false";
+defparam \top_grid_x29[4]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X28_Y0_N16
+cycloneiii_io_obuf \top_grid_x29[5]~output (
+ .i(\Add44~10_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x29[5]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x29[5]~output .bus_hold = "false";
+defparam \top_grid_x29[5]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X26_Y0_N2
+cycloneiii_io_obuf \top_grid_x29[6]~output (
+ .i(\Add44~12_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x29[6]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x29[6]~output .bus_hold = "false";
+defparam \top_grid_x29[6]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X23_Y0_N9
+cycloneiii_io_obuf \top_grid_x29[7]~output (
+ .i(\Add44~14_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x29[7]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x29[7]~output .bus_hold = "false";
+defparam \top_grid_x29[7]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X23_Y0_N16
+cycloneiii_io_obuf \top_grid_x29[8]~output (
+ .i(\Add44~16_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x29[8]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x29[8]~output .bus_hold = "false";
+defparam \top_grid_x29[8]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X23_Y0_N23
+cycloneiii_io_obuf \top_grid_x29[9]~output (
+ .i(\Add44~18_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x29[9]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x29[9]~output .bus_hold = "false";
+defparam \top_grid_x29[9]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X0_Y3_N9
+cycloneiii_io_obuf \top_grid_x30[0]~output (
+ .i(\Add45~0_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x30[0]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x30[0]~output .bus_hold = "false";
+defparam \top_grid_x30[0]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X0_Y2_N2
+cycloneiii_io_obuf \top_grid_x30[1]~output (
+ .i(\Add45~2_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x30[1]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x30[1]~output .bus_hold = "false";
+defparam \top_grid_x30[1]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X0_Y4_N2
+cycloneiii_io_obuf \top_grid_x30[2]~output (
+ .i(\Add45~4_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x30[2]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x30[2]~output .bus_hold = "false";
+defparam \top_grid_x30[2]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X0_Y2_N23
+cycloneiii_io_obuf \top_grid_x30[3]~output (
+ .i(\Add45~6_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x30[3]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x30[3]~output .bus_hold = "false";
+defparam \top_grid_x30[3]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X1_Y0_N16
+cycloneiii_io_obuf \top_grid_x30[4]~output (
+ .i(\Add45~8_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x30[4]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x30[4]~output .bus_hold = "false";
+defparam \top_grid_x30[4]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X3_Y0_N23
+cycloneiii_io_obuf \top_grid_x30[5]~output (
+ .i(\Add45~10_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x30[5]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x30[5]~output .bus_hold = "false";
+defparam \top_grid_x30[5]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X1_Y0_N9
+cycloneiii_io_obuf \top_grid_x30[6]~output (
+ .i(\Add45~12_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x30[6]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x30[6]~output .bus_hold = "false";
+defparam \top_grid_x30[6]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X0_Y4_N23
+cycloneiii_io_obuf \top_grid_x30[7]~output (
+ .i(\Add45~14_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x30[7]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x30[7]~output .bus_hold = "false";
+defparam \top_grid_x30[7]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X0_Y4_N9
+cycloneiii_io_obuf \top_grid_x30[8]~output (
+ .i(\Add45~16_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x30[8]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x30[8]~output .bus_hold = "false";
+defparam \top_grid_x30[8]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X0_Y2_N16
+cycloneiii_io_obuf \top_grid_x30[9]~output (
+ .i(\Add45~18_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x30[9]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x30[9]~output .bus_hold = "false";
+defparam \top_grid_x30[9]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X0_Y24_N16
+cycloneiii_io_obuf \top_grid_x31[0]~output (
+ .i(\Add47~0_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x31[0]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x31[0]~output .bus_hold = "false";
+defparam \top_grid_x31[0]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X16_Y0_N9
+cycloneiii_io_obuf \top_grid_x31[1]~output (
+ .i(\Add47~2_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x31[1]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x31[1]~output .bus_hold = "false";
+defparam \top_grid_x31[1]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X14_Y29_N16
+cycloneiii_io_obuf \top_grid_x31[2]~output (
+ .i(\Add47~4_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x31[2]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x31[2]~output .bus_hold = "false";
+defparam \top_grid_x31[2]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X11_Y29_N2
+cycloneiii_io_obuf \top_grid_x31[3]~output (
+ .i(\Add47~6_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x31[3]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x31[3]~output .bus_hold = "false";
+defparam \top_grid_x31[3]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X0_Y24_N2
+cycloneiii_io_obuf \top_grid_x31[4]~output (
+ .i(\Add47~8_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x31[4]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x31[4]~output .bus_hold = "false";
+defparam \top_grid_x31[4]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X14_Y29_N2
+cycloneiii_io_obuf \top_grid_x31[5]~output (
+ .i(\Add47~10_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x31[5]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x31[5]~output .bus_hold = "false";
+defparam \top_grid_x31[5]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X16_Y29_N23
+cycloneiii_io_obuf \top_grid_x31[6]~output (
+ .i(\Add47~12_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x31[6]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x31[6]~output .bus_hold = "false";
+defparam \top_grid_x31[6]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X0_Y21_N9
+cycloneiii_io_obuf \top_grid_x31[7]~output (
+ .i(\Add47~14_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x31[7]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x31[7]~output .bus_hold = "false";
+defparam \top_grid_x31[7]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X11_Y29_N16
+cycloneiii_io_obuf \top_grid_x31[8]~output (
+ .i(\Add47~16_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x31[8]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x31[8]~output .bus_hold = "false";
+defparam \top_grid_x31[8]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X14_Y29_N9
+cycloneiii_io_obuf \top_grid_x31[9]~output (
+ .i(\Add47~18_combout ),
+ .oe(vcc),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(\top_grid_x31[9]~output_o ),
+ .obar());
+// synopsys translate_off
+defparam \top_grid_x31[9]~output .bus_hold = "false";
+defparam \top_grid_x31[9]~output .open_drain_output = "false";
+// synopsys translate_on
+
+// Location: IOIBUF_X37_Y0_N1
+cycloneiii_io_ibuf \first_red_pos_x[0]~input (
+ .i(first_red_pos_x[0]),
+ .ibar(gnd),
+ .o(\first_red_pos_x[0]~input_o ));
+// synopsys translate_off
+defparam \first_red_pos_x[0]~input .bus_hold = "false";
+defparam \first_red_pos_x[0]~input .simulate_z_as = "z";
+// synopsys translate_on
+
+// Location: IOIBUF_X7_Y29_N15
+cycloneiii_io_ibuf \first_red_pos_x[1]~input (
+ .i(first_red_pos_x[1]),
+ .ibar(gnd),
+ .o(\first_red_pos_x[1]~input_o ));
+// synopsys translate_off
+defparam \first_red_pos_x[1]~input .bus_hold = "false";
+defparam \first_red_pos_x[1]~input .simulate_z_as = "z";
+// synopsys translate_on
+
+// Location: IOIBUF_X0_Y25_N15
+cycloneiii_io_ibuf \first_red_pos_x[2]~input (
+ .i(first_red_pos_x[2]),
+ .ibar(gnd),
+ .o(\first_red_pos_x[2]~input_o ));
+// synopsys translate_off
+defparam \first_red_pos_x[2]~input .bus_hold = "false";
+defparam \first_red_pos_x[2]~input .simulate_z_as = "z";
+// synopsys translate_on
+
+// Location: IOIBUF_X3_Y29_N22
+cycloneiii_io_ibuf \first_red_pos_x[3]~input (
+ .i(first_red_pos_x[3]),
+ .ibar(gnd),
+ .o(\first_red_pos_x[3]~input_o ));
+// synopsys translate_off
+defparam \first_red_pos_x[3]~input .bus_hold = "false";
+defparam \first_red_pos_x[3]~input .simulate_z_as = "z";
+// synopsys translate_on
+
+// Location: IOIBUF_X0_Y14_N1
+cycloneiii_io_ibuf \first_red_pos_x[4]~input (
+ .i(first_red_pos_x[4]),
+ .ibar(gnd),
+ .o(\first_red_pos_x[4]~input_o ));
+// synopsys translate_off
+defparam \first_red_pos_x[4]~input .bus_hold = "false";
+defparam \first_red_pos_x[4]~input .simulate_z_as = "z";
+// synopsys translate_on
+
+// Location: IOIBUF_X0_Y14_N8
+cycloneiii_io_ibuf \first_red_pos_x[5]~input (
+ .i(first_red_pos_x[5]),
+ .ibar(gnd),
+ .o(\first_red_pos_x[5]~input_o ));
+// synopsys translate_off
+defparam \first_red_pos_x[5]~input .bus_hold = "false";
+defparam \first_red_pos_x[5]~input .simulate_z_as = "z";
+// synopsys translate_on
+
+// Location: IOIBUF_X3_Y0_N1
+cycloneiii_io_ibuf \first_red_pos_x[6]~input (
+ .i(first_red_pos_x[6]),
+ .ibar(gnd),
+ .o(\first_red_pos_x[6]~input_o ));
+// synopsys translate_off
+defparam \first_red_pos_x[6]~input .bus_hold = "false";
+defparam \first_red_pos_x[6]~input .simulate_z_as = "z";
+// synopsys translate_on
+
+// Location: IOIBUF_X7_Y29_N22
+cycloneiii_io_ibuf \first_red_pos_x[7]~input (
+ .i(first_red_pos_x[7]),
+ .ibar(gnd),
+ .o(\first_red_pos_x[7]~input_o ));
+// synopsys translate_off
+defparam \first_red_pos_x[7]~input .bus_hold = "false";
+defparam \first_red_pos_x[7]~input .simulate_z_as = "z";
+// synopsys translate_on
+
+// Location: IOIBUF_X0_Y14_N15
+cycloneiii_io_ibuf \first_red_pos_x[8]~input (
+ .i(first_red_pos_x[8]),
+ .ibar(gnd),
+ .o(\first_red_pos_x[8]~input_o ));
+// synopsys translate_off
+defparam \first_red_pos_x[8]~input .bus_hold = "false";
+defparam \first_red_pos_x[8]~input .simulate_z_as = "z";
+// synopsys translate_on
+
+// Location: IOIBUF_X0_Y14_N22
+cycloneiii_io_ibuf \first_red_pos_x[9]~input (
+ .i(first_red_pos_x[9]),
+ .ibar(gnd),
+ .o(\first_red_pos_x[9]~input_o ));
+// synopsys translate_off
+defparam \first_red_pos_x[9]~input .bus_hold = "false";
+defparam \first_red_pos_x[9]~input .simulate_z_as = "z";
+// synopsys translate_on
+
+// Location: IOIBUF_X21_Y0_N8
+cycloneiii_io_ibuf \sec_red_pos_x[3]~input (
+ .i(sec_red_pos_x[3]),
+ .ibar(gnd),
+ .o(\sec_red_pos_x[3]~input_o ));
+// synopsys translate_off
+defparam \sec_red_pos_x[3]~input .bus_hold = "false";
+defparam \sec_red_pos_x[3]~input .simulate_z_as = "z";
+// synopsys translate_on
+
+// Location: IOIBUF_X41_Y15_N22
+cycloneiii_io_ibuf \sec_red_pos_x[1]~input (
+ .i(sec_red_pos_x[1]),
+ .ibar(gnd),
+ .o(\sec_red_pos_x[1]~input_o ));
+// synopsys translate_off
+defparam \sec_red_pos_x[1]~input .bus_hold = "false";
+defparam \sec_red_pos_x[1]~input .simulate_z_as = "z";
+// synopsys translate_on
+
+// Location: LCCOMB_X22_Y11_N0
+cycloneiii_lcell_comb \Add0~0 (
+// Equation(s):
+// \Add0~0_combout = (\sec_red_pos_x[0]~input_o & ((GND) # (!\first_red_pos_x[0]~input_o ))) # (!\sec_red_pos_x[0]~input_o & (\first_red_pos_x[0]~input_o $ (GND)))
+// \Add0~1 = CARRY((\sec_red_pos_x[0]~input_o ) # (!\first_red_pos_x[0]~input_o ))
+
+ .dataa(\sec_red_pos_x[0]~input_o ),
+ .datab(\first_red_pos_x[0]~input_o ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(\Add0~0_combout ),
+ .cout(\Add0~1 ));
+// synopsys translate_off
+defparam \Add0~0 .lut_mask = 16'h66BB;
+defparam \Add0~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X22_Y11_N2
+cycloneiii_lcell_comb \Add0~2 (
+// Equation(s):
+// \Add0~2_combout = (\first_red_pos_x[1]~input_o & ((\sec_red_pos_x[1]~input_o & (!\Add0~1 )) # (!\sec_red_pos_x[1]~input_o & ((\Add0~1 ) # (GND))))) # (!\first_red_pos_x[1]~input_o & ((\sec_red_pos_x[1]~input_o & (\Add0~1 & VCC)) #
+// (!\sec_red_pos_x[1]~input_o & (!\Add0~1 ))))
+// \Add0~3 = CARRY((\first_red_pos_x[1]~input_o & ((!\Add0~1 ) # (!\sec_red_pos_x[1]~input_o ))) # (!\first_red_pos_x[1]~input_o & (!\sec_red_pos_x[1]~input_o & !\Add0~1 )))
+
+ .dataa(\first_red_pos_x[1]~input_o ),
+ .datab(\sec_red_pos_x[1]~input_o ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add0~1 ),
+ .combout(\Add0~2_combout ),
+ .cout(\Add0~3 ));
+// synopsys translate_off
+defparam \Add0~2 .lut_mask = 16'h692B;
+defparam \Add0~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X22_Y11_N4
+cycloneiii_lcell_comb \Add0~4 (
+// Equation(s):
+// \Add0~4_combout = ((\sec_red_pos_x[2]~input_o $ (\first_red_pos_x[2]~input_o $ (\Add0~3 )))) # (GND)
+// \Add0~5 = CARRY((\sec_red_pos_x[2]~input_o & ((!\Add0~3 ) # (!\first_red_pos_x[2]~input_o ))) # (!\sec_red_pos_x[2]~input_o & (!\first_red_pos_x[2]~input_o & !\Add0~3 )))
+
+ .dataa(\sec_red_pos_x[2]~input_o ),
+ .datab(\first_red_pos_x[2]~input_o ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add0~3 ),
+ .combout(\Add0~4_combout ),
+ .cout(\Add0~5 ));
+// synopsys translate_off
+defparam \Add0~4 .lut_mask = 16'h962B;
+defparam \Add0~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X22_Y11_N6
+cycloneiii_lcell_comb \Add0~6 (
+// Equation(s):
+// \Add0~6_combout = (\first_red_pos_x[3]~input_o & ((\sec_red_pos_x[3]~input_o & (!\Add0~5 )) # (!\sec_red_pos_x[3]~input_o & ((\Add0~5 ) # (GND))))) # (!\first_red_pos_x[3]~input_o & ((\sec_red_pos_x[3]~input_o & (\Add0~5 & VCC)) #
+// (!\sec_red_pos_x[3]~input_o & (!\Add0~5 ))))
+// \Add0~7 = CARRY((\first_red_pos_x[3]~input_o & ((!\Add0~5 ) # (!\sec_red_pos_x[3]~input_o ))) # (!\first_red_pos_x[3]~input_o & (!\sec_red_pos_x[3]~input_o & !\Add0~5 )))
+
+ .dataa(\first_red_pos_x[3]~input_o ),
+ .datab(\sec_red_pos_x[3]~input_o ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add0~5 ),
+ .combout(\Add0~6_combout ),
+ .cout(\Add0~7 ));
+// synopsys translate_off
+defparam \Add0~6 .lut_mask = 16'h692B;
+defparam \Add0~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X22_Y11_N8
+cycloneiii_lcell_comb \Add0~8 (
+// Equation(s):
+// \Add0~8_combout = ((\sec_red_pos_x[4]~input_o $ (\first_red_pos_x[4]~input_o $ (\Add0~7 )))) # (GND)
+// \Add0~9 = CARRY((\sec_red_pos_x[4]~input_o & ((!\Add0~7 ) # (!\first_red_pos_x[4]~input_o ))) # (!\sec_red_pos_x[4]~input_o & (!\first_red_pos_x[4]~input_o & !\Add0~7 )))
+
+ .dataa(\sec_red_pos_x[4]~input_o ),
+ .datab(\first_red_pos_x[4]~input_o ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add0~7 ),
+ .combout(\Add0~8_combout ),
+ .cout(\Add0~9 ));
+// synopsys translate_off
+defparam \Add0~8 .lut_mask = 16'h962B;
+defparam \Add0~8 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X22_Y11_N10
+cycloneiii_lcell_comb \Add0~10 (
+// Equation(s):
+// \Add0~10_combout = (\sec_red_pos_x[5]~input_o & ((\first_red_pos_x[5]~input_o & (!\Add0~9 )) # (!\first_red_pos_x[5]~input_o & (\Add0~9 & VCC)))) # (!\sec_red_pos_x[5]~input_o & ((\first_red_pos_x[5]~input_o & ((\Add0~9 ) # (GND))) #
+// (!\first_red_pos_x[5]~input_o & (!\Add0~9 ))))
+// \Add0~11 = CARRY((\sec_red_pos_x[5]~input_o & (\first_red_pos_x[5]~input_o & !\Add0~9 )) # (!\sec_red_pos_x[5]~input_o & ((\first_red_pos_x[5]~input_o ) # (!\Add0~9 ))))
+
+ .dataa(\sec_red_pos_x[5]~input_o ),
+ .datab(\first_red_pos_x[5]~input_o ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add0~9 ),
+ .combout(\Add0~10_combout ),
+ .cout(\Add0~11 ));
+// synopsys translate_off
+defparam \Add0~10 .lut_mask = 16'h694D;
+defparam \Add0~10 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X26_Y27_N4
+cycloneiii_lcell_comb \Add1~0 (
+// Equation(s):
+// \Add1~0_combout = (\Add0~10_combout & (\first_red_pos_x[0]~input_o $ (VCC))) # (!\Add0~10_combout & (\first_red_pos_x[0]~input_o & VCC))
+// \Add1~1 = CARRY((\Add0~10_combout & \first_red_pos_x[0]~input_o ))
+
+ .dataa(\Add0~10_combout ),
+ .datab(\first_red_pos_x[0]~input_o ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(\Add1~0_combout ),
+ .cout(\Add1~1 ));
+// synopsys translate_off
+defparam \Add1~0 .lut_mask = 16'h6688;
+defparam \Add1~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: IOIBUF_X41_Y15_N8
+cycloneiii_io_ibuf \sec_red_pos_x[6]~input (
+ .i(sec_red_pos_x[6]),
+ .ibar(gnd),
+ .o(\sec_red_pos_x[6]~input_o ));
+// synopsys translate_off
+defparam \sec_red_pos_x[6]~input .bus_hold = "false";
+defparam \sec_red_pos_x[6]~input .simulate_z_as = "z";
+// synopsys translate_on
+
+// Location: LCCOMB_X22_Y11_N12
+cycloneiii_lcell_comb \Add0~12 (
+// Equation(s):
+// \Add0~12_combout = ((\first_red_pos_x[6]~input_o $ (\sec_red_pos_x[6]~input_o $ (\Add0~11 )))) # (GND)
+// \Add0~13 = CARRY((\first_red_pos_x[6]~input_o & (\sec_red_pos_x[6]~input_o & !\Add0~11 )) # (!\first_red_pos_x[6]~input_o & ((\sec_red_pos_x[6]~input_o ) # (!\Add0~11 ))))
+
+ .dataa(\first_red_pos_x[6]~input_o ),
+ .datab(\sec_red_pos_x[6]~input_o ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add0~11 ),
+ .combout(\Add0~12_combout ),
+ .cout(\Add0~13 ));
+// synopsys translate_off
+defparam \Add0~12 .lut_mask = 16'h964D;
+defparam \Add0~12 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X26_Y27_N6
+cycloneiii_lcell_comb \Add1~2 (
+// Equation(s):
+// \Add1~2_combout = (\Add0~12_combout & ((\first_red_pos_x[1]~input_o & (\Add1~1 & VCC)) # (!\first_red_pos_x[1]~input_o & (!\Add1~1 )))) # (!\Add0~12_combout & ((\first_red_pos_x[1]~input_o & (!\Add1~1 )) # (!\first_red_pos_x[1]~input_o & ((\Add1~1
+// ) # (GND)))))
+// \Add1~3 = CARRY((\Add0~12_combout & (!\first_red_pos_x[1]~input_o & !\Add1~1 )) # (!\Add0~12_combout & ((!\Add1~1 ) # (!\first_red_pos_x[1]~input_o ))))
+
+ .dataa(\Add0~12_combout ),
+ .datab(\first_red_pos_x[1]~input_o ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add1~1 ),
+ .combout(\Add1~2_combout ),
+ .cout(\Add1~3 ));
+// synopsys translate_off
+defparam \Add1~2 .lut_mask = 16'h9617;
+defparam \Add1~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X22_Y11_N14
+cycloneiii_lcell_comb \Add0~14 (
+// Equation(s):
+// \Add0~14_combout = (\sec_red_pos_x[7]~input_o & ((\first_red_pos_x[7]~input_o & (!\Add0~13 )) # (!\first_red_pos_x[7]~input_o & (\Add0~13 & VCC)))) # (!\sec_red_pos_x[7]~input_o & ((\first_red_pos_x[7]~input_o & ((\Add0~13 ) # (GND))) #
+// (!\first_red_pos_x[7]~input_o & (!\Add0~13 ))))
+// \Add0~15 = CARRY((\sec_red_pos_x[7]~input_o & (\first_red_pos_x[7]~input_o & !\Add0~13 )) # (!\sec_red_pos_x[7]~input_o & ((\first_red_pos_x[7]~input_o ) # (!\Add0~13 ))))
+
+ .dataa(\sec_red_pos_x[7]~input_o ),
+ .datab(\first_red_pos_x[7]~input_o ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add0~13 ),
+ .combout(\Add0~14_combout ),
+ .cout(\Add0~15 ));
+// synopsys translate_off
+defparam \Add0~14 .lut_mask = 16'h694D;
+defparam \Add0~14 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X26_Y27_N8
+cycloneiii_lcell_comb \Add1~4 (
+// Equation(s):
+// \Add1~4_combout = ((\first_red_pos_x[2]~input_o $ (\Add0~14_combout $ (!\Add1~3 )))) # (GND)
+// \Add1~5 = CARRY((\first_red_pos_x[2]~input_o & ((\Add0~14_combout ) # (!\Add1~3 ))) # (!\first_red_pos_x[2]~input_o & (\Add0~14_combout & !\Add1~3 )))
+
+ .dataa(\first_red_pos_x[2]~input_o ),
+ .datab(\Add0~14_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add1~3 ),
+ .combout(\Add1~4_combout ),
+ .cout(\Add1~5 ));
+// synopsys translate_off
+defparam \Add1~4 .lut_mask = 16'h698E;
+defparam \Add1~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: IOIBUF_X19_Y29_N1
+cycloneiii_io_ibuf \sec_red_pos_x[8]~input (
+ .i(sec_red_pos_x[8]),
+ .ibar(gnd),
+ .o(\sec_red_pos_x[8]~input_o ));
+// synopsys translate_off
+defparam \sec_red_pos_x[8]~input .bus_hold = "false";
+defparam \sec_red_pos_x[8]~input .simulate_z_as = "z";
+// synopsys translate_on
+
+// Location: LCCOMB_X22_Y11_N16
+cycloneiii_lcell_comb \Add0~16 (
+// Equation(s):
+// \Add0~16_combout = ((\first_red_pos_x[8]~input_o $ (\sec_red_pos_x[8]~input_o $ (\Add0~15 )))) # (GND)
+// \Add0~17 = CARRY((\first_red_pos_x[8]~input_o & (\sec_red_pos_x[8]~input_o & !\Add0~15 )) # (!\first_red_pos_x[8]~input_o & ((\sec_red_pos_x[8]~input_o ) # (!\Add0~15 ))))
+
+ .dataa(\first_red_pos_x[8]~input_o ),
+ .datab(\sec_red_pos_x[8]~input_o ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add0~15 ),
+ .combout(\Add0~16_combout ),
+ .cout(\Add0~17 ));
+// synopsys translate_off
+defparam \Add0~16 .lut_mask = 16'h964D;
+defparam \Add0~16 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X26_Y27_N10
+cycloneiii_lcell_comb \Add1~6 (
+// Equation(s):
+// \Add1~6_combout = (\Add0~16_combout & ((\first_red_pos_x[3]~input_o & (\Add1~5 & VCC)) # (!\first_red_pos_x[3]~input_o & (!\Add1~5 )))) # (!\Add0~16_combout & ((\first_red_pos_x[3]~input_o & (!\Add1~5 )) # (!\first_red_pos_x[3]~input_o & ((\Add1~5
+// ) # (GND)))))
+// \Add1~7 = CARRY((\Add0~16_combout & (!\first_red_pos_x[3]~input_o & !\Add1~5 )) # (!\Add0~16_combout & ((!\Add1~5 ) # (!\first_red_pos_x[3]~input_o ))))
+
+ .dataa(\Add0~16_combout ),
+ .datab(\first_red_pos_x[3]~input_o ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add1~5 ),
+ .combout(\Add1~6_combout ),
+ .cout(\Add1~7 ));
+// synopsys translate_off
+defparam \Add1~6 .lut_mask = 16'h9617;
+defparam \Add1~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: IOIBUF_X19_Y29_N8
+cycloneiii_io_ibuf \sec_red_pos_x[9]~input (
+ .i(sec_red_pos_x[9]),
+ .ibar(gnd),
+ .o(\sec_red_pos_x[9]~input_o ));
+// synopsys translate_off
+defparam \sec_red_pos_x[9]~input .bus_hold = "false";
+defparam \sec_red_pos_x[9]~input .simulate_z_as = "z";
+// synopsys translate_on
+
+// Location: LCCOMB_X22_Y11_N18
+cycloneiii_lcell_comb \Add0~18 (
+// Equation(s):
+// \Add0~18_combout = (\first_red_pos_x[9]~input_o & ((\sec_red_pos_x[9]~input_o & (!\Add0~17 )) # (!\sec_red_pos_x[9]~input_o & ((\Add0~17 ) # (GND))))) # (!\first_red_pos_x[9]~input_o & ((\sec_red_pos_x[9]~input_o & (\Add0~17 & VCC)) #
+// (!\sec_red_pos_x[9]~input_o & (!\Add0~17 ))))
+// \Add0~19 = CARRY((\first_red_pos_x[9]~input_o & ((!\Add0~17 ) # (!\sec_red_pos_x[9]~input_o ))) # (!\first_red_pos_x[9]~input_o & (!\sec_red_pos_x[9]~input_o & !\Add0~17 )))
+
+ .dataa(\first_red_pos_x[9]~input_o ),
+ .datab(\sec_red_pos_x[9]~input_o ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add0~17 ),
+ .combout(\Add0~18_combout ),
+ .cout(\Add0~19 ));
+// synopsys translate_off
+defparam \Add0~18 .lut_mask = 16'h692B;
+defparam \Add0~18 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X26_Y27_N12
+cycloneiii_lcell_comb \Add1~8 (
+// Equation(s):
+// \Add1~8_combout = ((\first_red_pos_x[4]~input_o $ (\Add0~18_combout $ (!\Add1~7 )))) # (GND)
+// \Add1~9 = CARRY((\first_red_pos_x[4]~input_o & ((\Add0~18_combout ) # (!\Add1~7 ))) # (!\first_red_pos_x[4]~input_o & (\Add0~18_combout & !\Add1~7 )))
+
+ .dataa(\first_red_pos_x[4]~input_o ),
+ .datab(\Add0~18_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add1~7 ),
+ .combout(\Add1~8_combout ),
+ .cout(\Add1~9 ));
+// synopsys translate_off
+defparam \Add1~8 .lut_mask = 16'h698E;
+defparam \Add1~8 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X22_Y11_N20
+cycloneiii_lcell_comb \Add0~20 (
+// Equation(s):
+// \Add0~20_combout = !\Add0~19
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .cin(\Add0~19 ),
+ .combout(\Add0~20_combout ),
+ .cout());
+// synopsys translate_off
+defparam \Add0~20 .lut_mask = 16'h0F0F;
+defparam \Add0~20 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X26_Y27_N14
+cycloneiii_lcell_comb \Add1~10 (
+// Equation(s):
+// \Add1~10_combout = (\first_red_pos_x[5]~input_o & ((\Add0~20_combout & (!\Add1~9 )) # (!\Add0~20_combout & (\Add1~9 & VCC)))) # (!\first_red_pos_x[5]~input_o & ((\Add0~20_combout & ((\Add1~9 ) # (GND))) # (!\Add0~20_combout & (!\Add1~9 ))))
+// \Add1~11 = CARRY((\first_red_pos_x[5]~input_o & (\Add0~20_combout & !\Add1~9 )) # (!\first_red_pos_x[5]~input_o & ((\Add0~20_combout ) # (!\Add1~9 ))))
+
+ .dataa(\first_red_pos_x[5]~input_o ),
+ .datab(\Add0~20_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add1~9 ),
+ .combout(\Add1~10_combout ),
+ .cout(\Add1~11 ));
+// synopsys translate_off
+defparam \Add1~10 .lut_mask = 16'h694D;
+defparam \Add1~10 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X26_Y27_N16
+cycloneiii_lcell_comb \Add1~12 (
+// Equation(s):
+// \Add1~12_combout = ((\first_red_pos_x[6]~input_o $ (\Add0~20_combout $ (\Add1~11 )))) # (GND)
+// \Add1~13 = CARRY((\first_red_pos_x[6]~input_o & ((!\Add1~11 ) # (!\Add0~20_combout ))) # (!\first_red_pos_x[6]~input_o & (!\Add0~20_combout & !\Add1~11 )))
+
+ .dataa(\first_red_pos_x[6]~input_o ),
+ .datab(\Add0~20_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add1~11 ),
+ .combout(\Add1~12_combout ),
+ .cout(\Add1~13 ));
+// synopsys translate_off
+defparam \Add1~12 .lut_mask = 16'h962B;
+defparam \Add1~12 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X26_Y27_N18
+cycloneiii_lcell_comb \Add1~14 (
+// Equation(s):
+// \Add1~14_combout = (\first_red_pos_x[7]~input_o & ((\Add0~20_combout & (!\Add1~13 )) # (!\Add0~20_combout & (\Add1~13 & VCC)))) # (!\first_red_pos_x[7]~input_o & ((\Add0~20_combout & ((\Add1~13 ) # (GND))) # (!\Add0~20_combout & (!\Add1~13 ))))
+// \Add1~15 = CARRY((\first_red_pos_x[7]~input_o & (\Add0~20_combout & !\Add1~13 )) # (!\first_red_pos_x[7]~input_o & ((\Add0~20_combout ) # (!\Add1~13 ))))
+
+ .dataa(\first_red_pos_x[7]~input_o ),
+ .datab(\Add0~20_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add1~13 ),
+ .combout(\Add1~14_combout ),
+ .cout(\Add1~15 ));
+// synopsys translate_off
+defparam \Add1~14 .lut_mask = 16'h694D;
+defparam \Add1~14 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X26_Y27_N20
+cycloneiii_lcell_comb \Add1~16 (
+// Equation(s):
+// \Add1~16_combout = ((\first_red_pos_x[8]~input_o $ (\Add0~20_combout $ (\Add1~15 )))) # (GND)
+// \Add1~17 = CARRY((\first_red_pos_x[8]~input_o & ((!\Add1~15 ) # (!\Add0~20_combout ))) # (!\first_red_pos_x[8]~input_o & (!\Add0~20_combout & !\Add1~15 )))
+
+ .dataa(\first_red_pos_x[8]~input_o ),
+ .datab(\Add0~20_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add1~15 ),
+ .combout(\Add1~16_combout ),
+ .cout(\Add1~17 ));
+// synopsys translate_off
+defparam \Add1~16 .lut_mask = 16'h962B;
+defparam \Add1~16 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X26_Y27_N22
+cycloneiii_lcell_comb \Add1~18 (
+// Equation(s):
+// \Add1~18_combout = \first_red_pos_x[9]~input_o $ (\Add1~17 $ (!\Add0~20_combout ))
+
+ .dataa(\first_red_pos_x[9]~input_o ),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\Add0~20_combout ),
+ .cin(\Add1~17 ),
+ .combout(\Add1~18_combout ),
+ .cout());
+// synopsys translate_off
+defparam \Add1~18 .lut_mask = 16'h5AA5;
+defparam \Add1~18 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y13_N8
+cycloneiii_lcell_comb \Add2~0 (
+// Equation(s):
+// \Add2~0_combout = (\Add0~8_combout & (\first_red_pos_x[0]~input_o $ (VCC))) # (!\Add0~8_combout & (\first_red_pos_x[0]~input_o & VCC))
+// \Add2~1 = CARRY((\Add0~8_combout & \first_red_pos_x[0]~input_o ))
+
+ .dataa(\Add0~8_combout ),
+ .datab(\first_red_pos_x[0]~input_o ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(\Add2~0_combout ),
+ .cout(\Add2~1 ));
+// synopsys translate_off
+defparam \Add2~0 .lut_mask = 16'h6688;
+defparam \Add2~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y13_N10
+cycloneiii_lcell_comb \Add2~2 (
+// Equation(s):
+// \Add2~2_combout = (\Add0~10_combout & ((\first_red_pos_x[1]~input_o & (\Add2~1 & VCC)) # (!\first_red_pos_x[1]~input_o & (!\Add2~1 )))) # (!\Add0~10_combout & ((\first_red_pos_x[1]~input_o & (!\Add2~1 )) # (!\first_red_pos_x[1]~input_o & ((\Add2~1
+// ) # (GND)))))
+// \Add2~3 = CARRY((\Add0~10_combout & (!\first_red_pos_x[1]~input_o & !\Add2~1 )) # (!\Add0~10_combout & ((!\Add2~1 ) # (!\first_red_pos_x[1]~input_o ))))
+
+ .dataa(\Add0~10_combout ),
+ .datab(\first_red_pos_x[1]~input_o ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add2~1 ),
+ .combout(\Add2~2_combout ),
+ .cout(\Add2~3 ));
+// synopsys translate_off
+defparam \Add2~2 .lut_mask = 16'h9617;
+defparam \Add2~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y13_N12
+cycloneiii_lcell_comb \Add2~4 (
+// Equation(s):
+// \Add2~4_combout = ((\first_red_pos_x[2]~input_o $ (\Add0~12_combout $ (!\Add2~3 )))) # (GND)
+// \Add2~5 = CARRY((\first_red_pos_x[2]~input_o & ((\Add0~12_combout ) # (!\Add2~3 ))) # (!\first_red_pos_x[2]~input_o & (\Add0~12_combout & !\Add2~3 )))
+
+ .dataa(\first_red_pos_x[2]~input_o ),
+ .datab(\Add0~12_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add2~3 ),
+ .combout(\Add2~4_combout ),
+ .cout(\Add2~5 ));
+// synopsys translate_off
+defparam \Add2~4 .lut_mask = 16'h698E;
+defparam \Add2~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y13_N14
+cycloneiii_lcell_comb \Add2~6 (
+// Equation(s):
+// \Add2~6_combout = (\first_red_pos_x[3]~input_o & ((\Add0~14_combout & (\Add2~5 & VCC)) # (!\Add0~14_combout & (!\Add2~5 )))) # (!\first_red_pos_x[3]~input_o & ((\Add0~14_combout & (!\Add2~5 )) # (!\Add0~14_combout & ((\Add2~5 ) # (GND)))))
+// \Add2~7 = CARRY((\first_red_pos_x[3]~input_o & (!\Add0~14_combout & !\Add2~5 )) # (!\first_red_pos_x[3]~input_o & ((!\Add2~5 ) # (!\Add0~14_combout ))))
+
+ .dataa(\first_red_pos_x[3]~input_o ),
+ .datab(\Add0~14_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add2~5 ),
+ .combout(\Add2~6_combout ),
+ .cout(\Add2~7 ));
+// synopsys translate_off
+defparam \Add2~6 .lut_mask = 16'h9617;
+defparam \Add2~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y13_N16
+cycloneiii_lcell_comb \Add2~8 (
+// Equation(s):
+// \Add2~8_combout = ((\first_red_pos_x[4]~input_o $ (\Add0~16_combout $ (!\Add2~7 )))) # (GND)
+// \Add2~9 = CARRY((\first_red_pos_x[4]~input_o & ((\Add0~16_combout ) # (!\Add2~7 ))) # (!\first_red_pos_x[4]~input_o & (\Add0~16_combout & !\Add2~7 )))
+
+ .dataa(\first_red_pos_x[4]~input_o ),
+ .datab(\Add0~16_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add2~7 ),
+ .combout(\Add2~8_combout ),
+ .cout(\Add2~9 ));
+// synopsys translate_off
+defparam \Add2~8 .lut_mask = 16'h698E;
+defparam \Add2~8 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y13_N18
+cycloneiii_lcell_comb \Add2~10 (
+// Equation(s):
+// \Add2~10_combout = (\first_red_pos_x[5]~input_o & ((\Add0~18_combout & (\Add2~9 & VCC)) # (!\Add0~18_combout & (!\Add2~9 )))) # (!\first_red_pos_x[5]~input_o & ((\Add0~18_combout & (!\Add2~9 )) # (!\Add0~18_combout & ((\Add2~9 ) # (GND)))))
+// \Add2~11 = CARRY((\first_red_pos_x[5]~input_o & (!\Add0~18_combout & !\Add2~9 )) # (!\first_red_pos_x[5]~input_o & ((!\Add2~9 ) # (!\Add0~18_combout ))))
+
+ .dataa(\first_red_pos_x[5]~input_o ),
+ .datab(\Add0~18_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add2~9 ),
+ .combout(\Add2~10_combout ),
+ .cout(\Add2~11 ));
+// synopsys translate_off
+defparam \Add2~10 .lut_mask = 16'h9617;
+defparam \Add2~10 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y13_N20
+cycloneiii_lcell_comb \Add2~12 (
+// Equation(s):
+// \Add2~12_combout = ((\Add0~20_combout $ (\first_red_pos_x[6]~input_o $ (\Add2~11 )))) # (GND)
+// \Add2~13 = CARRY((\Add0~20_combout & (\first_red_pos_x[6]~input_o & !\Add2~11 )) # (!\Add0~20_combout & ((\first_red_pos_x[6]~input_o ) # (!\Add2~11 ))))
+
+ .dataa(\Add0~20_combout ),
+ .datab(\first_red_pos_x[6]~input_o ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add2~11 ),
+ .combout(\Add2~12_combout ),
+ .cout(\Add2~13 ));
+// synopsys translate_off
+defparam \Add2~12 .lut_mask = 16'h964D;
+defparam \Add2~12 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y13_N22
+cycloneiii_lcell_comb \Add2~14 (
+// Equation(s):
+// \Add2~14_combout = (\Add0~20_combout & ((\first_red_pos_x[7]~input_o & (!\Add2~13 )) # (!\first_red_pos_x[7]~input_o & ((\Add2~13 ) # (GND))))) # (!\Add0~20_combout & ((\first_red_pos_x[7]~input_o & (\Add2~13 & VCC)) # (!\first_red_pos_x[7]~input_o
+// & (!\Add2~13 ))))
+// \Add2~15 = CARRY((\Add0~20_combout & ((!\Add2~13 ) # (!\first_red_pos_x[7]~input_o ))) # (!\Add0~20_combout & (!\first_red_pos_x[7]~input_o & !\Add2~13 )))
+
+ .dataa(\Add0~20_combout ),
+ .datab(\first_red_pos_x[7]~input_o ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add2~13 ),
+ .combout(\Add2~14_combout ),
+ .cout(\Add2~15 ));
+// synopsys translate_off
+defparam \Add2~14 .lut_mask = 16'h692B;
+defparam \Add2~14 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y13_N24
+cycloneiii_lcell_comb \Add2~16 (
+// Equation(s):
+// \Add2~16_combout = ((\Add0~20_combout $ (\first_red_pos_x[8]~input_o $ (\Add2~15 )))) # (GND)
+// \Add2~17 = CARRY((\Add0~20_combout & (\first_red_pos_x[8]~input_o & !\Add2~15 )) # (!\Add0~20_combout & ((\first_red_pos_x[8]~input_o ) # (!\Add2~15 ))))
+
+ .dataa(\Add0~20_combout ),
+ .datab(\first_red_pos_x[8]~input_o ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add2~15 ),
+ .combout(\Add2~16_combout ),
+ .cout(\Add2~17 ));
+// synopsys translate_off
+defparam \Add2~16 .lut_mask = 16'h964D;
+defparam \Add2~16 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y13_N26
+cycloneiii_lcell_comb \Add2~18 (
+// Equation(s):
+// \Add2~18_combout = \first_red_pos_x[9]~input_o $ (\Add2~17 $ (!\Add0~20_combout ))
+
+ .dataa(\first_red_pos_x[9]~input_o ),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\Add0~20_combout ),
+ .cin(\Add2~17 ),
+ .combout(\Add2~18_combout ),
+ .cout());
+// synopsys translate_off
+defparam \Add2~18 .lut_mask = 16'h5AA5;
+defparam \Add2~18 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X7_Y12_N6
+cycloneiii_lcell_comb \Add3~1 (
+// Equation(s):
+// \Add3~1_cout = CARRY((\Add0~0_combout & \Add0~2_combout ))
+
+ .dataa(\Add0~0_combout ),
+ .datab(\Add0~2_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(),
+ .cout(\Add3~1_cout ));
+// synopsys translate_off
+defparam \Add3~1 .lut_mask = 16'h0088;
+defparam \Add3~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X7_Y12_N8
+cycloneiii_lcell_comb \Add3~3 (
+// Equation(s):
+// \Add3~3_cout = CARRY((\Add0~4_combout & (!\Add0~2_combout & !\Add3~1_cout )) # (!\Add0~4_combout & ((!\Add3~1_cout ) # (!\Add0~2_combout ))))
+
+ .dataa(\Add0~4_combout ),
+ .datab(\Add0~2_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add3~1_cout ),
+ .combout(),
+ .cout(\Add3~3_cout ));
+// synopsys translate_off
+defparam \Add3~3 .lut_mask = 16'h0017;
+defparam \Add3~3 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X7_Y12_N10
+cycloneiii_lcell_comb \Add3~4 (
+// Equation(s):
+// \Add3~4_combout = ((\Add0~4_combout $ (\Add0~6_combout $ (!\Add3~3_cout )))) # (GND)
+// \Add3~5 = CARRY((\Add0~4_combout & ((\Add0~6_combout ) # (!\Add3~3_cout ))) # (!\Add0~4_combout & (\Add0~6_combout & !\Add3~3_cout )))
+
+ .dataa(\Add0~4_combout ),
+ .datab(\Add0~6_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add3~3_cout ),
+ .combout(\Add3~4_combout ),
+ .cout(\Add3~5 ));
+// synopsys translate_off
+defparam \Add3~4 .lut_mask = 16'h698E;
+defparam \Add3~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X7_Y12_N12
+cycloneiii_lcell_comb \Add3~6 (
+// Equation(s):
+// \Add3~6_combout = (\Add0~8_combout & ((\Add0~6_combout & (\Add3~5 & VCC)) # (!\Add0~6_combout & (!\Add3~5 )))) # (!\Add0~8_combout & ((\Add0~6_combout & (!\Add3~5 )) # (!\Add0~6_combout & ((\Add3~5 ) # (GND)))))
+// \Add3~7 = CARRY((\Add0~8_combout & (!\Add0~6_combout & !\Add3~5 )) # (!\Add0~8_combout & ((!\Add3~5 ) # (!\Add0~6_combout ))))
+
+ .dataa(\Add0~8_combout ),
+ .datab(\Add0~6_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add3~5 ),
+ .combout(\Add3~6_combout ),
+ .cout(\Add3~7 ));
+// synopsys translate_off
+defparam \Add3~6 .lut_mask = 16'h9617;
+defparam \Add3~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X7_Y12_N14
+cycloneiii_lcell_comb \Add3~8 (
+// Equation(s):
+// \Add3~8_combout = ((\Add0~10_combout $ (\Add0~8_combout $ (!\Add3~7 )))) # (GND)
+// \Add3~9 = CARRY((\Add0~10_combout & ((\Add0~8_combout ) # (!\Add3~7 ))) # (!\Add0~10_combout & (\Add0~8_combout & !\Add3~7 )))
+
+ .dataa(\Add0~10_combout ),
+ .datab(\Add0~8_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add3~7 ),
+ .combout(\Add3~8_combout ),
+ .cout(\Add3~9 ));
+// synopsys translate_off
+defparam \Add3~8 .lut_mask = 16'h698E;
+defparam \Add3~8 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X3_Y12_N10
+cycloneiii_lcell_comb \Add4~0 (
+// Equation(s):
+// \Add4~0_combout = (\first_red_pos_x[0]~input_o & (\Add3~8_combout $ (VCC))) # (!\first_red_pos_x[0]~input_o & (\Add3~8_combout & VCC))
+// \Add4~1 = CARRY((\first_red_pos_x[0]~input_o & \Add3~8_combout ))
+
+ .dataa(\first_red_pos_x[0]~input_o ),
+ .datab(\Add3~8_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(\Add4~0_combout ),
+ .cout(\Add4~1 ));
+// synopsys translate_off
+defparam \Add4~0 .lut_mask = 16'h6688;
+defparam \Add4~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X7_Y12_N16
+cycloneiii_lcell_comb \Add3~10 (
+// Equation(s):
+// \Add3~10_combout = (\Add0~10_combout & ((\Add0~12_combout & (\Add3~9 & VCC)) # (!\Add0~12_combout & (!\Add3~9 )))) # (!\Add0~10_combout & ((\Add0~12_combout & (!\Add3~9 )) # (!\Add0~12_combout & ((\Add3~9 ) # (GND)))))
+// \Add3~11 = CARRY((\Add0~10_combout & (!\Add0~12_combout & !\Add3~9 )) # (!\Add0~10_combout & ((!\Add3~9 ) # (!\Add0~12_combout ))))
+
+ .dataa(\Add0~10_combout ),
+ .datab(\Add0~12_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add3~9 ),
+ .combout(\Add3~10_combout ),
+ .cout(\Add3~11 ));
+// synopsys translate_off
+defparam \Add3~10 .lut_mask = 16'h9617;
+defparam \Add3~10 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X3_Y12_N12
+cycloneiii_lcell_comb \Add4~2 (
+// Equation(s):
+// \Add4~2_combout = (\Add3~10_combout & ((\first_red_pos_x[1]~input_o & (\Add4~1 & VCC)) # (!\first_red_pos_x[1]~input_o & (!\Add4~1 )))) # (!\Add3~10_combout & ((\first_red_pos_x[1]~input_o & (!\Add4~1 )) # (!\first_red_pos_x[1]~input_o & ((\Add4~1
+// ) # (GND)))))
+// \Add4~3 = CARRY((\Add3~10_combout & (!\first_red_pos_x[1]~input_o & !\Add4~1 )) # (!\Add3~10_combout & ((!\Add4~1 ) # (!\first_red_pos_x[1]~input_o ))))
+
+ .dataa(\Add3~10_combout ),
+ .datab(\first_red_pos_x[1]~input_o ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add4~1 ),
+ .combout(\Add4~2_combout ),
+ .cout(\Add4~3 ));
+// synopsys translate_off
+defparam \Add4~2 .lut_mask = 16'h9617;
+defparam \Add4~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X7_Y12_N18
+cycloneiii_lcell_comb \Add3~12 (
+// Equation(s):
+// \Add3~12_combout = ((\Add0~14_combout $ (\Add0~12_combout $ (!\Add3~11 )))) # (GND)
+// \Add3~13 = CARRY((\Add0~14_combout & ((\Add0~12_combout ) # (!\Add3~11 ))) # (!\Add0~14_combout & (\Add0~12_combout & !\Add3~11 )))
+
+ .dataa(\Add0~14_combout ),
+ .datab(\Add0~12_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add3~11 ),
+ .combout(\Add3~12_combout ),
+ .cout(\Add3~13 ));
+// synopsys translate_off
+defparam \Add3~12 .lut_mask = 16'h698E;
+defparam \Add3~12 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X3_Y12_N14
+cycloneiii_lcell_comb \Add4~4 (
+// Equation(s):
+// \Add4~4_combout = ((\Add3~12_combout $ (\first_red_pos_x[2]~input_o $ (!\Add4~3 )))) # (GND)
+// \Add4~5 = CARRY((\Add3~12_combout & ((\first_red_pos_x[2]~input_o ) # (!\Add4~3 ))) # (!\Add3~12_combout & (\first_red_pos_x[2]~input_o & !\Add4~3 )))
+
+ .dataa(\Add3~12_combout ),
+ .datab(\first_red_pos_x[2]~input_o ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add4~3 ),
+ .combout(\Add4~4_combout ),
+ .cout(\Add4~5 ));
+// synopsys translate_off
+defparam \Add4~4 .lut_mask = 16'h698E;
+defparam \Add4~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X7_Y12_N20
+cycloneiii_lcell_comb \Add3~14 (
+// Equation(s):
+// \Add3~14_combout = (\Add0~14_combout & ((\Add0~16_combout & (\Add3~13 & VCC)) # (!\Add0~16_combout & (!\Add3~13 )))) # (!\Add0~14_combout & ((\Add0~16_combout & (!\Add3~13 )) # (!\Add0~16_combout & ((\Add3~13 ) # (GND)))))
+// \Add3~15 = CARRY((\Add0~14_combout & (!\Add0~16_combout & !\Add3~13 )) # (!\Add0~14_combout & ((!\Add3~13 ) # (!\Add0~16_combout ))))
+
+ .dataa(\Add0~14_combout ),
+ .datab(\Add0~16_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add3~13 ),
+ .combout(\Add3~14_combout ),
+ .cout(\Add3~15 ));
+// synopsys translate_off
+defparam \Add3~14 .lut_mask = 16'h9617;
+defparam \Add3~14 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X3_Y12_N16
+cycloneiii_lcell_comb \Add4~6 (
+// Equation(s):
+// \Add4~6_combout = (\first_red_pos_x[3]~input_o & ((\Add3~14_combout & (\Add4~5 & VCC)) # (!\Add3~14_combout & (!\Add4~5 )))) # (!\first_red_pos_x[3]~input_o & ((\Add3~14_combout & (!\Add4~5 )) # (!\Add3~14_combout & ((\Add4~5 ) # (GND)))))
+// \Add4~7 = CARRY((\first_red_pos_x[3]~input_o & (!\Add3~14_combout & !\Add4~5 )) # (!\first_red_pos_x[3]~input_o & ((!\Add4~5 ) # (!\Add3~14_combout ))))
+
+ .dataa(\first_red_pos_x[3]~input_o ),
+ .datab(\Add3~14_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add4~5 ),
+ .combout(\Add4~6_combout ),
+ .cout(\Add4~7 ));
+// synopsys translate_off
+defparam \Add4~6 .lut_mask = 16'h9617;
+defparam \Add4~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X7_Y12_N22
+cycloneiii_lcell_comb \Add3~16 (
+// Equation(s):
+// \Add3~16_combout = ((\Add0~18_combout $ (\Add0~16_combout $ (!\Add3~15 )))) # (GND)
+// \Add3~17 = CARRY((\Add0~18_combout & ((\Add0~16_combout ) # (!\Add3~15 ))) # (!\Add0~18_combout & (\Add0~16_combout & !\Add3~15 )))
+
+ .dataa(\Add0~18_combout ),
+ .datab(\Add0~16_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add3~15 ),
+ .combout(\Add3~16_combout ),
+ .cout(\Add3~17 ));
+// synopsys translate_off
+defparam \Add3~16 .lut_mask = 16'h698E;
+defparam \Add3~16 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X3_Y12_N18
+cycloneiii_lcell_comb \Add4~8 (
+// Equation(s):
+// \Add4~8_combout = ((\Add3~16_combout $ (\first_red_pos_x[4]~input_o $ (!\Add4~7 )))) # (GND)
+// \Add4~9 = CARRY((\Add3~16_combout & ((\first_red_pos_x[4]~input_o ) # (!\Add4~7 ))) # (!\Add3~16_combout & (\first_red_pos_x[4]~input_o & !\Add4~7 )))
+
+ .dataa(\Add3~16_combout ),
+ .datab(\first_red_pos_x[4]~input_o ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add4~7 ),
+ .combout(\Add4~8_combout ),
+ .cout(\Add4~9 ));
+// synopsys translate_off
+defparam \Add4~8 .lut_mask = 16'h698E;
+defparam \Add4~8 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X7_Y12_N24
+cycloneiii_lcell_comb \Add3~18 (
+// Equation(s):
+// \Add3~18_combout = (\Add0~18_combout & ((\Add0~20_combout & (!\Add3~17 )) # (!\Add0~20_combout & (\Add3~17 & VCC)))) # (!\Add0~18_combout & ((\Add0~20_combout & ((\Add3~17 ) # (GND))) # (!\Add0~20_combout & (!\Add3~17 ))))
+// \Add3~19 = CARRY((\Add0~18_combout & (\Add0~20_combout & !\Add3~17 )) # (!\Add0~18_combout & ((\Add0~20_combout ) # (!\Add3~17 ))))
+
+ .dataa(\Add0~18_combout ),
+ .datab(\Add0~20_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add3~17 ),
+ .combout(\Add3~18_combout ),
+ .cout(\Add3~19 ));
+// synopsys translate_off
+defparam \Add3~18 .lut_mask = 16'h694D;
+defparam \Add3~18 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X3_Y12_N20
+cycloneiii_lcell_comb \Add4~10 (
+// Equation(s):
+// \Add4~10_combout = (\Add3~18_combout & ((\first_red_pos_x[5]~input_o & (\Add4~9 & VCC)) # (!\first_red_pos_x[5]~input_o & (!\Add4~9 )))) # (!\Add3~18_combout & ((\first_red_pos_x[5]~input_o & (!\Add4~9 )) # (!\first_red_pos_x[5]~input_o &
+// ((\Add4~9 ) # (GND)))))
+// \Add4~11 = CARRY((\Add3~18_combout & (!\first_red_pos_x[5]~input_o & !\Add4~9 )) # (!\Add3~18_combout & ((!\Add4~9 ) # (!\first_red_pos_x[5]~input_o ))))
+
+ .dataa(\Add3~18_combout ),
+ .datab(\first_red_pos_x[5]~input_o ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add4~9 ),
+ .combout(\Add4~10_combout ),
+ .cout(\Add4~11 ));
+// synopsys translate_off
+defparam \Add4~10 .lut_mask = 16'h9617;
+defparam \Add4~10 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X7_Y12_N26
+cycloneiii_lcell_comb \Add3~20 (
+// Equation(s):
+// \Add3~20_combout = (((!\Add3~19 ))) # (GND)
+// \Add3~21 = CARRY(!\Add0~20_combout )
+
+ .dataa(gnd),
+ .datab(\Add0~20_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add3~19 ),
+ .combout(\Add3~20_combout ),
+ .cout(\Add3~21 ));
+// synopsys translate_off
+defparam \Add3~20 .lut_mask = 16'h0F33;
+defparam \Add3~20 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X3_Y12_N22
+cycloneiii_lcell_comb \Add4~12 (
+// Equation(s):
+// \Add4~12_combout = ((\Add3~20_combout $ (\first_red_pos_x[6]~input_o $ (!\Add4~11 )))) # (GND)
+// \Add4~13 = CARRY((\Add3~20_combout & ((\first_red_pos_x[6]~input_o ) # (!\Add4~11 ))) # (!\Add3~20_combout & (\first_red_pos_x[6]~input_o & !\Add4~11 )))
+
+ .dataa(\Add3~20_combout ),
+ .datab(\first_red_pos_x[6]~input_o ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add4~11 ),
+ .combout(\Add4~12_combout ),
+ .cout(\Add4~13 ));
+// synopsys translate_off
+defparam \Add4~12 .lut_mask = 16'h698E;
+defparam \Add4~12 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X7_Y12_N28
+cycloneiii_lcell_comb \Add3~22 (
+// Equation(s):
+// \Add3~22_combout = \Add3~21
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .cin(\Add3~21 ),
+ .combout(\Add3~22_combout ),
+ .cout());
+// synopsys translate_off
+defparam \Add3~22 .lut_mask = 16'hF0F0;
+defparam \Add3~22 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X3_Y12_N24
+cycloneiii_lcell_comb \Add4~14 (
+// Equation(s):
+// \Add4~14_combout = (\first_red_pos_x[7]~input_o & ((\Add3~22_combout & (\Add4~13 & VCC)) # (!\Add3~22_combout & (!\Add4~13 )))) # (!\first_red_pos_x[7]~input_o & ((\Add3~22_combout & (!\Add4~13 )) # (!\Add3~22_combout & ((\Add4~13 ) # (GND)))))
+// \Add4~15 = CARRY((\first_red_pos_x[7]~input_o & (!\Add3~22_combout & !\Add4~13 )) # (!\first_red_pos_x[7]~input_o & ((!\Add4~13 ) # (!\Add3~22_combout ))))
+
+ .dataa(\first_red_pos_x[7]~input_o ),
+ .datab(\Add3~22_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add4~13 ),
+ .combout(\Add4~14_combout ),
+ .cout(\Add4~15 ));
+// synopsys translate_off
+defparam \Add4~14 .lut_mask = 16'h9617;
+defparam \Add4~14 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X3_Y12_N26
+cycloneiii_lcell_comb \Add4~16 (
+// Equation(s):
+// \Add4~16_combout = ((\first_red_pos_x[8]~input_o $ (\Add3~22_combout $ (!\Add4~15 )))) # (GND)
+// \Add4~17 = CARRY((\first_red_pos_x[8]~input_o & ((\Add3~22_combout ) # (!\Add4~15 ))) # (!\first_red_pos_x[8]~input_o & (\Add3~22_combout & !\Add4~15 )))
+
+ .dataa(\first_red_pos_x[8]~input_o ),
+ .datab(\Add3~22_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add4~15 ),
+ .combout(\Add4~16_combout ),
+ .cout(\Add4~17 ));
+// synopsys translate_off
+defparam \Add4~16 .lut_mask = 16'h698E;
+defparam \Add4~16 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X3_Y12_N28
+cycloneiii_lcell_comb \Add4~18 (
+// Equation(s):
+// \Add4~18_combout = \first_red_pos_x[9]~input_o $ (\Add4~17 $ (\Add3~22_combout ))
+
+ .dataa(\first_red_pos_x[9]~input_o ),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\Add3~22_combout ),
+ .cin(\Add4~17 ),
+ .combout(\Add4~18_combout ),
+ .cout());
+// synopsys translate_off
+defparam \Add4~18 .lut_mask = 16'hA55A;
+defparam \Add4~18 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y25_N6
+cycloneiii_lcell_comb \Add5~0 (
+// Equation(s):
+// \Add5~0_combout = (\first_red_pos_x[0]~input_o & (\Add0~6_combout $ (VCC))) # (!\first_red_pos_x[0]~input_o & (\Add0~6_combout & VCC))
+// \Add5~1 = CARRY((\first_red_pos_x[0]~input_o & \Add0~6_combout ))
+
+ .dataa(\first_red_pos_x[0]~input_o ),
+ .datab(\Add0~6_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(\Add5~0_combout ),
+ .cout(\Add5~1 ));
+// synopsys translate_off
+defparam \Add5~0 .lut_mask = 16'h6688;
+defparam \Add5~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y25_N8
+cycloneiii_lcell_comb \Add5~2 (
+// Equation(s):
+// \Add5~2_combout = (\first_red_pos_x[1]~input_o & ((\Add0~8_combout & (\Add5~1 & VCC)) # (!\Add0~8_combout & (!\Add5~1 )))) # (!\first_red_pos_x[1]~input_o & ((\Add0~8_combout & (!\Add5~1 )) # (!\Add0~8_combout & ((\Add5~1 ) # (GND)))))
+// \Add5~3 = CARRY((\first_red_pos_x[1]~input_o & (!\Add0~8_combout & !\Add5~1 )) # (!\first_red_pos_x[1]~input_o & ((!\Add5~1 ) # (!\Add0~8_combout ))))
+
+ .dataa(\first_red_pos_x[1]~input_o ),
+ .datab(\Add0~8_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add5~1 ),
+ .combout(\Add5~2_combout ),
+ .cout(\Add5~3 ));
+// synopsys translate_off
+defparam \Add5~2 .lut_mask = 16'h9617;
+defparam \Add5~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y25_N10
+cycloneiii_lcell_comb \Add5~4 (
+// Equation(s):
+// \Add5~4_combout = ((\first_red_pos_x[2]~input_o $ (\Add0~10_combout $ (!\Add5~3 )))) # (GND)
+// \Add5~5 = CARRY((\first_red_pos_x[2]~input_o & ((\Add0~10_combout ) # (!\Add5~3 ))) # (!\first_red_pos_x[2]~input_o & (\Add0~10_combout & !\Add5~3 )))
+
+ .dataa(\first_red_pos_x[2]~input_o ),
+ .datab(\Add0~10_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add5~3 ),
+ .combout(\Add5~4_combout ),
+ .cout(\Add5~5 ));
+// synopsys translate_off
+defparam \Add5~4 .lut_mask = 16'h698E;
+defparam \Add5~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y25_N12
+cycloneiii_lcell_comb \Add5~6 (
+// Equation(s):
+// \Add5~6_combout = (\first_red_pos_x[3]~input_o & ((\Add0~12_combout & (\Add5~5 & VCC)) # (!\Add0~12_combout & (!\Add5~5 )))) # (!\first_red_pos_x[3]~input_o & ((\Add0~12_combout & (!\Add5~5 )) # (!\Add0~12_combout & ((\Add5~5 ) # (GND)))))
+// \Add5~7 = CARRY((\first_red_pos_x[3]~input_o & (!\Add0~12_combout & !\Add5~5 )) # (!\first_red_pos_x[3]~input_o & ((!\Add5~5 ) # (!\Add0~12_combout ))))
+
+ .dataa(\first_red_pos_x[3]~input_o ),
+ .datab(\Add0~12_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add5~5 ),
+ .combout(\Add5~6_combout ),
+ .cout(\Add5~7 ));
+// synopsys translate_off
+defparam \Add5~6 .lut_mask = 16'h9617;
+defparam \Add5~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y25_N14
+cycloneiii_lcell_comb \Add5~8 (
+// Equation(s):
+// \Add5~8_combout = ((\first_red_pos_x[4]~input_o $ (\Add0~14_combout $ (!\Add5~7 )))) # (GND)
+// \Add5~9 = CARRY((\first_red_pos_x[4]~input_o & ((\Add0~14_combout ) # (!\Add5~7 ))) # (!\first_red_pos_x[4]~input_o & (\Add0~14_combout & !\Add5~7 )))
+
+ .dataa(\first_red_pos_x[4]~input_o ),
+ .datab(\Add0~14_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add5~7 ),
+ .combout(\Add5~8_combout ),
+ .cout(\Add5~9 ));
+// synopsys translate_off
+defparam \Add5~8 .lut_mask = 16'h698E;
+defparam \Add5~8 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y25_N16
+cycloneiii_lcell_comb \Add5~10 (
+// Equation(s):
+// \Add5~10_combout = (\first_red_pos_x[5]~input_o & ((\Add0~16_combout & (\Add5~9 & VCC)) # (!\Add0~16_combout & (!\Add5~9 )))) # (!\first_red_pos_x[5]~input_o & ((\Add0~16_combout & (!\Add5~9 )) # (!\Add0~16_combout & ((\Add5~9 ) # (GND)))))
+// \Add5~11 = CARRY((\first_red_pos_x[5]~input_o & (!\Add0~16_combout & !\Add5~9 )) # (!\first_red_pos_x[5]~input_o & ((!\Add5~9 ) # (!\Add0~16_combout ))))
+
+ .dataa(\first_red_pos_x[5]~input_o ),
+ .datab(\Add0~16_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add5~9 ),
+ .combout(\Add5~10_combout ),
+ .cout(\Add5~11 ));
+// synopsys translate_off
+defparam \Add5~10 .lut_mask = 16'h9617;
+defparam \Add5~10 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y25_N18
+cycloneiii_lcell_comb \Add5~12 (
+// Equation(s):
+// \Add5~12_combout = ((\first_red_pos_x[6]~input_o $ (\Add0~18_combout $ (!\Add5~11 )))) # (GND)
+// \Add5~13 = CARRY((\first_red_pos_x[6]~input_o & ((\Add0~18_combout ) # (!\Add5~11 ))) # (!\first_red_pos_x[6]~input_o & (\Add0~18_combout & !\Add5~11 )))
+
+ .dataa(\first_red_pos_x[6]~input_o ),
+ .datab(\Add0~18_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add5~11 ),
+ .combout(\Add5~12_combout ),
+ .cout(\Add5~13 ));
+// synopsys translate_off
+defparam \Add5~12 .lut_mask = 16'h698E;
+defparam \Add5~12 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y25_N20
+cycloneiii_lcell_comb \Add5~14 (
+// Equation(s):
+// \Add5~14_combout = (\Add0~20_combout & ((\first_red_pos_x[7]~input_o & (!\Add5~13 )) # (!\first_red_pos_x[7]~input_o & ((\Add5~13 ) # (GND))))) # (!\Add0~20_combout & ((\first_red_pos_x[7]~input_o & (\Add5~13 & VCC)) # (!\first_red_pos_x[7]~input_o
+// & (!\Add5~13 ))))
+// \Add5~15 = CARRY((\Add0~20_combout & ((!\Add5~13 ) # (!\first_red_pos_x[7]~input_o ))) # (!\Add0~20_combout & (!\first_red_pos_x[7]~input_o & !\Add5~13 )))
+
+ .dataa(\Add0~20_combout ),
+ .datab(\first_red_pos_x[7]~input_o ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add5~13 ),
+ .combout(\Add5~14_combout ),
+ .cout(\Add5~15 ));
+// synopsys translate_off
+defparam \Add5~14 .lut_mask = 16'h692B;
+defparam \Add5~14 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y25_N22
+cycloneiii_lcell_comb \Add5~16 (
+// Equation(s):
+// \Add5~16_combout = ((\Add0~20_combout $ (\first_red_pos_x[8]~input_o $ (\Add5~15 )))) # (GND)
+// \Add5~17 = CARRY((\Add0~20_combout & (\first_red_pos_x[8]~input_o & !\Add5~15 )) # (!\Add0~20_combout & ((\first_red_pos_x[8]~input_o ) # (!\Add5~15 ))))
+
+ .dataa(\Add0~20_combout ),
+ .datab(\first_red_pos_x[8]~input_o ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add5~15 ),
+ .combout(\Add5~16_combout ),
+ .cout(\Add5~17 ));
+// synopsys translate_off
+defparam \Add5~16 .lut_mask = 16'h964D;
+defparam \Add5~16 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y25_N24
+cycloneiii_lcell_comb \Add5~18 (
+// Equation(s):
+// \Add5~18_combout = \first_red_pos_x[9]~input_o $ (\Add5~17 $ (!\Add0~20_combout ))
+
+ .dataa(gnd),
+ .datab(\first_red_pos_x[9]~input_o ),
+ .datac(gnd),
+ .datad(\Add0~20_combout ),
+ .cin(\Add5~17 ),
+ .combout(\Add5~18_combout ),
+ .cout());
+// synopsys translate_off
+defparam \Add5~18 .lut_mask = 16'h3CC3;
+defparam \Add5~18 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X7_Y27_N0
+cycloneiii_lcell_comb \Add6~1 (
+// Equation(s):
+// \Add6~1_cout = CARRY((\Add0~4_combout & \Add0~0_combout ))
+
+ .dataa(\Add0~4_combout ),
+ .datab(\Add0~0_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(),
+ .cout(\Add6~1_cout ));
+// synopsys translate_off
+defparam \Add6~1 .lut_mask = 16'h0088;
+defparam \Add6~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X7_Y27_N2
+cycloneiii_lcell_comb \Add6~3 (
+// Equation(s):
+// \Add6~3_cout = CARRY((\Add0~2_combout & (!\Add0~6_combout & !\Add6~1_cout )) # (!\Add0~2_combout & ((!\Add6~1_cout ) # (!\Add0~6_combout ))))
+
+ .dataa(\Add0~2_combout ),
+ .datab(\Add0~6_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add6~1_cout ),
+ .combout(),
+ .cout(\Add6~3_cout ));
+// synopsys translate_off
+defparam \Add6~3 .lut_mask = 16'h0017;
+defparam \Add6~3 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X7_Y27_N4
+cycloneiii_lcell_comb \Add6~4 (
+// Equation(s):
+// \Add6~4_combout = ((\Add0~4_combout $ (\Add0~8_combout $ (!\Add6~3_cout )))) # (GND)
+// \Add6~5 = CARRY((\Add0~4_combout & ((\Add0~8_combout ) # (!\Add6~3_cout ))) # (!\Add0~4_combout & (\Add0~8_combout & !\Add6~3_cout )))
+
+ .dataa(\Add0~4_combout ),
+ .datab(\Add0~8_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add6~3_cout ),
+ .combout(\Add6~4_combout ),
+ .cout(\Add6~5 ));
+// synopsys translate_off
+defparam \Add6~4 .lut_mask = 16'h698E;
+defparam \Add6~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X7_Y27_N6
+cycloneiii_lcell_comb \Add6~6 (
+// Equation(s):
+// \Add6~6_combout = (\Add0~10_combout & ((\Add0~6_combout & (\Add6~5 & VCC)) # (!\Add0~6_combout & (!\Add6~5 )))) # (!\Add0~10_combout & ((\Add0~6_combout & (!\Add6~5 )) # (!\Add0~6_combout & ((\Add6~5 ) # (GND)))))
+// \Add6~7 = CARRY((\Add0~10_combout & (!\Add0~6_combout & !\Add6~5 )) # (!\Add0~10_combout & ((!\Add6~5 ) # (!\Add0~6_combout ))))
+
+ .dataa(\Add0~10_combout ),
+ .datab(\Add0~6_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add6~5 ),
+ .combout(\Add6~6_combout ),
+ .cout(\Add6~7 ));
+// synopsys translate_off
+defparam \Add6~6 .lut_mask = 16'h9617;
+defparam \Add6~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X4_Y27_N4
+cycloneiii_lcell_comb \Add7~0 (
+// Equation(s):
+// \Add7~0_combout = (\first_red_pos_x[0]~input_o & (\Add6~6_combout $ (VCC))) # (!\first_red_pos_x[0]~input_o & (\Add6~6_combout & VCC))
+// \Add7~1 = CARRY((\first_red_pos_x[0]~input_o & \Add6~6_combout ))
+
+ .dataa(\first_red_pos_x[0]~input_o ),
+ .datab(\Add6~6_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(\Add7~0_combout ),
+ .cout(\Add7~1 ));
+// synopsys translate_off
+defparam \Add7~0 .lut_mask = 16'h6688;
+defparam \Add7~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X7_Y27_N8
+cycloneiii_lcell_comb \Add6~8 (
+// Equation(s):
+// \Add6~8_combout = ((\Add0~8_combout $ (\Add0~12_combout $ (!\Add6~7 )))) # (GND)
+// \Add6~9 = CARRY((\Add0~8_combout & ((\Add0~12_combout ) # (!\Add6~7 ))) # (!\Add0~8_combout & (\Add0~12_combout & !\Add6~7 )))
+
+ .dataa(\Add0~8_combout ),
+ .datab(\Add0~12_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add6~7 ),
+ .combout(\Add6~8_combout ),
+ .cout(\Add6~9 ));
+// synopsys translate_off
+defparam \Add6~8 .lut_mask = 16'h698E;
+defparam \Add6~8 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X4_Y27_N6
+cycloneiii_lcell_comb \Add7~2 (
+// Equation(s):
+// \Add7~2_combout = (\first_red_pos_x[1]~input_o & ((\Add6~8_combout & (\Add7~1 & VCC)) # (!\Add6~8_combout & (!\Add7~1 )))) # (!\first_red_pos_x[1]~input_o & ((\Add6~8_combout & (!\Add7~1 )) # (!\Add6~8_combout & ((\Add7~1 ) # (GND)))))
+// \Add7~3 = CARRY((\first_red_pos_x[1]~input_o & (!\Add6~8_combout & !\Add7~1 )) # (!\first_red_pos_x[1]~input_o & ((!\Add7~1 ) # (!\Add6~8_combout ))))
+
+ .dataa(\first_red_pos_x[1]~input_o ),
+ .datab(\Add6~8_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add7~1 ),
+ .combout(\Add7~2_combout ),
+ .cout(\Add7~3 ));
+// synopsys translate_off
+defparam \Add7~2 .lut_mask = 16'h9617;
+defparam \Add7~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X7_Y27_N10
+cycloneiii_lcell_comb \Add6~10 (
+// Equation(s):
+// \Add6~10_combout = (\Add0~10_combout & ((\Add0~14_combout & (\Add6~9 & VCC)) # (!\Add0~14_combout & (!\Add6~9 )))) # (!\Add0~10_combout & ((\Add0~14_combout & (!\Add6~9 )) # (!\Add0~14_combout & ((\Add6~9 ) # (GND)))))
+// \Add6~11 = CARRY((\Add0~10_combout & (!\Add0~14_combout & !\Add6~9 )) # (!\Add0~10_combout & ((!\Add6~9 ) # (!\Add0~14_combout ))))
+
+ .dataa(\Add0~10_combout ),
+ .datab(\Add0~14_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add6~9 ),
+ .combout(\Add6~10_combout ),
+ .cout(\Add6~11 ));
+// synopsys translate_off
+defparam \Add6~10 .lut_mask = 16'h9617;
+defparam \Add6~10 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X4_Y27_N8
+cycloneiii_lcell_comb \Add7~4 (
+// Equation(s):
+// \Add7~4_combout = ((\first_red_pos_x[2]~input_o $ (\Add6~10_combout $ (!\Add7~3 )))) # (GND)
+// \Add7~5 = CARRY((\first_red_pos_x[2]~input_o & ((\Add6~10_combout ) # (!\Add7~3 ))) # (!\first_red_pos_x[2]~input_o & (\Add6~10_combout & !\Add7~3 )))
+
+ .dataa(\first_red_pos_x[2]~input_o ),
+ .datab(\Add6~10_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add7~3 ),
+ .combout(\Add7~4_combout ),
+ .cout(\Add7~5 ));
+// synopsys translate_off
+defparam \Add7~4 .lut_mask = 16'h698E;
+defparam \Add7~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X7_Y27_N12
+cycloneiii_lcell_comb \Add6~12 (
+// Equation(s):
+// \Add6~12_combout = ((\Add0~16_combout $ (\Add0~12_combout $ (!\Add6~11 )))) # (GND)
+// \Add6~13 = CARRY((\Add0~16_combout & ((\Add0~12_combout ) # (!\Add6~11 ))) # (!\Add0~16_combout & (\Add0~12_combout & !\Add6~11 )))
+
+ .dataa(\Add0~16_combout ),
+ .datab(\Add0~12_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add6~11 ),
+ .combout(\Add6~12_combout ),
+ .cout(\Add6~13 ));
+// synopsys translate_off
+defparam \Add6~12 .lut_mask = 16'h698E;
+defparam \Add6~12 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X4_Y27_N10
+cycloneiii_lcell_comb \Add7~6 (
+// Equation(s):
+// \Add7~6_combout = (\Add6~12_combout & ((\first_red_pos_x[3]~input_o & (\Add7~5 & VCC)) # (!\first_red_pos_x[3]~input_o & (!\Add7~5 )))) # (!\Add6~12_combout & ((\first_red_pos_x[3]~input_o & (!\Add7~5 )) # (!\first_red_pos_x[3]~input_o & ((\Add7~5
+// ) # (GND)))))
+// \Add7~7 = CARRY((\Add6~12_combout & (!\first_red_pos_x[3]~input_o & !\Add7~5 )) # (!\Add6~12_combout & ((!\Add7~5 ) # (!\first_red_pos_x[3]~input_o ))))
+
+ .dataa(\Add6~12_combout ),
+ .datab(\first_red_pos_x[3]~input_o ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add7~5 ),
+ .combout(\Add7~6_combout ),
+ .cout(\Add7~7 ));
+// synopsys translate_off
+defparam \Add7~6 .lut_mask = 16'h9617;
+defparam \Add7~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X7_Y27_N14
+cycloneiii_lcell_comb \Add6~14 (
+// Equation(s):
+// \Add6~14_combout = (\Add0~14_combout & ((\Add0~18_combout & (\Add6~13 & VCC)) # (!\Add0~18_combout & (!\Add6~13 )))) # (!\Add0~14_combout & ((\Add0~18_combout & (!\Add6~13 )) # (!\Add0~18_combout & ((\Add6~13 ) # (GND)))))
+// \Add6~15 = CARRY((\Add0~14_combout & (!\Add0~18_combout & !\Add6~13 )) # (!\Add0~14_combout & ((!\Add6~13 ) # (!\Add0~18_combout ))))
+
+ .dataa(\Add0~14_combout ),
+ .datab(\Add0~18_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add6~13 ),
+ .combout(\Add6~14_combout ),
+ .cout(\Add6~15 ));
+// synopsys translate_off
+defparam \Add6~14 .lut_mask = 16'h9617;
+defparam \Add6~14 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X4_Y27_N12
+cycloneiii_lcell_comb \Add7~8 (
+// Equation(s):
+// \Add7~8_combout = ((\first_red_pos_x[4]~input_o $ (\Add6~14_combout $ (!\Add7~7 )))) # (GND)
+// \Add7~9 = CARRY((\first_red_pos_x[4]~input_o & ((\Add6~14_combout ) # (!\Add7~7 ))) # (!\first_red_pos_x[4]~input_o & (\Add6~14_combout & !\Add7~7 )))
+
+ .dataa(\first_red_pos_x[4]~input_o ),
+ .datab(\Add6~14_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add7~7 ),
+ .combout(\Add7~8_combout ),
+ .cout(\Add7~9 ));
+// synopsys translate_off
+defparam \Add7~8 .lut_mask = 16'h698E;
+defparam \Add7~8 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X7_Y27_N16
+cycloneiii_lcell_comb \Add6~16 (
+// Equation(s):
+// \Add6~16_combout = ((\Add0~16_combout $ (\Add0~20_combout $ (\Add6~15 )))) # (GND)
+// \Add6~17 = CARRY((\Add0~16_combout & ((!\Add6~15 ) # (!\Add0~20_combout ))) # (!\Add0~16_combout & (!\Add0~20_combout & !\Add6~15 )))
+
+ .dataa(\Add0~16_combout ),
+ .datab(\Add0~20_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add6~15 ),
+ .combout(\Add6~16_combout ),
+ .cout(\Add6~17 ));
+// synopsys translate_off
+defparam \Add6~16 .lut_mask = 16'h962B;
+defparam \Add6~16 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X4_Y27_N14
+cycloneiii_lcell_comb \Add7~10 (
+// Equation(s):
+// \Add7~10_combout = (\Add6~16_combout & ((\first_red_pos_x[5]~input_o & (\Add7~9 & VCC)) # (!\first_red_pos_x[5]~input_o & (!\Add7~9 )))) # (!\Add6~16_combout & ((\first_red_pos_x[5]~input_o & (!\Add7~9 )) # (!\first_red_pos_x[5]~input_o &
+// ((\Add7~9 ) # (GND)))))
+// \Add7~11 = CARRY((\Add6~16_combout & (!\first_red_pos_x[5]~input_o & !\Add7~9 )) # (!\Add6~16_combout & ((!\Add7~9 ) # (!\first_red_pos_x[5]~input_o ))))
+
+ .dataa(\Add6~16_combout ),
+ .datab(\first_red_pos_x[5]~input_o ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add7~9 ),
+ .combout(\Add7~10_combout ),
+ .cout(\Add7~11 ));
+// synopsys translate_off
+defparam \Add7~10 .lut_mask = 16'h9617;
+defparam \Add7~10 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X7_Y27_N18
+cycloneiii_lcell_comb \Add6~18 (
+// Equation(s):
+// \Add6~18_combout = (\Add0~20_combout & ((\Add0~18_combout & (!\Add6~17 )) # (!\Add0~18_combout & ((\Add6~17 ) # (GND))))) # (!\Add0~20_combout & ((\Add0~18_combout & (\Add6~17 & VCC)) # (!\Add0~18_combout & (!\Add6~17 ))))
+// \Add6~19 = CARRY((\Add0~20_combout & ((!\Add6~17 ) # (!\Add0~18_combout ))) # (!\Add0~20_combout & (!\Add0~18_combout & !\Add6~17 )))
+
+ .dataa(\Add0~20_combout ),
+ .datab(\Add0~18_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add6~17 ),
+ .combout(\Add6~18_combout ),
+ .cout(\Add6~19 ));
+// synopsys translate_off
+defparam \Add6~18 .lut_mask = 16'h692B;
+defparam \Add6~18 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X4_Y27_N16
+cycloneiii_lcell_comb \Add7~12 (
+// Equation(s):
+// \Add7~12_combout = ((\first_red_pos_x[6]~input_o $ (\Add6~18_combout $ (!\Add7~11 )))) # (GND)
+// \Add7~13 = CARRY((\first_red_pos_x[6]~input_o & ((\Add6~18_combout ) # (!\Add7~11 ))) # (!\first_red_pos_x[6]~input_o & (\Add6~18_combout & !\Add7~11 )))
+
+ .dataa(\first_red_pos_x[6]~input_o ),
+ .datab(\Add6~18_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add7~11 ),
+ .combout(\Add7~12_combout ),
+ .cout(\Add7~13 ));
+// synopsys translate_off
+defparam \Add7~12 .lut_mask = 16'h698E;
+defparam \Add7~12 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X7_Y27_N20
+cycloneiii_lcell_comb \Add6~20 (
+// Equation(s):
+// \Add6~20_combout = (((!\Add6~19 ))) # (GND)
+// \Add6~21 = CARRY(!\Add0~20_combout )
+
+ .dataa(\Add0~20_combout ),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add6~19 ),
+ .combout(\Add6~20_combout ),
+ .cout(\Add6~21 ));
+// synopsys translate_off
+defparam \Add6~20 .lut_mask = 16'h0F55;
+defparam \Add6~20 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X4_Y27_N18
+cycloneiii_lcell_comb \Add7~14 (
+// Equation(s):
+// \Add7~14_combout = (\first_red_pos_x[7]~input_o & ((\Add6~20_combout & (\Add7~13 & VCC)) # (!\Add6~20_combout & (!\Add7~13 )))) # (!\first_red_pos_x[7]~input_o & ((\Add6~20_combout & (!\Add7~13 )) # (!\Add6~20_combout & ((\Add7~13 ) # (GND)))))
+// \Add7~15 = CARRY((\first_red_pos_x[7]~input_o & (!\Add6~20_combout & !\Add7~13 )) # (!\first_red_pos_x[7]~input_o & ((!\Add7~13 ) # (!\Add6~20_combout ))))
+
+ .dataa(\first_red_pos_x[7]~input_o ),
+ .datab(\Add6~20_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add7~13 ),
+ .combout(\Add7~14_combout ),
+ .cout(\Add7~15 ));
+// synopsys translate_off
+defparam \Add7~14 .lut_mask = 16'h9617;
+defparam \Add7~14 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X7_Y27_N22
+cycloneiii_lcell_comb \Add6~22 (
+// Equation(s):
+// \Add6~22_combout = \Add6~21
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .cin(\Add6~21 ),
+ .combout(\Add6~22_combout ),
+ .cout());
+// synopsys translate_off
+defparam \Add6~22 .lut_mask = 16'hF0F0;
+defparam \Add6~22 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X4_Y27_N20
+cycloneiii_lcell_comb \Add7~16 (
+// Equation(s):
+// \Add7~16_combout = ((\Add6~22_combout $ (\first_red_pos_x[8]~input_o $ (!\Add7~15 )))) # (GND)
+// \Add7~17 = CARRY((\Add6~22_combout & ((\first_red_pos_x[8]~input_o ) # (!\Add7~15 ))) # (!\Add6~22_combout & (\first_red_pos_x[8]~input_o & !\Add7~15 )))
+
+ .dataa(\Add6~22_combout ),
+ .datab(\first_red_pos_x[8]~input_o ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add7~15 ),
+ .combout(\Add7~16_combout ),
+ .cout(\Add7~17 ));
+// synopsys translate_off
+defparam \Add7~16 .lut_mask = 16'h698E;
+defparam \Add7~16 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X4_Y27_N22
+cycloneiii_lcell_comb \Add7~18 (
+// Equation(s):
+// \Add7~18_combout = \Add6~22_combout $ (\first_red_pos_x[9]~input_o $ (\Add7~17 ))
+
+ .dataa(\Add6~22_combout ),
+ .datab(\first_red_pos_x[9]~input_o ),
+ .datac(gnd),
+ .datad(gnd),
+ .cin(\Add7~17 ),
+ .combout(\Add7~18_combout ),
+ .cout());
+// synopsys translate_off
+defparam \Add7~18 .lut_mask = 16'h9696;
+defparam \Add7~18 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X4_Y12_N6
+cycloneiii_lcell_comb \Add9~0 (
+// Equation(s):
+// \Add9~0_combout = (\Add3~6_combout & (\first_red_pos_x[0]~input_o $ (VCC))) # (!\Add3~6_combout & (\first_red_pos_x[0]~input_o & VCC))
+// \Add9~1 = CARRY((\Add3~6_combout & \first_red_pos_x[0]~input_o ))
+
+ .dataa(\Add3~6_combout ),
+ .datab(\first_red_pos_x[0]~input_o ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(\Add9~0_combout ),
+ .cout(\Add9~1 ));
+// synopsys translate_off
+defparam \Add9~0 .lut_mask = 16'h6688;
+defparam \Add9~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X4_Y12_N8
+cycloneiii_lcell_comb \Add9~2 (
+// Equation(s):
+// \Add9~2_combout = (\first_red_pos_x[1]~input_o & ((\Add3~8_combout & (\Add9~1 & VCC)) # (!\Add3~8_combout & (!\Add9~1 )))) # (!\first_red_pos_x[1]~input_o & ((\Add3~8_combout & (!\Add9~1 )) # (!\Add3~8_combout & ((\Add9~1 ) # (GND)))))
+// \Add9~3 = CARRY((\first_red_pos_x[1]~input_o & (!\Add3~8_combout & !\Add9~1 )) # (!\first_red_pos_x[1]~input_o & ((!\Add9~1 ) # (!\Add3~8_combout ))))
+
+ .dataa(\first_red_pos_x[1]~input_o ),
+ .datab(\Add3~8_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add9~1 ),
+ .combout(\Add9~2_combout ),
+ .cout(\Add9~3 ));
+// synopsys translate_off
+defparam \Add9~2 .lut_mask = 16'h9617;
+defparam \Add9~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X4_Y12_N10
+cycloneiii_lcell_comb \Add9~4 (
+// Equation(s):
+// \Add9~4_combout = ((\Add3~10_combout $ (\first_red_pos_x[2]~input_o $ (!\Add9~3 )))) # (GND)
+// \Add9~5 = CARRY((\Add3~10_combout & ((\first_red_pos_x[2]~input_o ) # (!\Add9~3 ))) # (!\Add3~10_combout & (\first_red_pos_x[2]~input_o & !\Add9~3 )))
+
+ .dataa(\Add3~10_combout ),
+ .datab(\first_red_pos_x[2]~input_o ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add9~3 ),
+ .combout(\Add9~4_combout ),
+ .cout(\Add9~5 ));
+// synopsys translate_off
+defparam \Add9~4 .lut_mask = 16'h698E;
+defparam \Add9~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X4_Y12_N12
+cycloneiii_lcell_comb \Add9~6 (
+// Equation(s):
+// \Add9~6_combout = (\Add3~12_combout & ((\first_red_pos_x[3]~input_o & (\Add9~5 & VCC)) # (!\first_red_pos_x[3]~input_o & (!\Add9~5 )))) # (!\Add3~12_combout & ((\first_red_pos_x[3]~input_o & (!\Add9~5 )) # (!\first_red_pos_x[3]~input_o & ((\Add9~5
+// ) # (GND)))))
+// \Add9~7 = CARRY((\Add3~12_combout & (!\first_red_pos_x[3]~input_o & !\Add9~5 )) # (!\Add3~12_combout & ((!\Add9~5 ) # (!\first_red_pos_x[3]~input_o ))))
+
+ .dataa(\Add3~12_combout ),
+ .datab(\first_red_pos_x[3]~input_o ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add9~5 ),
+ .combout(\Add9~6_combout ),
+ .cout(\Add9~7 ));
+// synopsys translate_off
+defparam \Add9~6 .lut_mask = 16'h9617;
+defparam \Add9~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X4_Y12_N14
+cycloneiii_lcell_comb \Add9~8 (
+// Equation(s):
+// \Add9~8_combout = ((\first_red_pos_x[4]~input_o $ (\Add3~14_combout $ (!\Add9~7 )))) # (GND)
+// \Add9~9 = CARRY((\first_red_pos_x[4]~input_o & ((\Add3~14_combout ) # (!\Add9~7 ))) # (!\first_red_pos_x[4]~input_o & (\Add3~14_combout & !\Add9~7 )))
+
+ .dataa(\first_red_pos_x[4]~input_o ),
+ .datab(\Add3~14_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add9~7 ),
+ .combout(\Add9~8_combout ),
+ .cout(\Add9~9 ));
+// synopsys translate_off
+defparam \Add9~8 .lut_mask = 16'h698E;
+defparam \Add9~8 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X4_Y12_N16
+cycloneiii_lcell_comb \Add9~10 (
+// Equation(s):
+// \Add9~10_combout = (\first_red_pos_x[5]~input_o & ((\Add3~16_combout & (\Add9~9 & VCC)) # (!\Add3~16_combout & (!\Add9~9 )))) # (!\first_red_pos_x[5]~input_o & ((\Add3~16_combout & (!\Add9~9 )) # (!\Add3~16_combout & ((\Add9~9 ) # (GND)))))
+// \Add9~11 = CARRY((\first_red_pos_x[5]~input_o & (!\Add3~16_combout & !\Add9~9 )) # (!\first_red_pos_x[5]~input_o & ((!\Add9~9 ) # (!\Add3~16_combout ))))
+
+ .dataa(\first_red_pos_x[5]~input_o ),
+ .datab(\Add3~16_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add9~9 ),
+ .combout(\Add9~10_combout ),
+ .cout(\Add9~11 ));
+// synopsys translate_off
+defparam \Add9~10 .lut_mask = 16'h9617;
+defparam \Add9~10 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X4_Y12_N18
+cycloneiii_lcell_comb \Add9~12 (
+// Equation(s):
+// \Add9~12_combout = ((\first_red_pos_x[6]~input_o $ (\Add3~18_combout $ (!\Add9~11 )))) # (GND)
+// \Add9~13 = CARRY((\first_red_pos_x[6]~input_o & ((\Add3~18_combout ) # (!\Add9~11 ))) # (!\first_red_pos_x[6]~input_o & (\Add3~18_combout & !\Add9~11 )))
+
+ .dataa(\first_red_pos_x[6]~input_o ),
+ .datab(\Add3~18_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add9~11 ),
+ .combout(\Add9~12_combout ),
+ .cout(\Add9~13 ));
+// synopsys translate_off
+defparam \Add9~12 .lut_mask = 16'h698E;
+defparam \Add9~12 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X4_Y12_N20
+cycloneiii_lcell_comb \Add9~14 (
+// Equation(s):
+// \Add9~14_combout = (\first_red_pos_x[7]~input_o & ((\Add3~20_combout & (\Add9~13 & VCC)) # (!\Add3~20_combout & (!\Add9~13 )))) # (!\first_red_pos_x[7]~input_o & ((\Add3~20_combout & (!\Add9~13 )) # (!\Add3~20_combout & ((\Add9~13 ) # (GND)))))
+// \Add9~15 = CARRY((\first_red_pos_x[7]~input_o & (!\Add3~20_combout & !\Add9~13 )) # (!\first_red_pos_x[7]~input_o & ((!\Add9~13 ) # (!\Add3~20_combout ))))
+
+ .dataa(\first_red_pos_x[7]~input_o ),
+ .datab(\Add3~20_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add9~13 ),
+ .combout(\Add9~14_combout ),
+ .cout(\Add9~15 ));
+// synopsys translate_off
+defparam \Add9~14 .lut_mask = 16'h9617;
+defparam \Add9~14 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X4_Y12_N22
+cycloneiii_lcell_comb \Add9~16 (
+// Equation(s):
+// \Add9~16_combout = ((\Add3~22_combout $ (\first_red_pos_x[8]~input_o $ (!\Add9~15 )))) # (GND)
+// \Add9~17 = CARRY((\Add3~22_combout & ((\first_red_pos_x[8]~input_o ) # (!\Add9~15 ))) # (!\Add3~22_combout & (\first_red_pos_x[8]~input_o & !\Add9~15 )))
+
+ .dataa(\Add3~22_combout ),
+ .datab(\first_red_pos_x[8]~input_o ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add9~15 ),
+ .combout(\Add9~16_combout ),
+ .cout(\Add9~17 ));
+// synopsys translate_off
+defparam \Add9~16 .lut_mask = 16'h698E;
+defparam \Add9~16 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X4_Y12_N24
+cycloneiii_lcell_comb \Add9~18 (
+// Equation(s):
+// \Add9~18_combout = \Add3~22_combout $ (\first_red_pos_x[9]~input_o $ (\Add9~17 ))
+
+ .dataa(\Add3~22_combout ),
+ .datab(\first_red_pos_x[9]~input_o ),
+ .datac(gnd),
+ .datad(gnd),
+ .cin(\Add9~17 ),
+ .combout(\Add9~18_combout ),
+ .cout());
+// synopsys translate_off
+defparam \Add9~18 .lut_mask = 16'h9696;
+defparam \Add9~18 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y5_N6
+cycloneiii_lcell_comb \Add11~1 (
+// Equation(s):
+// \Add11~1_cout = CARRY((\Add10~4_combout & \Add0~0_combout ))
+
+ .dataa(\Add10~4_combout ),
+ .datab(\Add0~0_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(),
+ .cout(\Add11~1_cout ));
+// synopsys translate_off
+defparam \Add11~1 .lut_mask = 16'h0088;
+defparam \Add11~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y5_N8
+cycloneiii_lcell_comb \Add11~3 (
+// Equation(s):
+// \Add11~3_cout = CARRY((\Add10~6_combout & (!\Add0~2_combout & !\Add11~1_cout )) # (!\Add10~6_combout & ((!\Add11~1_cout ) # (!\Add0~2_combout ))))
+
+ .dataa(\Add10~6_combout ),
+ .datab(\Add0~2_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add11~1_cout ),
+ .combout(),
+ .cout(\Add11~3_cout ));
+// synopsys translate_off
+defparam \Add11~3 .lut_mask = 16'h0017;
+defparam \Add11~3 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y5_N10
+cycloneiii_lcell_comb \Add11~4 (
+// Equation(s):
+// \Add11~4_combout = ((\Add10~8_combout $ (\Add0~4_combout $ (!\Add11~3_cout )))) # (GND)
+// \Add11~5 = CARRY((\Add10~8_combout & ((\Add0~4_combout ) # (!\Add11~3_cout ))) # (!\Add10~8_combout & (\Add0~4_combout & !\Add11~3_cout )))
+
+ .dataa(\Add10~8_combout ),
+ .datab(\Add0~4_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add11~3_cout ),
+ .combout(\Add11~4_combout ),
+ .cout(\Add11~5 ));
+// synopsys translate_off
+defparam \Add11~4 .lut_mask = 16'h698E;
+defparam \Add11~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y5_N6
+cycloneiii_lcell_comb \Add12~0 (
+// Equation(s):
+// \Add12~0_combout = (\first_red_pos_x[0]~input_o & (\Add11~4_combout $ (VCC))) # (!\first_red_pos_x[0]~input_o & (\Add11~4_combout & VCC))
+// \Add12~1 = CARRY((\first_red_pos_x[0]~input_o & \Add11~4_combout ))
+
+ .dataa(\first_red_pos_x[0]~input_o ),
+ .datab(\Add11~4_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(\Add12~0_combout ),
+ .cout(\Add12~1 ));
+// synopsys translate_off
+defparam \Add12~0 .lut_mask = 16'h6688;
+defparam \Add12~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y6_N10
+cycloneiii_lcell_comb \Add10~1 (
+// Equation(s):
+// \Add10~1_cout = CARRY((!\Add0~2_combout & !\Add0~0_combout ))
+
+ .dataa(\Add0~2_combout ),
+ .datab(\Add0~0_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(),
+ .cout(\Add10~1_cout ));
+// synopsys translate_off
+defparam \Add10~1 .lut_mask = 16'h0011;
+defparam \Add10~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y6_N12
+cycloneiii_lcell_comb \Add10~3 (
+// Equation(s):
+// \Add10~3_cout = CARRY((\Add0~4_combout ) # (!\Add10~1_cout ))
+
+ .dataa(\Add0~4_combout ),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add10~1_cout ),
+ .combout(),
+ .cout(\Add10~3_cout ));
+// synopsys translate_off
+defparam \Add10~3 .lut_mask = 16'h00AF;
+defparam \Add10~3 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y6_N14
+cycloneiii_lcell_comb \Add10~4 (
+// Equation(s):
+// \Add10~4_combout = (\Add0~6_combout & (!\Add10~3_cout & VCC)) # (!\Add0~6_combout & (\Add10~3_cout $ (GND)))
+// \Add10~5 = CARRY((!\Add0~6_combout & !\Add10~3_cout ))
+
+ .dataa(\Add0~6_combout ),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add10~3_cout ),
+ .combout(\Add10~4_combout ),
+ .cout(\Add10~5 ));
+// synopsys translate_off
+defparam \Add10~4 .lut_mask = 16'h5A05;
+defparam \Add10~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y6_N16
+cycloneiii_lcell_comb \Add10~6 (
+// Equation(s):
+// \Add10~6_combout = (\Add0~8_combout & ((\Add10~5 ) # (GND))) # (!\Add0~8_combout & (!\Add10~5 ))
+// \Add10~7 = CARRY((\Add0~8_combout ) # (!\Add10~5 ))
+
+ .dataa(gnd),
+ .datab(\Add0~8_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add10~5 ),
+ .combout(\Add10~6_combout ),
+ .cout(\Add10~7 ));
+// synopsys translate_off
+defparam \Add10~6 .lut_mask = 16'hC3CF;
+defparam \Add10~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y6_N18
+cycloneiii_lcell_comb \Add10~8 (
+// Equation(s):
+// \Add10~8_combout = (\Add0~10_combout & (!\Add10~7 & VCC)) # (!\Add0~10_combout & (\Add10~7 $ (GND)))
+// \Add10~9 = CARRY((!\Add0~10_combout & !\Add10~7 ))
+
+ .dataa(\Add0~10_combout ),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add10~7 ),
+ .combout(\Add10~8_combout ),
+ .cout(\Add10~9 ));
+// synopsys translate_off
+defparam \Add10~8 .lut_mask = 16'h5A05;
+defparam \Add10~8 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y6_N20
+cycloneiii_lcell_comb \Add10~10 (
+// Equation(s):
+// \Add10~10_combout = (\Add0~12_combout & ((\Add10~9 ) # (GND))) # (!\Add0~12_combout & (!\Add10~9 ))
+// \Add10~11 = CARRY((\Add0~12_combout ) # (!\Add10~9 ))
+
+ .dataa(\Add0~12_combout ),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add10~9 ),
+ .combout(\Add10~10_combout ),
+ .cout(\Add10~11 ));
+// synopsys translate_off
+defparam \Add10~10 .lut_mask = 16'hA5AF;
+defparam \Add10~10 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y5_N12
+cycloneiii_lcell_comb \Add11~6 (
+// Equation(s):
+// \Add11~6_combout = (\Add0~6_combout & ((\Add10~10_combout & (\Add11~5 & VCC)) # (!\Add10~10_combout & (!\Add11~5 )))) # (!\Add0~6_combout & ((\Add10~10_combout & (!\Add11~5 )) # (!\Add10~10_combout & ((\Add11~5 ) # (GND)))))
+// \Add11~7 = CARRY((\Add0~6_combout & (!\Add10~10_combout & !\Add11~5 )) # (!\Add0~6_combout & ((!\Add11~5 ) # (!\Add10~10_combout ))))
+
+ .dataa(\Add0~6_combout ),
+ .datab(\Add10~10_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add11~5 ),
+ .combout(\Add11~6_combout ),
+ .cout(\Add11~7 ));
+// synopsys translate_off
+defparam \Add11~6 .lut_mask = 16'h9617;
+defparam \Add11~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y5_N8
+cycloneiii_lcell_comb \Add12~2 (
+// Equation(s):
+// \Add12~2_combout = (\first_red_pos_x[1]~input_o & ((\Add11~6_combout & (\Add12~1 & VCC)) # (!\Add11~6_combout & (!\Add12~1 )))) # (!\first_red_pos_x[1]~input_o & ((\Add11~6_combout & (!\Add12~1 )) # (!\Add11~6_combout & ((\Add12~1 ) # (GND)))))
+// \Add12~3 = CARRY((\first_red_pos_x[1]~input_o & (!\Add11~6_combout & !\Add12~1 )) # (!\first_red_pos_x[1]~input_o & ((!\Add12~1 ) # (!\Add11~6_combout ))))
+
+ .dataa(\first_red_pos_x[1]~input_o ),
+ .datab(\Add11~6_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add12~1 ),
+ .combout(\Add12~2_combout ),
+ .cout(\Add12~3 ));
+// synopsys translate_off
+defparam \Add12~2 .lut_mask = 16'h9617;
+defparam \Add12~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y5_N14
+cycloneiii_lcell_comb \Add11~8 (
+// Equation(s):
+// \Add11~8_combout = ((\Add10~12_combout $ (\Add0~8_combout $ (!\Add11~7 )))) # (GND)
+// \Add11~9 = CARRY((\Add10~12_combout & ((\Add0~8_combout ) # (!\Add11~7 ))) # (!\Add10~12_combout & (\Add0~8_combout & !\Add11~7 )))
+
+ .dataa(\Add10~12_combout ),
+ .datab(\Add0~8_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add11~7 ),
+ .combout(\Add11~8_combout ),
+ .cout(\Add11~9 ));
+// synopsys translate_off
+defparam \Add11~8 .lut_mask = 16'h698E;
+defparam \Add11~8 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y5_N10
+cycloneiii_lcell_comb \Add12~4 (
+// Equation(s):
+// \Add12~4_combout = ((\first_red_pos_x[2]~input_o $ (\Add11~8_combout $ (!\Add12~3 )))) # (GND)
+// \Add12~5 = CARRY((\first_red_pos_x[2]~input_o & ((\Add11~8_combout ) # (!\Add12~3 ))) # (!\first_red_pos_x[2]~input_o & (\Add11~8_combout & !\Add12~3 )))
+
+ .dataa(\first_red_pos_x[2]~input_o ),
+ .datab(\Add11~8_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add12~3 ),
+ .combout(\Add12~4_combout ),
+ .cout(\Add12~5 ));
+// synopsys translate_off
+defparam \Add12~4 .lut_mask = 16'h698E;
+defparam \Add12~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y6_N22
+cycloneiii_lcell_comb \Add10~12 (
+// Equation(s):
+// \Add10~12_combout = (\Add0~14_combout & (!\Add10~11 & VCC)) # (!\Add0~14_combout & (\Add10~11 $ (GND)))
+// \Add10~13 = CARRY((!\Add0~14_combout & !\Add10~11 ))
+
+ .dataa(\Add0~14_combout ),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add10~11 ),
+ .combout(\Add10~12_combout ),
+ .cout(\Add10~13 ));
+// synopsys translate_off
+defparam \Add10~12 .lut_mask = 16'h5A05;
+defparam \Add10~12 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y6_N24
+cycloneiii_lcell_comb \Add10~14 (
+// Equation(s):
+// \Add10~14_combout = (\Add0~16_combout & ((\Add10~13 ) # (GND))) # (!\Add0~16_combout & (!\Add10~13 ))
+// \Add10~15 = CARRY((\Add0~16_combout ) # (!\Add10~13 ))
+
+ .dataa(\Add0~16_combout ),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add10~13 ),
+ .combout(\Add10~14_combout ),
+ .cout(\Add10~15 ));
+// synopsys translate_off
+defparam \Add10~14 .lut_mask = 16'hA5AF;
+defparam \Add10~14 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y5_N16
+cycloneiii_lcell_comb \Add11~10 (
+// Equation(s):
+// \Add11~10_combout = (\Add0~10_combout & ((\Add10~14_combout & (\Add11~9 & VCC)) # (!\Add10~14_combout & (!\Add11~9 )))) # (!\Add0~10_combout & ((\Add10~14_combout & (!\Add11~9 )) # (!\Add10~14_combout & ((\Add11~9 ) # (GND)))))
+// \Add11~11 = CARRY((\Add0~10_combout & (!\Add10~14_combout & !\Add11~9 )) # (!\Add0~10_combout & ((!\Add11~9 ) # (!\Add10~14_combout ))))
+
+ .dataa(\Add0~10_combout ),
+ .datab(\Add10~14_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add11~9 ),
+ .combout(\Add11~10_combout ),
+ .cout(\Add11~11 ));
+// synopsys translate_off
+defparam \Add11~10 .lut_mask = 16'h9617;
+defparam \Add11~10 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y5_N12
+cycloneiii_lcell_comb \Add12~6 (
+// Equation(s):
+// \Add12~6_combout = (\first_red_pos_x[3]~input_o & ((\Add11~10_combout & (\Add12~5 & VCC)) # (!\Add11~10_combout & (!\Add12~5 )))) # (!\first_red_pos_x[3]~input_o & ((\Add11~10_combout & (!\Add12~5 )) # (!\Add11~10_combout & ((\Add12~5 ) #
+// (GND)))))
+// \Add12~7 = CARRY((\first_red_pos_x[3]~input_o & (!\Add11~10_combout & !\Add12~5 )) # (!\first_red_pos_x[3]~input_o & ((!\Add12~5 ) # (!\Add11~10_combout ))))
+
+ .dataa(\first_red_pos_x[3]~input_o ),
+ .datab(\Add11~10_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add12~5 ),
+ .combout(\Add12~6_combout ),
+ .cout(\Add12~7 ));
+// synopsys translate_off
+defparam \Add12~6 .lut_mask = 16'h9617;
+defparam \Add12~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y5_N18
+cycloneiii_lcell_comb \Add11~12 (
+// Equation(s):
+// \Add11~12_combout = ((\Add10~16_combout $ (\Add0~12_combout $ (!\Add11~11 )))) # (GND)
+// \Add11~13 = CARRY((\Add10~16_combout & ((\Add0~12_combout ) # (!\Add11~11 ))) # (!\Add10~16_combout & (\Add0~12_combout & !\Add11~11 )))
+
+ .dataa(\Add10~16_combout ),
+ .datab(\Add0~12_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add11~11 ),
+ .combout(\Add11~12_combout ),
+ .cout(\Add11~13 ));
+// synopsys translate_off
+defparam \Add11~12 .lut_mask = 16'h698E;
+defparam \Add11~12 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y5_N14
+cycloneiii_lcell_comb \Add12~8 (
+// Equation(s):
+// \Add12~8_combout = ((\first_red_pos_x[4]~input_o $ (\Add11~12_combout $ (!\Add12~7 )))) # (GND)
+// \Add12~9 = CARRY((\first_red_pos_x[4]~input_o & ((\Add11~12_combout ) # (!\Add12~7 ))) # (!\first_red_pos_x[4]~input_o & (\Add11~12_combout & !\Add12~7 )))
+
+ .dataa(\first_red_pos_x[4]~input_o ),
+ .datab(\Add11~12_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add12~7 ),
+ .combout(\Add12~8_combout ),
+ .cout(\Add12~9 ));
+// synopsys translate_off
+defparam \Add12~8 .lut_mask = 16'h698E;
+defparam \Add12~8 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y6_N26
+cycloneiii_lcell_comb \Add10~16 (
+// Equation(s):
+// \Add10~16_combout = (\Add0~18_combout & (!\Add10~15 & VCC)) # (!\Add0~18_combout & (\Add10~15 $ (GND)))
+// \Add10~17 = CARRY((!\Add0~18_combout & !\Add10~15 ))
+
+ .dataa(gnd),
+ .datab(\Add0~18_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add10~15 ),
+ .combout(\Add10~16_combout ),
+ .cout(\Add10~17 ));
+// synopsys translate_off
+defparam \Add10~16 .lut_mask = 16'h3C03;
+defparam \Add10~16 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y6_N28
+cycloneiii_lcell_comb \Add10~18 (
+// Equation(s):
+// \Add10~18_combout = (\Add0~20_combout & (!\Add10~17 )) # (!\Add0~20_combout & ((\Add10~17 ) # (GND)))
+// \Add10~19 = CARRY((!\Add10~17 ) # (!\Add0~20_combout ))
+
+ .dataa(\Add0~20_combout ),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add10~17 ),
+ .combout(\Add10~18_combout ),
+ .cout(\Add10~19 ));
+// synopsys translate_off
+defparam \Add10~18 .lut_mask = 16'h5A5F;
+defparam \Add10~18 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y5_N20
+cycloneiii_lcell_comb \Add11~14 (
+// Equation(s):
+// \Add11~14_combout = (\Add0~14_combout & ((\Add10~18_combout & (\Add11~13 & VCC)) # (!\Add10~18_combout & (!\Add11~13 )))) # (!\Add0~14_combout & ((\Add10~18_combout & (!\Add11~13 )) # (!\Add10~18_combout & ((\Add11~13 ) # (GND)))))
+// \Add11~15 = CARRY((\Add0~14_combout & (!\Add10~18_combout & !\Add11~13 )) # (!\Add0~14_combout & ((!\Add11~13 ) # (!\Add10~18_combout ))))
+
+ .dataa(\Add0~14_combout ),
+ .datab(\Add10~18_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add11~13 ),
+ .combout(\Add11~14_combout ),
+ .cout(\Add11~15 ));
+// synopsys translate_off
+defparam \Add11~14 .lut_mask = 16'h9617;
+defparam \Add11~14 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y5_N16
+cycloneiii_lcell_comb \Add12~10 (
+// Equation(s):
+// \Add12~10_combout = (\first_red_pos_x[5]~input_o & ((\Add11~14_combout & (\Add12~9 & VCC)) # (!\Add11~14_combout & (!\Add12~9 )))) # (!\first_red_pos_x[5]~input_o & ((\Add11~14_combout & (!\Add12~9 )) # (!\Add11~14_combout & ((\Add12~9 ) #
+// (GND)))))
+// \Add12~11 = CARRY((\first_red_pos_x[5]~input_o & (!\Add11~14_combout & !\Add12~9 )) # (!\first_red_pos_x[5]~input_o & ((!\Add12~9 ) # (!\Add11~14_combout ))))
+
+ .dataa(\first_red_pos_x[5]~input_o ),
+ .datab(\Add11~14_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add12~9 ),
+ .combout(\Add12~10_combout ),
+ .cout(\Add12~11 ));
+// synopsys translate_off
+defparam \Add12~10 .lut_mask = 16'h9617;
+defparam \Add12~10 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y5_N22
+cycloneiii_lcell_comb \Add11~16 (
+// Equation(s):
+// \Add11~16_combout = ((\Add10~20_combout $ (\Add0~16_combout $ (!\Add11~15 )))) # (GND)
+// \Add11~17 = CARRY((\Add10~20_combout & ((\Add0~16_combout ) # (!\Add11~15 ))) # (!\Add10~20_combout & (\Add0~16_combout & !\Add11~15 )))
+
+ .dataa(\Add10~20_combout ),
+ .datab(\Add0~16_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add11~15 ),
+ .combout(\Add11~16_combout ),
+ .cout(\Add11~17 ));
+// synopsys translate_off
+defparam \Add11~16 .lut_mask = 16'h698E;
+defparam \Add11~16 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y5_N18
+cycloneiii_lcell_comb \Add12~12 (
+// Equation(s):
+// \Add12~12_combout = ((\Add11~16_combout $ (\first_red_pos_x[6]~input_o $ (!\Add12~11 )))) # (GND)
+// \Add12~13 = CARRY((\Add11~16_combout & ((\first_red_pos_x[6]~input_o ) # (!\Add12~11 ))) # (!\Add11~16_combout & (\first_red_pos_x[6]~input_o & !\Add12~11 )))
+
+ .dataa(\Add11~16_combout ),
+ .datab(\first_red_pos_x[6]~input_o ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add12~11 ),
+ .combout(\Add12~12_combout ),
+ .cout(\Add12~13 ));
+// synopsys translate_off
+defparam \Add12~12 .lut_mask = 16'h698E;
+defparam \Add12~12 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y5_N24
+cycloneiii_lcell_comb \Add11~18 (
+// Equation(s):
+// \Add11~18_combout = (\Add10~20_combout & ((\Add0~18_combout & (\Add11~17 & VCC)) # (!\Add0~18_combout & (!\Add11~17 )))) # (!\Add10~20_combout & ((\Add0~18_combout & (!\Add11~17 )) # (!\Add0~18_combout & ((\Add11~17 ) # (GND)))))
+// \Add11~19 = CARRY((\Add10~20_combout & (!\Add0~18_combout & !\Add11~17 )) # (!\Add10~20_combout & ((!\Add11~17 ) # (!\Add0~18_combout ))))
+
+ .dataa(\Add10~20_combout ),
+ .datab(\Add0~18_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add11~17 ),
+ .combout(\Add11~18_combout ),
+ .cout(\Add11~19 ));
+// synopsys translate_off
+defparam \Add11~18 .lut_mask = 16'h9617;
+defparam \Add11~18 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y5_N20
+cycloneiii_lcell_comb \Add12~14 (
+// Equation(s):
+// \Add12~14_combout = (\Add11~18_combout & ((\first_red_pos_x[7]~input_o & (\Add12~13 & VCC)) # (!\first_red_pos_x[7]~input_o & (!\Add12~13 )))) # (!\Add11~18_combout & ((\first_red_pos_x[7]~input_o & (!\Add12~13 )) # (!\first_red_pos_x[7]~input_o &
+// ((\Add12~13 ) # (GND)))))
+// \Add12~15 = CARRY((\Add11~18_combout & (!\first_red_pos_x[7]~input_o & !\Add12~13 )) # (!\Add11~18_combout & ((!\Add12~13 ) # (!\first_red_pos_x[7]~input_o ))))
+
+ .dataa(\Add11~18_combout ),
+ .datab(\first_red_pos_x[7]~input_o ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add12~13 ),
+ .combout(\Add12~14_combout ),
+ .cout(\Add12~15 ));
+// synopsys translate_off
+defparam \Add12~14 .lut_mask = 16'h9617;
+defparam \Add12~14 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y5_N26
+cycloneiii_lcell_comb \Add11~20 (
+// Equation(s):
+// \Add11~20_combout = ((\Add10~20_combout $ (\Add0~20_combout $ (\Add11~19 )))) # (GND)
+// \Add11~21 = CARRY((\Add10~20_combout & ((!\Add11~19 ) # (!\Add0~20_combout ))) # (!\Add10~20_combout & (!\Add0~20_combout & !\Add11~19 )))
+
+ .dataa(\Add10~20_combout ),
+ .datab(\Add0~20_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add11~19 ),
+ .combout(\Add11~20_combout ),
+ .cout(\Add11~21 ));
+// synopsys translate_off
+defparam \Add11~20 .lut_mask = 16'h962B;
+defparam \Add11~20 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y5_N22
+cycloneiii_lcell_comb \Add12~16 (
+// Equation(s):
+// \Add12~16_combout = ((\first_red_pos_x[8]~input_o $ (\Add11~20_combout $ (!\Add12~15 )))) # (GND)
+// \Add12~17 = CARRY((\first_red_pos_x[8]~input_o & ((\Add11~20_combout ) # (!\Add12~15 ))) # (!\first_red_pos_x[8]~input_o & (\Add11~20_combout & !\Add12~15 )))
+
+ .dataa(\first_red_pos_x[8]~input_o ),
+ .datab(\Add11~20_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add12~15 ),
+ .combout(\Add12~16_combout ),
+ .cout(\Add12~17 ));
+// synopsys translate_off
+defparam \Add12~16 .lut_mask = 16'h698E;
+defparam \Add12~16 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y5_N28
+cycloneiii_lcell_comb \Add11~22 (
+// Equation(s):
+// \Add11~22_combout = \Add10~20_combout $ (\Add0~20_combout $ (!\Add11~21 ))
+
+ .dataa(\Add10~20_combout ),
+ .datab(\Add0~20_combout ),
+ .datac(gnd),
+ .datad(gnd),
+ .cin(\Add11~21 ),
+ .combout(\Add11~22_combout ),
+ .cout());
+// synopsys translate_off
+defparam \Add11~22 .lut_mask = 16'h6969;
+defparam \Add11~22 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y5_N24
+cycloneiii_lcell_comb \Add12~18 (
+// Equation(s):
+// \Add12~18_combout = \first_red_pos_x[9]~input_o $ (\Add12~17 $ (\Add11~22_combout ))
+
+ .dataa(\first_red_pos_x[9]~input_o ),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\Add11~22_combout ),
+ .cin(\Add12~17 ),
+ .combout(\Add12~18_combout ),
+ .cout());
+// synopsys translate_off
+defparam \Add12~18 .lut_mask = 16'hA55A;
+defparam \Add12~18 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y27_N10
+cycloneiii_lcell_comb \Add13~0 (
+// Equation(s):
+// \Add13~0_combout = (\first_red_pos_x[0]~input_o & (\Add0~4_combout $ (VCC))) # (!\first_red_pos_x[0]~input_o & (\Add0~4_combout & VCC))
+// \Add13~1 = CARRY((\first_red_pos_x[0]~input_o & \Add0~4_combout ))
+
+ .dataa(\first_red_pos_x[0]~input_o ),
+ .datab(\Add0~4_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(\Add13~0_combout ),
+ .cout(\Add13~1 ));
+// synopsys translate_off
+defparam \Add13~0 .lut_mask = 16'h6688;
+defparam \Add13~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y27_N12
+cycloneiii_lcell_comb \Add13~2 (
+// Equation(s):
+// \Add13~2_combout = (\first_red_pos_x[1]~input_o & ((\Add0~6_combout & (\Add13~1 & VCC)) # (!\Add0~6_combout & (!\Add13~1 )))) # (!\first_red_pos_x[1]~input_o & ((\Add0~6_combout & (!\Add13~1 )) # (!\Add0~6_combout & ((\Add13~1 ) # (GND)))))
+// \Add13~3 = CARRY((\first_red_pos_x[1]~input_o & (!\Add0~6_combout & !\Add13~1 )) # (!\first_red_pos_x[1]~input_o & ((!\Add13~1 ) # (!\Add0~6_combout ))))
+
+ .dataa(\first_red_pos_x[1]~input_o ),
+ .datab(\Add0~6_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add13~1 ),
+ .combout(\Add13~2_combout ),
+ .cout(\Add13~3 ));
+// synopsys translate_off
+defparam \Add13~2 .lut_mask = 16'h9617;
+defparam \Add13~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y27_N14
+cycloneiii_lcell_comb \Add13~4 (
+// Equation(s):
+// \Add13~4_combout = ((\Add0~8_combout $ (\first_red_pos_x[2]~input_o $ (!\Add13~3 )))) # (GND)
+// \Add13~5 = CARRY((\Add0~8_combout & ((\first_red_pos_x[2]~input_o ) # (!\Add13~3 ))) # (!\Add0~8_combout & (\first_red_pos_x[2]~input_o & !\Add13~3 )))
+
+ .dataa(\Add0~8_combout ),
+ .datab(\first_red_pos_x[2]~input_o ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add13~3 ),
+ .combout(\Add13~4_combout ),
+ .cout(\Add13~5 ));
+// synopsys translate_off
+defparam \Add13~4 .lut_mask = 16'h698E;
+defparam \Add13~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y27_N16
+cycloneiii_lcell_comb \Add13~6 (
+// Equation(s):
+// \Add13~6_combout = (\first_red_pos_x[3]~input_o & ((\Add0~10_combout & (\Add13~5 & VCC)) # (!\Add0~10_combout & (!\Add13~5 )))) # (!\first_red_pos_x[3]~input_o & ((\Add0~10_combout & (!\Add13~5 )) # (!\Add0~10_combout & ((\Add13~5 ) # (GND)))))
+// \Add13~7 = CARRY((\first_red_pos_x[3]~input_o & (!\Add0~10_combout & !\Add13~5 )) # (!\first_red_pos_x[3]~input_o & ((!\Add13~5 ) # (!\Add0~10_combout ))))
+
+ .dataa(\first_red_pos_x[3]~input_o ),
+ .datab(\Add0~10_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add13~5 ),
+ .combout(\Add13~6_combout ),
+ .cout(\Add13~7 ));
+// synopsys translate_off
+defparam \Add13~6 .lut_mask = 16'h9617;
+defparam \Add13~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y27_N18
+cycloneiii_lcell_comb \Add13~8 (
+// Equation(s):
+// \Add13~8_combout = ((\Add0~12_combout $ (\first_red_pos_x[4]~input_o $ (!\Add13~7 )))) # (GND)
+// \Add13~9 = CARRY((\Add0~12_combout & ((\first_red_pos_x[4]~input_o ) # (!\Add13~7 ))) # (!\Add0~12_combout & (\first_red_pos_x[4]~input_o & !\Add13~7 )))
+
+ .dataa(\Add0~12_combout ),
+ .datab(\first_red_pos_x[4]~input_o ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add13~7 ),
+ .combout(\Add13~8_combout ),
+ .cout(\Add13~9 ));
+// synopsys translate_off
+defparam \Add13~8 .lut_mask = 16'h698E;
+defparam \Add13~8 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y27_N20
+cycloneiii_lcell_comb \Add13~10 (
+// Equation(s):
+// \Add13~10_combout = (\Add0~14_combout & ((\first_red_pos_x[5]~input_o & (\Add13~9 & VCC)) # (!\first_red_pos_x[5]~input_o & (!\Add13~9 )))) # (!\Add0~14_combout & ((\first_red_pos_x[5]~input_o & (!\Add13~9 )) # (!\first_red_pos_x[5]~input_o &
+// ((\Add13~9 ) # (GND)))))
+// \Add13~11 = CARRY((\Add0~14_combout & (!\first_red_pos_x[5]~input_o & !\Add13~9 )) # (!\Add0~14_combout & ((!\Add13~9 ) # (!\first_red_pos_x[5]~input_o ))))
+
+ .dataa(\Add0~14_combout ),
+ .datab(\first_red_pos_x[5]~input_o ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add13~9 ),
+ .combout(\Add13~10_combout ),
+ .cout(\Add13~11 ));
+// synopsys translate_off
+defparam \Add13~10 .lut_mask = 16'h9617;
+defparam \Add13~10 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y27_N22
+cycloneiii_lcell_comb \Add13~12 (
+// Equation(s):
+// \Add13~12_combout = ((\Add0~16_combout $ (\first_red_pos_x[6]~input_o $ (!\Add13~11 )))) # (GND)
+// \Add13~13 = CARRY((\Add0~16_combout & ((\first_red_pos_x[6]~input_o ) # (!\Add13~11 ))) # (!\Add0~16_combout & (\first_red_pos_x[6]~input_o & !\Add13~11 )))
+
+ .dataa(\Add0~16_combout ),
+ .datab(\first_red_pos_x[6]~input_o ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add13~11 ),
+ .combout(\Add13~12_combout ),
+ .cout(\Add13~13 ));
+// synopsys translate_off
+defparam \Add13~12 .lut_mask = 16'h698E;
+defparam \Add13~12 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y27_N24
+cycloneiii_lcell_comb \Add13~14 (
+// Equation(s):
+// \Add13~14_combout = (\first_red_pos_x[7]~input_o & ((\Add0~18_combout & (\Add13~13 & VCC)) # (!\Add0~18_combout & (!\Add13~13 )))) # (!\first_red_pos_x[7]~input_o & ((\Add0~18_combout & (!\Add13~13 )) # (!\Add0~18_combout & ((\Add13~13 ) #
+// (GND)))))
+// \Add13~15 = CARRY((\first_red_pos_x[7]~input_o & (!\Add0~18_combout & !\Add13~13 )) # (!\first_red_pos_x[7]~input_o & ((!\Add13~13 ) # (!\Add0~18_combout ))))
+
+ .dataa(\first_red_pos_x[7]~input_o ),
+ .datab(\Add0~18_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add13~13 ),
+ .combout(\Add13~14_combout ),
+ .cout(\Add13~15 ));
+// synopsys translate_off
+defparam \Add13~14 .lut_mask = 16'h9617;
+defparam \Add13~14 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y27_N26
+cycloneiii_lcell_comb \Add13~16 (
+// Equation(s):
+// \Add13~16_combout = ((\first_red_pos_x[8]~input_o $ (\Add0~20_combout $ (\Add13~15 )))) # (GND)
+// \Add13~17 = CARRY((\first_red_pos_x[8]~input_o & ((!\Add13~15 ) # (!\Add0~20_combout ))) # (!\first_red_pos_x[8]~input_o & (!\Add0~20_combout & !\Add13~15 )))
+
+ .dataa(\first_red_pos_x[8]~input_o ),
+ .datab(\Add0~20_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add13~15 ),
+ .combout(\Add13~16_combout ),
+ .cout(\Add13~17 ));
+// synopsys translate_off
+defparam \Add13~16 .lut_mask = 16'h962B;
+defparam \Add13~16 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y27_N28
+cycloneiii_lcell_comb \Add13~18 (
+// Equation(s):
+// \Add13~18_combout = \first_red_pos_x[9]~input_o $ (\Add13~17 $ (!\Add0~20_combout ))
+
+ .dataa(\first_red_pos_x[9]~input_o ),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\Add0~20_combout ),
+ .cin(\Add13~17 ),
+ .combout(\Add13~18_combout ),
+ .cout());
+// synopsys translate_off
+defparam \Add13~18 .lut_mask = 16'h5AA5;
+defparam \Add13~18 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y25_N4
+cycloneiii_lcell_comb \Add14~1 (
+// Equation(s):
+// \Add14~1_cout = CARRY((\Add0~0_combout & \Add0~6_combout ))
+
+ .dataa(\Add0~0_combout ),
+ .datab(\Add0~6_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(),
+ .cout(\Add14~1_cout ));
+// synopsys translate_off
+defparam \Add14~1 .lut_mask = 16'h0088;
+defparam \Add14~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y25_N6
+cycloneiii_lcell_comb \Add14~3 (
+// Equation(s):
+// \Add14~3_cout = CARRY((\Add0~2_combout & (!\Add0~8_combout & !\Add14~1_cout )) # (!\Add0~2_combout & ((!\Add14~1_cout ) # (!\Add0~8_combout ))))
+
+ .dataa(\Add0~2_combout ),
+ .datab(\Add0~8_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add14~1_cout ),
+ .combout(),
+ .cout(\Add14~3_cout ));
+// synopsys translate_off
+defparam \Add14~3 .lut_mask = 16'h0017;
+defparam \Add14~3 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y25_N8
+cycloneiii_lcell_comb \Add14~4 (
+// Equation(s):
+// \Add14~4_combout = ((\Add0~4_combout $ (\Add0~10_combout $ (!\Add14~3_cout )))) # (GND)
+// \Add14~5 = CARRY((\Add0~4_combout & ((\Add0~10_combout ) # (!\Add14~3_cout ))) # (!\Add0~4_combout & (\Add0~10_combout & !\Add14~3_cout )))
+
+ .dataa(\Add0~4_combout ),
+ .datab(\Add0~10_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add14~3_cout ),
+ .combout(\Add14~4_combout ),
+ .cout(\Add14~5 ));
+// synopsys translate_off
+defparam \Add14~4 .lut_mask = 16'h698E;
+defparam \Add14~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y25_N0
+cycloneiii_lcell_comb \Add15~0 (
+// Equation(s):
+// \Add15~0_combout = (\first_red_pos_x[0]~input_o & (\Add14~4_combout $ (VCC))) # (!\first_red_pos_x[0]~input_o & (\Add14~4_combout & VCC))
+// \Add15~1 = CARRY((\first_red_pos_x[0]~input_o & \Add14~4_combout ))
+
+ .dataa(\first_red_pos_x[0]~input_o ),
+ .datab(\Add14~4_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(\Add15~0_combout ),
+ .cout(\Add15~1 ));
+// synopsys translate_off
+defparam \Add15~0 .lut_mask = 16'h6688;
+defparam \Add15~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y25_N10
+cycloneiii_lcell_comb \Add14~6 (
+// Equation(s):
+// \Add14~6_combout = (\Add0~6_combout & ((\Add0~12_combout & (\Add14~5 & VCC)) # (!\Add0~12_combout & (!\Add14~5 )))) # (!\Add0~6_combout & ((\Add0~12_combout & (!\Add14~5 )) # (!\Add0~12_combout & ((\Add14~5 ) # (GND)))))
+// \Add14~7 = CARRY((\Add0~6_combout & (!\Add0~12_combout & !\Add14~5 )) # (!\Add0~6_combout & ((!\Add14~5 ) # (!\Add0~12_combout ))))
+
+ .dataa(\Add0~6_combout ),
+ .datab(\Add0~12_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add14~5 ),
+ .combout(\Add14~6_combout ),
+ .cout(\Add14~7 ));
+// synopsys translate_off
+defparam \Add14~6 .lut_mask = 16'h9617;
+defparam \Add14~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y25_N2
+cycloneiii_lcell_comb \Add15~2 (
+// Equation(s):
+// \Add15~2_combout = (\first_red_pos_x[1]~input_o & ((\Add14~6_combout & (\Add15~1 & VCC)) # (!\Add14~6_combout & (!\Add15~1 )))) # (!\first_red_pos_x[1]~input_o & ((\Add14~6_combout & (!\Add15~1 )) # (!\Add14~6_combout & ((\Add15~1 ) # (GND)))))
+// \Add15~3 = CARRY((\first_red_pos_x[1]~input_o & (!\Add14~6_combout & !\Add15~1 )) # (!\first_red_pos_x[1]~input_o & ((!\Add15~1 ) # (!\Add14~6_combout ))))
+
+ .dataa(\first_red_pos_x[1]~input_o ),
+ .datab(\Add14~6_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add15~1 ),
+ .combout(\Add15~2_combout ),
+ .cout(\Add15~3 ));
+// synopsys translate_off
+defparam \Add15~2 .lut_mask = 16'h9617;
+defparam \Add15~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y25_N12
+cycloneiii_lcell_comb \Add14~8 (
+// Equation(s):
+// \Add14~8_combout = ((\Add0~14_combout $ (\Add0~8_combout $ (!\Add14~7 )))) # (GND)
+// \Add14~9 = CARRY((\Add0~14_combout & ((\Add0~8_combout ) # (!\Add14~7 ))) # (!\Add0~14_combout & (\Add0~8_combout & !\Add14~7 )))
+
+ .dataa(\Add0~14_combout ),
+ .datab(\Add0~8_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add14~7 ),
+ .combout(\Add14~8_combout ),
+ .cout(\Add14~9 ));
+// synopsys translate_off
+defparam \Add14~8 .lut_mask = 16'h698E;
+defparam \Add14~8 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y25_N4
+cycloneiii_lcell_comb \Add15~4 (
+// Equation(s):
+// \Add15~4_combout = ((\first_red_pos_x[2]~input_o $ (\Add14~8_combout $ (!\Add15~3 )))) # (GND)
+// \Add15~5 = CARRY((\first_red_pos_x[2]~input_o & ((\Add14~8_combout ) # (!\Add15~3 ))) # (!\first_red_pos_x[2]~input_o & (\Add14~8_combout & !\Add15~3 )))
+
+ .dataa(\first_red_pos_x[2]~input_o ),
+ .datab(\Add14~8_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add15~3 ),
+ .combout(\Add15~4_combout ),
+ .cout(\Add15~5 ));
+// synopsys translate_off
+defparam \Add15~4 .lut_mask = 16'h698E;
+defparam \Add15~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y25_N14
+cycloneiii_lcell_comb \Add14~10 (
+// Equation(s):
+// \Add14~10_combout = (\Add0~16_combout & ((\Add0~10_combout & (\Add14~9 & VCC)) # (!\Add0~10_combout & (!\Add14~9 )))) # (!\Add0~16_combout & ((\Add0~10_combout & (!\Add14~9 )) # (!\Add0~10_combout & ((\Add14~9 ) # (GND)))))
+// \Add14~11 = CARRY((\Add0~16_combout & (!\Add0~10_combout & !\Add14~9 )) # (!\Add0~16_combout & ((!\Add14~9 ) # (!\Add0~10_combout ))))
+
+ .dataa(\Add0~16_combout ),
+ .datab(\Add0~10_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add14~9 ),
+ .combout(\Add14~10_combout ),
+ .cout(\Add14~11 ));
+// synopsys translate_off
+defparam \Add14~10 .lut_mask = 16'h9617;
+defparam \Add14~10 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y25_N6
+cycloneiii_lcell_comb \Add15~6 (
+// Equation(s):
+// \Add15~6_combout = (\first_red_pos_x[3]~input_o & ((\Add14~10_combout & (\Add15~5 & VCC)) # (!\Add14~10_combout & (!\Add15~5 )))) # (!\first_red_pos_x[3]~input_o & ((\Add14~10_combout & (!\Add15~5 )) # (!\Add14~10_combout & ((\Add15~5 ) #
+// (GND)))))
+// \Add15~7 = CARRY((\first_red_pos_x[3]~input_o & (!\Add14~10_combout & !\Add15~5 )) # (!\first_red_pos_x[3]~input_o & ((!\Add15~5 ) # (!\Add14~10_combout ))))
+
+ .dataa(\first_red_pos_x[3]~input_o ),
+ .datab(\Add14~10_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add15~5 ),
+ .combout(\Add15~6_combout ),
+ .cout(\Add15~7 ));
+// synopsys translate_off
+defparam \Add15~6 .lut_mask = 16'h9617;
+defparam \Add15~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y25_N16
+cycloneiii_lcell_comb \Add14~12 (
+// Equation(s):
+// \Add14~12_combout = ((\Add0~12_combout $ (\Add0~18_combout $ (!\Add14~11 )))) # (GND)
+// \Add14~13 = CARRY((\Add0~12_combout & ((\Add0~18_combout ) # (!\Add14~11 ))) # (!\Add0~12_combout & (\Add0~18_combout & !\Add14~11 )))
+
+ .dataa(\Add0~12_combout ),
+ .datab(\Add0~18_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add14~11 ),
+ .combout(\Add14~12_combout ),
+ .cout(\Add14~13 ));
+// synopsys translate_off
+defparam \Add14~12 .lut_mask = 16'h698E;
+defparam \Add14~12 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y25_N8
+cycloneiii_lcell_comb \Add15~8 (
+// Equation(s):
+// \Add15~8_combout = ((\first_red_pos_x[4]~input_o $ (\Add14~12_combout $ (!\Add15~7 )))) # (GND)
+// \Add15~9 = CARRY((\first_red_pos_x[4]~input_o & ((\Add14~12_combout ) # (!\Add15~7 ))) # (!\first_red_pos_x[4]~input_o & (\Add14~12_combout & !\Add15~7 )))
+
+ .dataa(\first_red_pos_x[4]~input_o ),
+ .datab(\Add14~12_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add15~7 ),
+ .combout(\Add15~8_combout ),
+ .cout(\Add15~9 ));
+// synopsys translate_off
+defparam \Add15~8 .lut_mask = 16'h698E;
+defparam \Add15~8 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y25_N18
+cycloneiii_lcell_comb \Add14~14 (
+// Equation(s):
+// \Add14~14_combout = (\Add0~20_combout & ((\Add0~14_combout & (!\Add14~13 )) # (!\Add0~14_combout & ((\Add14~13 ) # (GND))))) # (!\Add0~20_combout & ((\Add0~14_combout & (\Add14~13 & VCC)) # (!\Add0~14_combout & (!\Add14~13 ))))
+// \Add14~15 = CARRY((\Add0~20_combout & ((!\Add14~13 ) # (!\Add0~14_combout ))) # (!\Add0~20_combout & (!\Add0~14_combout & !\Add14~13 )))
+
+ .dataa(\Add0~20_combout ),
+ .datab(\Add0~14_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add14~13 ),
+ .combout(\Add14~14_combout ),
+ .cout(\Add14~15 ));
+// synopsys translate_off
+defparam \Add14~14 .lut_mask = 16'h692B;
+defparam \Add14~14 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y25_N10
+cycloneiii_lcell_comb \Add15~10 (
+// Equation(s):
+// \Add15~10_combout = (\first_red_pos_x[5]~input_o & ((\Add14~14_combout & (\Add15~9 & VCC)) # (!\Add14~14_combout & (!\Add15~9 )))) # (!\first_red_pos_x[5]~input_o & ((\Add14~14_combout & (!\Add15~9 )) # (!\Add14~14_combout & ((\Add15~9 ) #
+// (GND)))))
+// \Add15~11 = CARRY((\first_red_pos_x[5]~input_o & (!\Add14~14_combout & !\Add15~9 )) # (!\first_red_pos_x[5]~input_o & ((!\Add15~9 ) # (!\Add14~14_combout ))))
+
+ .dataa(\first_red_pos_x[5]~input_o ),
+ .datab(\Add14~14_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add15~9 ),
+ .combout(\Add15~10_combout ),
+ .cout(\Add15~11 ));
+// synopsys translate_off
+defparam \Add15~10 .lut_mask = 16'h9617;
+defparam \Add15~10 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y25_N20
+cycloneiii_lcell_comb \Add14~16 (
+// Equation(s):
+// \Add14~16_combout = ((\Add0~16_combout $ (\Add0~20_combout $ (\Add14~15 )))) # (GND)
+// \Add14~17 = CARRY((\Add0~16_combout & ((!\Add14~15 ) # (!\Add0~20_combout ))) # (!\Add0~16_combout & (!\Add0~20_combout & !\Add14~15 )))
+
+ .dataa(\Add0~16_combout ),
+ .datab(\Add0~20_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add14~15 ),
+ .combout(\Add14~16_combout ),
+ .cout(\Add14~17 ));
+// synopsys translate_off
+defparam \Add14~16 .lut_mask = 16'h962B;
+defparam \Add14~16 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y25_N12
+cycloneiii_lcell_comb \Add15~12 (
+// Equation(s):
+// \Add15~12_combout = ((\Add14~16_combout $ (\first_red_pos_x[6]~input_o $ (!\Add15~11 )))) # (GND)
+// \Add15~13 = CARRY((\Add14~16_combout & ((\first_red_pos_x[6]~input_o ) # (!\Add15~11 ))) # (!\Add14~16_combout & (\first_red_pos_x[6]~input_o & !\Add15~11 )))
+
+ .dataa(\Add14~16_combout ),
+ .datab(\first_red_pos_x[6]~input_o ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add15~11 ),
+ .combout(\Add15~12_combout ),
+ .cout(\Add15~13 ));
+// synopsys translate_off
+defparam \Add15~12 .lut_mask = 16'h698E;
+defparam \Add15~12 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y25_N22
+cycloneiii_lcell_comb \Add14~18 (
+// Equation(s):
+// \Add14~18_combout = (\Add0~20_combout & ((\Add0~18_combout & (!\Add14~17 )) # (!\Add0~18_combout & ((\Add14~17 ) # (GND))))) # (!\Add0~20_combout & ((\Add0~18_combout & (\Add14~17 & VCC)) # (!\Add0~18_combout & (!\Add14~17 ))))
+// \Add14~19 = CARRY((\Add0~20_combout & ((!\Add14~17 ) # (!\Add0~18_combout ))) # (!\Add0~20_combout & (!\Add0~18_combout & !\Add14~17 )))
+
+ .dataa(\Add0~20_combout ),
+ .datab(\Add0~18_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add14~17 ),
+ .combout(\Add14~18_combout ),
+ .cout(\Add14~19 ));
+// synopsys translate_off
+defparam \Add14~18 .lut_mask = 16'h692B;
+defparam \Add14~18 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y25_N14
+cycloneiii_lcell_comb \Add15~14 (
+// Equation(s):
+// \Add15~14_combout = (\first_red_pos_x[7]~input_o & ((\Add14~18_combout & (\Add15~13 & VCC)) # (!\Add14~18_combout & (!\Add15~13 )))) # (!\first_red_pos_x[7]~input_o & ((\Add14~18_combout & (!\Add15~13 )) # (!\Add14~18_combout & ((\Add15~13 ) #
+// (GND)))))
+// \Add15~15 = CARRY((\first_red_pos_x[7]~input_o & (!\Add14~18_combout & !\Add15~13 )) # (!\first_red_pos_x[7]~input_o & ((!\Add15~13 ) # (!\Add14~18_combout ))))
+
+ .dataa(\first_red_pos_x[7]~input_o ),
+ .datab(\Add14~18_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add15~13 ),
+ .combout(\Add15~14_combout ),
+ .cout(\Add15~15 ));
+// synopsys translate_off
+defparam \Add15~14 .lut_mask = 16'h9617;
+defparam \Add15~14 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y25_N24
+cycloneiii_lcell_comb \Add14~20 (
+// Equation(s):
+// \Add14~20_combout = (((!\Add14~19 ))) # (GND)
+// \Add14~21 = CARRY(!\Add0~20_combout )
+
+ .dataa(\Add0~20_combout ),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add14~19 ),
+ .combout(\Add14~20_combout ),
+ .cout(\Add14~21 ));
+// synopsys translate_off
+defparam \Add14~20 .lut_mask = 16'h0F55;
+defparam \Add14~20 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y25_N16
+cycloneiii_lcell_comb \Add15~16 (
+// Equation(s):
+// \Add15~16_combout = ((\first_red_pos_x[8]~input_o $ (\Add14~20_combout $ (!\Add15~15 )))) # (GND)
+// \Add15~17 = CARRY((\first_red_pos_x[8]~input_o & ((\Add14~20_combout ) # (!\Add15~15 ))) # (!\first_red_pos_x[8]~input_o & (\Add14~20_combout & !\Add15~15 )))
+
+ .dataa(\first_red_pos_x[8]~input_o ),
+ .datab(\Add14~20_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add15~15 ),
+ .combout(\Add15~16_combout ),
+ .cout(\Add15~17 ));
+// synopsys translate_off
+defparam \Add15~16 .lut_mask = 16'h698E;
+defparam \Add15~16 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y25_N26
+cycloneiii_lcell_comb \Add14~22 (
+// Equation(s):
+// \Add14~22_combout = \Add14~21
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .cin(\Add14~21 ),
+ .combout(\Add14~22_combout ),
+ .cout());
+// synopsys translate_off
+defparam \Add14~22 .lut_mask = 16'hF0F0;
+defparam \Add14~22 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y25_N18
+cycloneiii_lcell_comb \Add15~18 (
+// Equation(s):
+// \Add15~18_combout = \first_red_pos_x[9]~input_o $ (\Add15~17 $ (\Add14~22_combout ))
+
+ .dataa(\first_red_pos_x[9]~input_o ),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\Add14~22_combout ),
+ .cin(\Add15~17 ),
+ .combout(\Add15~18_combout ),
+ .cout());
+// synopsys translate_off
+defparam \Add15~18 .lut_mask = 16'hA55A;
+defparam \Add15~18 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X6_Y27_N6
+cycloneiii_lcell_comb \Add17~0 (
+// Equation(s):
+// \Add17~0_combout = (\Add6~4_combout & (\first_red_pos_x[0]~input_o $ (VCC))) # (!\Add6~4_combout & (\first_red_pos_x[0]~input_o & VCC))
+// \Add17~1 = CARRY((\Add6~4_combout & \first_red_pos_x[0]~input_o ))
+
+ .dataa(\Add6~4_combout ),
+ .datab(\first_red_pos_x[0]~input_o ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(\Add17~0_combout ),
+ .cout(\Add17~1 ));
+// synopsys translate_off
+defparam \Add17~0 .lut_mask = 16'h6688;
+defparam \Add17~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X6_Y27_N8
+cycloneiii_lcell_comb \Add17~2 (
+// Equation(s):
+// \Add17~2_combout = (\Add6~6_combout & ((\first_red_pos_x[1]~input_o & (\Add17~1 & VCC)) # (!\first_red_pos_x[1]~input_o & (!\Add17~1 )))) # (!\Add6~6_combout & ((\first_red_pos_x[1]~input_o & (!\Add17~1 )) # (!\first_red_pos_x[1]~input_o &
+// ((\Add17~1 ) # (GND)))))
+// \Add17~3 = CARRY((\Add6~6_combout & (!\first_red_pos_x[1]~input_o & !\Add17~1 )) # (!\Add6~6_combout & ((!\Add17~1 ) # (!\first_red_pos_x[1]~input_o ))))
+
+ .dataa(\Add6~6_combout ),
+ .datab(\first_red_pos_x[1]~input_o ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add17~1 ),
+ .combout(\Add17~2_combout ),
+ .cout(\Add17~3 ));
+// synopsys translate_off
+defparam \Add17~2 .lut_mask = 16'h9617;
+defparam \Add17~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X6_Y27_N10
+cycloneiii_lcell_comb \Add17~4 (
+// Equation(s):
+// \Add17~4_combout = ((\first_red_pos_x[2]~input_o $ (\Add6~8_combout $ (!\Add17~3 )))) # (GND)
+// \Add17~5 = CARRY((\first_red_pos_x[2]~input_o & ((\Add6~8_combout ) # (!\Add17~3 ))) # (!\first_red_pos_x[2]~input_o & (\Add6~8_combout & !\Add17~3 )))
+
+ .dataa(\first_red_pos_x[2]~input_o ),
+ .datab(\Add6~8_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add17~3 ),
+ .combout(\Add17~4_combout ),
+ .cout(\Add17~5 ));
+// synopsys translate_off
+defparam \Add17~4 .lut_mask = 16'h698E;
+defparam \Add17~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X6_Y27_N12
+cycloneiii_lcell_comb \Add17~6 (
+// Equation(s):
+// \Add17~6_combout = (\first_red_pos_x[3]~input_o & ((\Add6~10_combout & (\Add17~5 & VCC)) # (!\Add6~10_combout & (!\Add17~5 )))) # (!\first_red_pos_x[3]~input_o & ((\Add6~10_combout & (!\Add17~5 )) # (!\Add6~10_combout & ((\Add17~5 ) # (GND)))))
+// \Add17~7 = CARRY((\first_red_pos_x[3]~input_o & (!\Add6~10_combout & !\Add17~5 )) # (!\first_red_pos_x[3]~input_o & ((!\Add17~5 ) # (!\Add6~10_combout ))))
+
+ .dataa(\first_red_pos_x[3]~input_o ),
+ .datab(\Add6~10_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add17~5 ),
+ .combout(\Add17~6_combout ),
+ .cout(\Add17~7 ));
+// synopsys translate_off
+defparam \Add17~6 .lut_mask = 16'h9617;
+defparam \Add17~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X6_Y27_N14
+cycloneiii_lcell_comb \Add17~8 (
+// Equation(s):
+// \Add17~8_combout = ((\first_red_pos_x[4]~input_o $ (\Add6~12_combout $ (!\Add17~7 )))) # (GND)
+// \Add17~9 = CARRY((\first_red_pos_x[4]~input_o & ((\Add6~12_combout ) # (!\Add17~7 ))) # (!\first_red_pos_x[4]~input_o & (\Add6~12_combout & !\Add17~7 )))
+
+ .dataa(\first_red_pos_x[4]~input_o ),
+ .datab(\Add6~12_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add17~7 ),
+ .combout(\Add17~8_combout ),
+ .cout(\Add17~9 ));
+// synopsys translate_off
+defparam \Add17~8 .lut_mask = 16'h698E;
+defparam \Add17~8 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X6_Y27_N16
+cycloneiii_lcell_comb \Add17~10 (
+// Equation(s):
+// \Add17~10_combout = (\first_red_pos_x[5]~input_o & ((\Add6~14_combout & (\Add17~9 & VCC)) # (!\Add6~14_combout & (!\Add17~9 )))) # (!\first_red_pos_x[5]~input_o & ((\Add6~14_combout & (!\Add17~9 )) # (!\Add6~14_combout & ((\Add17~9 ) # (GND)))))
+// \Add17~11 = CARRY((\first_red_pos_x[5]~input_o & (!\Add6~14_combout & !\Add17~9 )) # (!\first_red_pos_x[5]~input_o & ((!\Add17~9 ) # (!\Add6~14_combout ))))
+
+ .dataa(\first_red_pos_x[5]~input_o ),
+ .datab(\Add6~14_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add17~9 ),
+ .combout(\Add17~10_combout ),
+ .cout(\Add17~11 ));
+// synopsys translate_off
+defparam \Add17~10 .lut_mask = 16'h9617;
+defparam \Add17~10 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X6_Y27_N18
+cycloneiii_lcell_comb \Add17~12 (
+// Equation(s):
+// \Add17~12_combout = ((\Add6~16_combout $ (\first_red_pos_x[6]~input_o $ (!\Add17~11 )))) # (GND)
+// \Add17~13 = CARRY((\Add6~16_combout & ((\first_red_pos_x[6]~input_o ) # (!\Add17~11 ))) # (!\Add6~16_combout & (\first_red_pos_x[6]~input_o & !\Add17~11 )))
+
+ .dataa(\Add6~16_combout ),
+ .datab(\first_red_pos_x[6]~input_o ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add17~11 ),
+ .combout(\Add17~12_combout ),
+ .cout(\Add17~13 ));
+// synopsys translate_off
+defparam \Add17~12 .lut_mask = 16'h698E;
+defparam \Add17~12 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X6_Y27_N20
+cycloneiii_lcell_comb \Add17~14 (
+// Equation(s):
+// \Add17~14_combout = (\Add6~18_combout & ((\first_red_pos_x[7]~input_o & (\Add17~13 & VCC)) # (!\first_red_pos_x[7]~input_o & (!\Add17~13 )))) # (!\Add6~18_combout & ((\first_red_pos_x[7]~input_o & (!\Add17~13 )) # (!\first_red_pos_x[7]~input_o &
+// ((\Add17~13 ) # (GND)))))
+// \Add17~15 = CARRY((\Add6~18_combout & (!\first_red_pos_x[7]~input_o & !\Add17~13 )) # (!\Add6~18_combout & ((!\Add17~13 ) # (!\first_red_pos_x[7]~input_o ))))
+
+ .dataa(\Add6~18_combout ),
+ .datab(\first_red_pos_x[7]~input_o ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add17~13 ),
+ .combout(\Add17~14_combout ),
+ .cout(\Add17~15 ));
+// synopsys translate_off
+defparam \Add17~14 .lut_mask = 16'h9617;
+defparam \Add17~14 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X6_Y27_N22
+cycloneiii_lcell_comb \Add17~16 (
+// Equation(s):
+// \Add17~16_combout = ((\Add6~20_combout $ (\first_red_pos_x[8]~input_o $ (!\Add17~15 )))) # (GND)
+// \Add17~17 = CARRY((\Add6~20_combout & ((\first_red_pos_x[8]~input_o ) # (!\Add17~15 ))) # (!\Add6~20_combout & (\first_red_pos_x[8]~input_o & !\Add17~15 )))
+
+ .dataa(\Add6~20_combout ),
+ .datab(\first_red_pos_x[8]~input_o ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add17~15 ),
+ .combout(\Add17~16_combout ),
+ .cout(\Add17~17 ));
+// synopsys translate_off
+defparam \Add17~16 .lut_mask = 16'h698E;
+defparam \Add17~16 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X6_Y27_N24
+cycloneiii_lcell_comb \Add17~18 (
+// Equation(s):
+// \Add17~18_combout = \Add6~22_combout $ (\Add17~17 $ (\first_red_pos_x[9]~input_o ))
+
+ .dataa(\Add6~22_combout ),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\first_red_pos_x[9]~input_o ),
+ .cin(\Add17~17 ),
+ .combout(\Add17~18_combout ),
+ .cout());
+// synopsys translate_off
+defparam \Add17~18 .lut_mask = 16'hA55A;
+defparam \Add17~18 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y21_N0
+cycloneiii_lcell_comb \Mult0|mult_core|romout[1][1]~0 (
+// Equation(s):
+// \Mult0|mult_core|romout[1][1]~0_combout = \Add0~10_combout $ (\Add0~8_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(\Add0~10_combout ),
+ .datad(\Add0~8_combout ),
+ .cin(gnd),
+ .combout(\Mult0|mult_core|romout[1][1]~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \Mult0|mult_core|romout[1][1]~0 .lut_mask = 16'h0FF0;
+defparam \Mult0|mult_core|romout[1][1]~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X21_Y21_N2
+cycloneiii_lcell_comb \Mult0|mult_core|padder|adder[0]|auto_generated|op_1~1 (
+// Equation(s):
+// \Mult0|mult_core|padder|adder[0]|auto_generated|op_1~1_cout = CARRY((\Mult4|mult_core|romout[0][5]~combout & \Add0~8_combout ))
+
+ .dataa(\Mult4|mult_core|romout[0][5]~combout ),
+ .datab(\Add0~8_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(),
+ .cout(\Mult0|mult_core|padder|adder[0]|auto_generated|op_1~1_cout ));
+// synopsys translate_off
+defparam \Mult0|mult_core|padder|adder[0]|auto_generated|op_1~1 .lut_mask = 16'h0088;
+defparam \Mult0|mult_core|padder|adder[0]|auto_generated|op_1~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X21_Y21_N4
+cycloneiii_lcell_comb \Mult0|mult_core|padder|adder[0]|auto_generated|op_1~2 (
+// Equation(s):
+// \Mult0|mult_core|padder|adder[0]|auto_generated|op_1~2_combout = (\Mult0|mult_core|romout[0][5]~1_combout & ((\Mult0|mult_core|romout[1][1]~0_combout & (!\Mult0|mult_core|padder|adder[0]|auto_generated|op_1~1_cout )) #
+// (!\Mult0|mult_core|romout[1][1]~0_combout & ((\Mult0|mult_core|padder|adder[0]|auto_generated|op_1~1_cout ) # (GND))))) # (!\Mult0|mult_core|romout[0][5]~1_combout & ((\Mult0|mult_core|romout[1][1]~0_combout &
+// (\Mult0|mult_core|padder|adder[0]|auto_generated|op_1~1_cout & VCC)) # (!\Mult0|mult_core|romout[1][1]~0_combout & (!\Mult0|mult_core|padder|adder[0]|auto_generated|op_1~1_cout ))))
+// \Mult0|mult_core|padder|adder[0]|auto_generated|op_1~3 = CARRY((\Mult0|mult_core|romout[0][5]~1_combout & ((!\Mult0|mult_core|padder|adder[0]|auto_generated|op_1~1_cout ) # (!\Mult0|mult_core|romout[1][1]~0_combout ))) #
+// (!\Mult0|mult_core|romout[0][5]~1_combout & (!\Mult0|mult_core|romout[1][1]~0_combout & !\Mult0|mult_core|padder|adder[0]|auto_generated|op_1~1_cout )))
+
+ .dataa(\Mult0|mult_core|romout[0][5]~1_combout ),
+ .datab(\Mult0|mult_core|romout[1][1]~0_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Mult0|mult_core|padder|adder[0]|auto_generated|op_1~1_cout ),
+ .combout(\Mult0|mult_core|padder|adder[0]|auto_generated|op_1~2_combout ),
+ .cout(\Mult0|mult_core|padder|adder[0]|auto_generated|op_1~3 ));
+// synopsys translate_off
+defparam \Mult0|mult_core|padder|adder[0]|auto_generated|op_1~2 .lut_mask = 16'h692B;
+defparam \Mult0|mult_core|padder|adder[0]|auto_generated|op_1~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X17_Y21_N8
+cycloneiii_lcell_comb \Add18~0 (
+// Equation(s):
+// \Add18~0_combout = (\Mult0|mult_core|padder|adder[0]|auto_generated|op_1~2_combout & (\first_red_pos_x[0]~input_o $ (VCC))) # (!\Mult0|mult_core|padder|adder[0]|auto_generated|op_1~2_combout & (\first_red_pos_x[0]~input_o & VCC))
+// \Add18~1 = CARRY((\Mult0|mult_core|padder|adder[0]|auto_generated|op_1~2_combout & \first_red_pos_x[0]~input_o ))
+
+ .dataa(\Mult0|mult_core|padder|adder[0]|auto_generated|op_1~2_combout ),
+ .datab(\first_red_pos_x[0]~input_o ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(\Add18~0_combout ),
+ .cout(\Add18~1 ));
+// synopsys translate_off
+defparam \Add18~0 .lut_mask = 16'h6688;
+defparam \Add18~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X23_Y12_N26
+cycloneiii_lcell_comb \Mult0|mult_core|romout[0][6] (
+// Equation(s):
+// \Mult0|mult_core|romout[0][6]~combout = (\Add0~6_combout & (!\Add0~4_combout )) # (!\Add0~6_combout & (\Add0~4_combout & \Add0~2_combout ))
+
+ .dataa(\Add0~6_combout ),
+ .datab(\Add0~4_combout ),
+ .datac(\Add0~2_combout ),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\Mult0|mult_core|romout[0][6]~combout ),
+ .cout());
+// synopsys translate_off
+defparam \Mult0|mult_core|romout[0][6] .lut_mask = 16'h6262;
+defparam \Mult0|mult_core|romout[0][6] .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X21_Y21_N6
+cycloneiii_lcell_comb \Mult0|mult_core|padder|adder[0]|auto_generated|op_1~4 (
+// Equation(s):
+// \Mult0|mult_core|padder|adder[0]|auto_generated|op_1~4_combout = ((\Mult0|mult_core|romout[1][2]~combout $ (\Mult0|mult_core|romout[0][6]~combout $ (!\Mult0|mult_core|padder|adder[0]|auto_generated|op_1~3 )))) # (GND)
+// \Mult0|mult_core|padder|adder[0]|auto_generated|op_1~5 = CARRY((\Mult0|mult_core|romout[1][2]~combout & ((\Mult0|mult_core|romout[0][6]~combout ) # (!\Mult0|mult_core|padder|adder[0]|auto_generated|op_1~3 ))) # (!\Mult0|mult_core|romout[1][2]~combout &
+// (\Mult0|mult_core|romout[0][6]~combout & !\Mult0|mult_core|padder|adder[0]|auto_generated|op_1~3 )))
+
+ .dataa(\Mult0|mult_core|romout[1][2]~combout ),
+ .datab(\Mult0|mult_core|romout[0][6]~combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Mult0|mult_core|padder|adder[0]|auto_generated|op_1~3 ),
+ .combout(\Mult0|mult_core|padder|adder[0]|auto_generated|op_1~4_combout ),
+ .cout(\Mult0|mult_core|padder|adder[0]|auto_generated|op_1~5 ));
+// synopsys translate_off
+defparam \Mult0|mult_core|padder|adder[0]|auto_generated|op_1~4 .lut_mask = 16'h698E;
+defparam \Mult0|mult_core|padder|adder[0]|auto_generated|op_1~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X17_Y21_N10
+cycloneiii_lcell_comb \Add18~2 (
+// Equation(s):
+// \Add18~2_combout = (\first_red_pos_x[1]~input_o & ((\Mult0|mult_core|padder|adder[0]|auto_generated|op_1~4_combout & (\Add18~1 & VCC)) # (!\Mult0|mult_core|padder|adder[0]|auto_generated|op_1~4_combout & (!\Add18~1 )))) #
+// (!\first_red_pos_x[1]~input_o & ((\Mult0|mult_core|padder|adder[0]|auto_generated|op_1~4_combout & (!\Add18~1 )) # (!\Mult0|mult_core|padder|adder[0]|auto_generated|op_1~4_combout & ((\Add18~1 ) # (GND)))))
+// \Add18~3 = CARRY((\first_red_pos_x[1]~input_o & (!\Mult0|mult_core|padder|adder[0]|auto_generated|op_1~4_combout & !\Add18~1 )) # (!\first_red_pos_x[1]~input_o & ((!\Add18~1 ) # (!\Mult0|mult_core|padder|adder[0]|auto_generated|op_1~4_combout ))))
+
+ .dataa(\first_red_pos_x[1]~input_o ),
+ .datab(\Mult0|mult_core|padder|adder[0]|auto_generated|op_1~4_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add18~1 ),
+ .combout(\Add18~2_combout ),
+ .cout(\Add18~3 ));
+// synopsys translate_off
+defparam \Add18~2 .lut_mask = 16'h9617;
+defparam \Add18~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X19_Y12_N16
+cycloneiii_lcell_comb \Mult0|mult_core|romout[1][3] (
+// Equation(s):
+// \Mult0|mult_core|romout[1][3]~combout = \Add0~14_combout $ (((\Add0~10_combout & (\Add0~8_combout & \Add0~12_combout )) # (!\Add0~10_combout & (\Add0~8_combout $ (\Add0~12_combout )))))
+
+ .dataa(\Add0~10_combout ),
+ .datab(\Add0~8_combout ),
+ .datac(\Add0~14_combout ),
+ .datad(\Add0~12_combout ),
+ .cin(gnd),
+ .combout(\Mult0|mult_core|romout[1][3]~combout ),
+ .cout());
+// synopsys translate_off
+defparam \Mult0|mult_core|romout[1][3] .lut_mask = 16'h69B4;
+defparam \Mult0|mult_core|romout[1][3] .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X21_Y21_N8
+cycloneiii_lcell_comb \Mult0|mult_core|padder|adder[0]|auto_generated|op_1~6 (
+// Equation(s):
+// \Mult0|mult_core|padder|adder[0]|auto_generated|op_1~6_combout = (\Mult0|mult_core|_~0_combout & ((\Mult0|mult_core|romout[1][3]~combout & (\Mult0|mult_core|padder|adder[0]|auto_generated|op_1~5 & VCC)) # (!\Mult0|mult_core|romout[1][3]~combout &
+// (!\Mult0|mult_core|padder|adder[0]|auto_generated|op_1~5 )))) # (!\Mult0|mult_core|_~0_combout & ((\Mult0|mult_core|romout[1][3]~combout & (!\Mult0|mult_core|padder|adder[0]|auto_generated|op_1~5 )) # (!\Mult0|mult_core|romout[1][3]~combout &
+// ((\Mult0|mult_core|padder|adder[0]|auto_generated|op_1~5 ) # (GND)))))
+// \Mult0|mult_core|padder|adder[0]|auto_generated|op_1~7 = CARRY((\Mult0|mult_core|_~0_combout & (!\Mult0|mult_core|romout[1][3]~combout & !\Mult0|mult_core|padder|adder[0]|auto_generated|op_1~5 )) # (!\Mult0|mult_core|_~0_combout &
+// ((!\Mult0|mult_core|padder|adder[0]|auto_generated|op_1~5 ) # (!\Mult0|mult_core|romout[1][3]~combout ))))
+
+ .dataa(\Mult0|mult_core|_~0_combout ),
+ .datab(\Mult0|mult_core|romout[1][3]~combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Mult0|mult_core|padder|adder[0]|auto_generated|op_1~5 ),
+ .combout(\Mult0|mult_core|padder|adder[0]|auto_generated|op_1~6_combout ),
+ .cout(\Mult0|mult_core|padder|adder[0]|auto_generated|op_1~7 ));
+// synopsys translate_off
+defparam \Mult0|mult_core|padder|adder[0]|auto_generated|op_1~6 .lut_mask = 16'h9617;
+defparam \Mult0|mult_core|padder|adder[0]|auto_generated|op_1~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X17_Y21_N12
+cycloneiii_lcell_comb \Add18~4 (
+// Equation(s):
+// \Add18~4_combout = ((\Mult0|mult_core|padder|adder[0]|auto_generated|op_1~6_combout $ (\first_red_pos_x[2]~input_o $ (!\Add18~3 )))) # (GND)
+// \Add18~5 = CARRY((\Mult0|mult_core|padder|adder[0]|auto_generated|op_1~6_combout & ((\first_red_pos_x[2]~input_o ) # (!\Add18~3 ))) # (!\Mult0|mult_core|padder|adder[0]|auto_generated|op_1~6_combout & (\first_red_pos_x[2]~input_o & !\Add18~3 )))
+
+ .dataa(\Mult0|mult_core|padder|adder[0]|auto_generated|op_1~6_combout ),
+ .datab(\first_red_pos_x[2]~input_o ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add18~3 ),
+ .combout(\Add18~4_combout ),
+ .cout(\Add18~5 ));
+// synopsys translate_off
+defparam \Add18~4 .lut_mask = 16'h698E;
+defparam \Add18~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X21_Y21_N18
+cycloneiii_lcell_comb \Mult0|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~0 (
+// Equation(s):
+// \Mult0|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~0_combout = (\Mult0|mult_core|padder|adder[0]|auto_generated|op_1~8_combout & (\Add0~16_combout $ (VCC))) # (!\Mult0|mult_core|padder|adder[0]|auto_generated|op_1~8_combout &
+// (\Add0~16_combout & VCC))
+// \Mult0|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~1 = CARRY((\Mult0|mult_core|padder|adder[0]|auto_generated|op_1~8_combout & \Add0~16_combout ))
+
+ .dataa(\Mult0|mult_core|padder|adder[0]|auto_generated|op_1~8_combout ),
+ .datab(\Add0~16_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(\Mult0|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~0_combout ),
+ .cout(\Mult0|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~1 ));
+// synopsys translate_off
+defparam \Mult0|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~0 .lut_mask = 16'h6688;
+defparam \Mult0|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X17_Y21_N14
+cycloneiii_lcell_comb \Add18~6 (
+// Equation(s):
+// \Add18~6_combout = (\first_red_pos_x[3]~input_o & ((\Mult0|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~0_combout & (\Add18~5 & VCC)) # (!\Mult0|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~0_combout & (!\Add18~5 )))) #
+// (!\first_red_pos_x[3]~input_o & ((\Mult0|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~0_combout & (!\Add18~5 )) # (!\Mult0|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~0_combout & ((\Add18~5 ) # (GND)))))
+// \Add18~7 = CARRY((\first_red_pos_x[3]~input_o & (!\Mult0|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~0_combout & !\Add18~5 )) # (!\first_red_pos_x[3]~input_o & ((!\Add18~5 ) #
+// (!\Mult0|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~0_combout ))))
+
+ .dataa(\first_red_pos_x[3]~input_o ),
+ .datab(\Mult0|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~0_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add18~5 ),
+ .combout(\Add18~6_combout ),
+ .cout(\Add18~7 ));
+// synopsys translate_off
+defparam \Add18~6 .lut_mask = 16'h9617;
+defparam \Add18~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y14_N8
+cycloneiii_lcell_comb \Mult0|mult_core|romout[2][1] (
+// Equation(s):
+// \Mult0|mult_core|romout[2][1]~combout = \Add0~18_combout $ (\Add0~16_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(\Add0~18_combout ),
+ .datad(\Add0~16_combout ),
+ .cin(gnd),
+ .combout(\Mult0|mult_core|romout[2][1]~combout ),
+ .cout());
+// synopsys translate_off
+defparam \Mult0|mult_core|romout[2][1] .lut_mask = 16'h0FF0;
+defparam \Mult0|mult_core|romout[2][1] .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X21_Y21_N20
+cycloneiii_lcell_comb \Mult0|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~2 (
+// Equation(s):
+// \Mult0|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~2_combout = (\Mult0|mult_core|padder|adder[0]|auto_generated|op_1~10_combout & ((\Mult0|mult_core|romout[2][1]~combout &
+// (\Mult0|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~1 & VCC)) # (!\Mult0|mult_core|romout[2][1]~combout & (!\Mult0|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~1 )))) #
+// (!\Mult0|mult_core|padder|adder[0]|auto_generated|op_1~10_combout & ((\Mult0|mult_core|romout[2][1]~combout & (!\Mult0|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~1 )) # (!\Mult0|mult_core|romout[2][1]~combout &
+// ((\Mult0|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~1 ) # (GND)))))
+// \Mult0|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~3 = CARRY((\Mult0|mult_core|padder|adder[0]|auto_generated|op_1~10_combout & (!\Mult0|mult_core|romout[2][1]~combout & !\Mult0|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~1
+// )) # (!\Mult0|mult_core|padder|adder[0]|auto_generated|op_1~10_combout & ((!\Mult0|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~1 ) # (!\Mult0|mult_core|romout[2][1]~combout ))))
+
+ .dataa(\Mult0|mult_core|padder|adder[0]|auto_generated|op_1~10_combout ),
+ .datab(\Mult0|mult_core|romout[2][1]~combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Mult0|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~1 ),
+ .combout(\Mult0|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~2_combout ),
+ .cout(\Mult0|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~3 ));
+// synopsys translate_off
+defparam \Mult0|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~2 .lut_mask = 16'h9617;
+defparam \Mult0|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X17_Y21_N16
+cycloneiii_lcell_comb \Add18~8 (
+// Equation(s):
+// \Add18~8_combout = ((\first_red_pos_x[4]~input_o $ (\Mult0|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~2_combout $ (!\Add18~7 )))) # (GND)
+// \Add18~9 = CARRY((\first_red_pos_x[4]~input_o & ((\Mult0|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~2_combout ) # (!\Add18~7 ))) # (!\first_red_pos_x[4]~input_o &
+// (\Mult0|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~2_combout & !\Add18~7 )))
+
+ .dataa(\first_red_pos_x[4]~input_o ),
+ .datab(\Mult0|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~2_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add18~7 ),
+ .combout(\Add18~8_combout ),
+ .cout(\Add18~9 ));
+// synopsys translate_off
+defparam \Add18~8 .lut_mask = 16'h698E;
+defparam \Add18~8 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y21_N22
+cycloneiii_lcell_comb \Mult0|mult_core|romout[1][5]~2 (
+// Equation(s):
+// \Mult0|mult_core|romout[1][5]~2_combout = (\Add0~14_combout & ((\Add0~8_combout & (!\Add0~10_combout & \Add0~12_combout )) # (!\Add0~8_combout & ((\Add0~12_combout ) # (!\Add0~10_combout ))))) # (!\Add0~14_combout & ((\Add0~10_combout &
+// ((\Add0~12_combout ) # (!\Add0~8_combout ))) # (!\Add0~10_combout & ((!\Add0~12_combout )))))
+
+ .dataa(\Add0~8_combout ),
+ .datab(\Add0~14_combout ),
+ .datac(\Add0~10_combout ),
+ .datad(\Add0~12_combout ),
+ .cin(gnd),
+ .combout(\Mult0|mult_core|romout[1][5]~2_combout ),
+ .cout());
+// synopsys translate_off
+defparam \Mult0|mult_core|romout[1][5]~2 .lut_mask = 16'h7C17;
+defparam \Mult0|mult_core|romout[1][5]~2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y21_N4
+cycloneiii_lcell_comb \Mult0|mult_core|romout[1][4] (
+// Equation(s):
+// \Mult0|mult_core|romout[1][4]~combout = (\Add0~8_combout & ((\Add0~14_combout & (\Add0~10_combout & !\Add0~12_combout )) # (!\Add0~14_combout & (!\Add0~10_combout & \Add0~12_combout )))) # (!\Add0~8_combout & ((\Add0~14_combout & (\Add0~10_combout
+// $ (!\Add0~12_combout ))) # (!\Add0~14_combout & (\Add0~10_combout & !\Add0~12_combout ))))
+
+ .dataa(\Add0~8_combout ),
+ .datab(\Add0~14_combout ),
+ .datac(\Add0~10_combout ),
+ .datad(\Add0~12_combout ),
+ .cin(gnd),
+ .combout(\Mult0|mult_core|romout[1][4]~combout ),
+ .cout());
+// synopsys translate_off
+defparam \Mult0|mult_core|romout[1][4] .lut_mask = 16'h4294;
+defparam \Mult0|mult_core|romout[1][4] .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X21_Y21_N14
+cycloneiii_lcell_comb \Mult0|mult_core|padder|adder[0]|auto_generated|op_1~12 (
+// Equation(s):
+// \Mult0|mult_core|padder|adder[0]|auto_generated|op_1~12_combout = (\Mult0|mult_core|romout[1][6]~combout & (\Mult0|mult_core|padder|adder[0]|auto_generated|op_1~11 $ (GND))) # (!\Mult0|mult_core|romout[1][6]~combout &
+// (!\Mult0|mult_core|padder|adder[0]|auto_generated|op_1~11 & VCC))
+// \Mult0|mult_core|padder|adder[0]|auto_generated|op_1~13 = CARRY((\Mult0|mult_core|romout[1][6]~combout & !\Mult0|mult_core|padder|adder[0]|auto_generated|op_1~11 ))
+
+ .dataa(\Mult0|mult_core|romout[1][6]~combout ),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Mult0|mult_core|padder|adder[0]|auto_generated|op_1~11 ),
+ .combout(\Mult0|mult_core|padder|adder[0]|auto_generated|op_1~12_combout ),
+ .cout(\Mult0|mult_core|padder|adder[0]|auto_generated|op_1~13 ));
+// synopsys translate_off
+defparam \Mult0|mult_core|padder|adder[0]|auto_generated|op_1~12 .lut_mask = 16'hA50A;
+defparam \Mult0|mult_core|padder|adder[0]|auto_generated|op_1~12 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X21_Y21_N22
+cycloneiii_lcell_comb \Mult0|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~4 (
+// Equation(s):
+// \Mult0|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~4_combout = ((\Mult0|mult_core|romout[2][2]~combout $ (\Mult0|mult_core|padder|adder[0]|auto_generated|op_1~12_combout $
+// (!\Mult0|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~3 )))) # (GND)
+// \Mult0|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~5 = CARRY((\Mult0|mult_core|romout[2][2]~combout & ((\Mult0|mult_core|padder|adder[0]|auto_generated|op_1~12_combout ) #
+// (!\Mult0|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~3 ))) # (!\Mult0|mult_core|romout[2][2]~combout & (\Mult0|mult_core|padder|adder[0]|auto_generated|op_1~12_combout & !\Mult0|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~3
+// )))
+
+ .dataa(\Mult0|mult_core|romout[2][2]~combout ),
+ .datab(\Mult0|mult_core|padder|adder[0]|auto_generated|op_1~12_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Mult0|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~3 ),
+ .combout(\Mult0|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~4_combout ),
+ .cout(\Mult0|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~5 ));
+// synopsys translate_off
+defparam \Mult0|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~4 .lut_mask = 16'h698E;
+defparam \Mult0|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X17_Y21_N18
+cycloneiii_lcell_comb \Add18~10 (
+// Equation(s):
+// \Add18~10_combout = (\first_red_pos_x[5]~input_o & ((\Mult0|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~4_combout & (\Add18~9 & VCC)) # (!\Mult0|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~4_combout & (!\Add18~9 )))) #
+// (!\first_red_pos_x[5]~input_o & ((\Mult0|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~4_combout & (!\Add18~9 )) # (!\Mult0|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~4_combout & ((\Add18~9 ) # (GND)))))
+// \Add18~11 = CARRY((\first_red_pos_x[5]~input_o & (!\Mult0|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~4_combout & !\Add18~9 )) # (!\first_red_pos_x[5]~input_o & ((!\Add18~9 ) #
+// (!\Mult0|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~4_combout ))))
+
+ .dataa(\first_red_pos_x[5]~input_o ),
+ .datab(\Mult0|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~4_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add18~9 ),
+ .combout(\Add18~10_combout ),
+ .cout(\Add18~11 ));
+// synopsys translate_off
+defparam \Add18~10 .lut_mask = 16'h9617;
+defparam \Add18~10 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y21_N24
+cycloneiii_lcell_comb \Mult0|mult_core|romout[1][7]~3 (
+// Equation(s):
+// \Mult0|mult_core|romout[1][7]~3_combout = (\Add0~14_combout & \Add0~12_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(\Add0~14_combout ),
+ .datad(\Add0~12_combout ),
+ .cin(gnd),
+ .combout(\Mult0|mult_core|romout[1][7]~3_combout ),
+ .cout());
+// synopsys translate_off
+defparam \Mult0|mult_core|romout[1][7]~3 .lut_mask = 16'hF000;
+defparam \Mult0|mult_core|romout[1][7]~3 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X21_Y21_N16
+cycloneiii_lcell_comb \Mult0|mult_core|padder|adder[0]|auto_generated|op_1~14 (
+// Equation(s):
+// \Mult0|mult_core|padder|adder[0]|auto_generated|op_1~14_combout = \Mult0|mult_core|padder|adder[0]|auto_generated|op_1~13 $ (\Mult0|mult_core|romout[1][7]~3_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\Mult0|mult_core|romout[1][7]~3_combout ),
+ .cin(\Mult0|mult_core|padder|adder[0]|auto_generated|op_1~13 ),
+ .combout(\Mult0|mult_core|padder|adder[0]|auto_generated|op_1~14_combout ),
+ .cout());
+// synopsys translate_off
+defparam \Mult0|mult_core|padder|adder[0]|auto_generated|op_1~14 .lut_mask = 16'h0FF0;
+defparam \Mult0|mult_core|padder|adder[0]|auto_generated|op_1~14 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X21_Y21_N24
+cycloneiii_lcell_comb \Mult0|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~6 (
+// Equation(s):
+// \Mult0|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~6_combout = (\Mult0|mult_core|romout[2][3]~4_combout & ((\Mult0|mult_core|padder|adder[0]|auto_generated|op_1~14_combout &
+// (!\Mult0|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~5 )) # (!\Mult0|mult_core|padder|adder[0]|auto_generated|op_1~14_combout & ((\Mult0|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~5 ) # (GND))))) #
+// (!\Mult0|mult_core|romout[2][3]~4_combout & ((\Mult0|mult_core|padder|adder[0]|auto_generated|op_1~14_combout & (\Mult0|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~5 & VCC)) #
+// (!\Mult0|mult_core|padder|adder[0]|auto_generated|op_1~14_combout & (!\Mult0|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~5 ))))
+// \Mult0|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~7 = CARRY((\Mult0|mult_core|romout[2][3]~4_combout & ((!\Mult0|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~5 ) #
+// (!\Mult0|mult_core|padder|adder[0]|auto_generated|op_1~14_combout ))) # (!\Mult0|mult_core|romout[2][3]~4_combout & (!\Mult0|mult_core|padder|adder[0]|auto_generated|op_1~14_combout & !\Mult0|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~5
+// )))
+
+ .dataa(\Mult0|mult_core|romout[2][3]~4_combout ),
+ .datab(\Mult0|mult_core|padder|adder[0]|auto_generated|op_1~14_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Mult0|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~5 ),
+ .combout(\Mult0|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~6_combout ),
+ .cout(\Mult0|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~7 ));
+// synopsys translate_off
+defparam \Mult0|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~6 .lut_mask = 16'h692B;
+defparam \Mult0|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X17_Y21_N20
+cycloneiii_lcell_comb \Add18~12 (
+// Equation(s):
+// \Add18~12_combout = ((\first_red_pos_x[6]~input_o $ (\Mult0|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~6_combout $ (!\Add18~11 )))) # (GND)
+// \Add18~13 = CARRY((\first_red_pos_x[6]~input_o & ((\Mult0|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~6_combout ) # (!\Add18~11 ))) # (!\first_red_pos_x[6]~input_o &
+// (\Mult0|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~6_combout & !\Add18~11 )))
+
+ .dataa(\first_red_pos_x[6]~input_o ),
+ .datab(\Mult0|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~6_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add18~11 ),
+ .combout(\Add18~12_combout ),
+ .cout(\Add18~13 ));
+// synopsys translate_off
+defparam \Add18~12 .lut_mask = 16'h698E;
+defparam \Add18~12 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X21_Y21_N26
+cycloneiii_lcell_comb \Mult0|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~8 (
+// Equation(s):
+// \Mult0|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~8_combout = (\Mult0|mult_core|padder|adder[1]|auto_generated|op_1~0_combout & (\Mult0|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~7 $ (GND))) #
+// (!\Mult0|mult_core|padder|adder[1]|auto_generated|op_1~0_combout & (!\Mult0|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~7 & VCC))
+// \Mult0|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~9 = CARRY((\Mult0|mult_core|padder|adder[1]|auto_generated|op_1~0_combout & !\Mult0|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~7 ))
+
+ .dataa(\Mult0|mult_core|padder|adder[1]|auto_generated|op_1~0_combout ),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Mult0|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~7 ),
+ .combout(\Mult0|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~8_combout ),
+ .cout(\Mult0|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~9 ));
+// synopsys translate_off
+defparam \Mult0|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~8 .lut_mask = 16'hA50A;
+defparam \Mult0|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~8 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X17_Y21_N22
+cycloneiii_lcell_comb \Add18~14 (
+// Equation(s):
+// \Add18~14_combout = (\Mult0|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~8_combout & ((\first_red_pos_x[7]~input_o & (\Add18~13 & VCC)) # (!\first_red_pos_x[7]~input_o & (!\Add18~13 )))) #
+// (!\Mult0|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~8_combout & ((\first_red_pos_x[7]~input_o & (!\Add18~13 )) # (!\first_red_pos_x[7]~input_o & ((\Add18~13 ) # (GND)))))
+// \Add18~15 = CARRY((\Mult0|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~8_combout & (!\first_red_pos_x[7]~input_o & !\Add18~13 )) # (!\Mult0|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~8_combout & ((!\Add18~13 ) #
+// (!\first_red_pos_x[7]~input_o ))))
+
+ .dataa(\Mult0|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~8_combout ),
+ .datab(\first_red_pos_x[7]~input_o ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add18~13 ),
+ .combout(\Add18~14_combout ),
+ .cout(\Add18~15 ));
+// synopsys translate_off
+defparam \Add18~14 .lut_mask = 16'h9617;
+defparam \Add18~14 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X21_Y21_N28
+cycloneiii_lcell_comb \Mult0|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~10 (
+// Equation(s):
+// \Mult0|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~10_combout = (\Mult0|mult_core|padder|adder[1]|auto_generated|op_1~2_combout & (!\Mult0|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~9 )) #
+// (!\Mult0|mult_core|padder|adder[1]|auto_generated|op_1~2_combout & ((\Mult0|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~9 ) # (GND)))
+// \Mult0|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~11 = CARRY((!\Mult0|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~9 ) # (!\Mult0|mult_core|padder|adder[1]|auto_generated|op_1~2_combout ))
+
+ .dataa(\Mult0|mult_core|padder|adder[1]|auto_generated|op_1~2_combout ),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Mult0|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~9 ),
+ .combout(\Mult0|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~10_combout ),
+ .cout(\Mult0|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~11 ));
+// synopsys translate_off
+defparam \Mult0|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~10 .lut_mask = 16'h5A5F;
+defparam \Mult0|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~10 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X17_Y21_N24
+cycloneiii_lcell_comb \Add18~16 (
+// Equation(s):
+// \Add18~16_combout = ((\first_red_pos_x[8]~input_o $ (\Mult0|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~10_combout $ (!\Add18~15 )))) # (GND)
+// \Add18~17 = CARRY((\first_red_pos_x[8]~input_o & ((\Mult0|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~10_combout ) # (!\Add18~15 ))) # (!\first_red_pos_x[8]~input_o &
+// (\Mult0|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~10_combout & !\Add18~15 )))
+
+ .dataa(\first_red_pos_x[8]~input_o ),
+ .datab(\Mult0|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~10_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add18~15 ),
+ .combout(\Add18~16_combout ),
+ .cout(\Add18~17 ));
+// synopsys translate_off
+defparam \Add18~16 .lut_mask = 16'h698E;
+defparam \Add18~16 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y25_N2
+cycloneiii_lcell_comb \Mult0|mult_core|_~3 (
+// Equation(s):
+// \Mult0|mult_core|_~3_combout = (!\Add0~20_combout & (\Add0~18_combout & \Add0~16_combout ))
+
+ .dataa(\Add0~20_combout ),
+ .datab(\Add0~18_combout ),
+ .datac(gnd),
+ .datad(\Add0~16_combout ),
+ .cin(gnd),
+ .combout(\Mult0|mult_core|_~3_combout ),
+ .cout());
+// synopsys translate_off
+defparam \Mult0|mult_core|_~3 .lut_mask = 16'h4400;
+defparam \Mult0|mult_core|_~3 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y25_N0
+cycloneiii_lcell_comb \Mult0|mult_core|_~1 (
+// Equation(s):
+// \Mult0|mult_core|_~1_combout = (\Add0~18_combout & !\Add0~16_combout )
+
+ .dataa(gnd),
+ .datab(\Add0~18_combout ),
+ .datac(gnd),
+ .datad(\Add0~16_combout ),
+ .cin(gnd),
+ .combout(\Mult0|mult_core|_~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \Mult0|mult_core|_~1 .lut_mask = 16'h00CC;
+defparam \Mult0|mult_core|_~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X7_Y27_N28
+cycloneiii_lcell_comb \Mult0|mult_core|padder|adder[1]|auto_generated|op_1~4 (
+// Equation(s):
+// \Mult0|mult_core|padder|adder[1]|auto_generated|op_1~4_combout = \Mult0|mult_core|padder|adder[1]|auto_generated|op_1~3 $ (\Add0~20_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\Add0~20_combout ),
+ .cin(\Mult0|mult_core|padder|adder[1]|auto_generated|op_1~3 ),
+ .combout(\Mult0|mult_core|padder|adder[1]|auto_generated|op_1~4_combout ),
+ .cout());
+// synopsys translate_off
+defparam \Mult0|mult_core|padder|adder[1]|auto_generated|op_1~4 .lut_mask = 16'h0FF0;
+defparam \Mult0|mult_core|padder|adder[1]|auto_generated|op_1~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X21_Y21_N30
+cycloneiii_lcell_comb \Mult0|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~12 (
+// Equation(s):
+// \Mult0|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~12_combout = \Mult0|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~11 $ (!\Mult0|mult_core|padder|adder[1]|auto_generated|op_1~4_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\Mult0|mult_core|padder|adder[1]|auto_generated|op_1~4_combout ),
+ .cin(\Mult0|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~11 ),
+ .combout(\Mult0|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~12_combout ),
+ .cout());
+// synopsys translate_off
+defparam \Mult0|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~12 .lut_mask = 16'hF00F;
+defparam \Mult0|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~12 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X17_Y21_N26
+cycloneiii_lcell_comb \Add18~18 (
+// Equation(s):
+// \Add18~18_combout = \first_red_pos_x[9]~input_o $ (\Add18~17 $ (\Mult0|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~12_combout ))
+
+ .dataa(\first_red_pos_x[9]~input_o ),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\Mult0|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~12_combout ),
+ .cin(\Add18~17 ),
+ .combout(\Add18~18_combout ),
+ .cout());
+// synopsys translate_off
+defparam \Add18~18 .lut_mask = 16'hA55A;
+defparam \Add18~18 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X5_Y12_N10
+cycloneiii_lcell_comb \Add20~0 (
+// Equation(s):
+// \Add20~0_combout = (\first_red_pos_x[0]~input_o & (\Add3~4_combout $ (VCC))) # (!\first_red_pos_x[0]~input_o & (\Add3~4_combout & VCC))
+// \Add20~1 = CARRY((\first_red_pos_x[0]~input_o & \Add3~4_combout ))
+
+ .dataa(\first_red_pos_x[0]~input_o ),
+ .datab(\Add3~4_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(\Add20~0_combout ),
+ .cout(\Add20~1 ));
+// synopsys translate_off
+defparam \Add20~0 .lut_mask = 16'h6688;
+defparam \Add20~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X5_Y12_N12
+cycloneiii_lcell_comb \Add20~2 (
+// Equation(s):
+// \Add20~2_combout = (\Add3~6_combout & ((\first_red_pos_x[1]~input_o & (\Add20~1 & VCC)) # (!\first_red_pos_x[1]~input_o & (!\Add20~1 )))) # (!\Add3~6_combout & ((\first_red_pos_x[1]~input_o & (!\Add20~1 )) # (!\first_red_pos_x[1]~input_o &
+// ((\Add20~1 ) # (GND)))))
+// \Add20~3 = CARRY((\Add3~6_combout & (!\first_red_pos_x[1]~input_o & !\Add20~1 )) # (!\Add3~6_combout & ((!\Add20~1 ) # (!\first_red_pos_x[1]~input_o ))))
+
+ .dataa(\Add3~6_combout ),
+ .datab(\first_red_pos_x[1]~input_o ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add20~1 ),
+ .combout(\Add20~2_combout ),
+ .cout(\Add20~3 ));
+// synopsys translate_off
+defparam \Add20~2 .lut_mask = 16'h9617;
+defparam \Add20~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X5_Y12_N14
+cycloneiii_lcell_comb \Add20~4 (
+// Equation(s):
+// \Add20~4_combout = ((\Add3~8_combout $ (\first_red_pos_x[2]~input_o $ (!\Add20~3 )))) # (GND)
+// \Add20~5 = CARRY((\Add3~8_combout & ((\first_red_pos_x[2]~input_o ) # (!\Add20~3 ))) # (!\Add3~8_combout & (\first_red_pos_x[2]~input_o & !\Add20~3 )))
+
+ .dataa(\Add3~8_combout ),
+ .datab(\first_red_pos_x[2]~input_o ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add20~3 ),
+ .combout(\Add20~4_combout ),
+ .cout(\Add20~5 ));
+// synopsys translate_off
+defparam \Add20~4 .lut_mask = 16'h698E;
+defparam \Add20~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X5_Y12_N16
+cycloneiii_lcell_comb \Add20~6 (
+// Equation(s):
+// \Add20~6_combout = (\Add3~10_combout & ((\first_red_pos_x[3]~input_o & (\Add20~5 & VCC)) # (!\first_red_pos_x[3]~input_o & (!\Add20~5 )))) # (!\Add3~10_combout & ((\first_red_pos_x[3]~input_o & (!\Add20~5 )) # (!\first_red_pos_x[3]~input_o &
+// ((\Add20~5 ) # (GND)))))
+// \Add20~7 = CARRY((\Add3~10_combout & (!\first_red_pos_x[3]~input_o & !\Add20~5 )) # (!\Add3~10_combout & ((!\Add20~5 ) # (!\first_red_pos_x[3]~input_o ))))
+
+ .dataa(\Add3~10_combout ),
+ .datab(\first_red_pos_x[3]~input_o ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add20~5 ),
+ .combout(\Add20~6_combout ),
+ .cout(\Add20~7 ));
+// synopsys translate_off
+defparam \Add20~6 .lut_mask = 16'h9617;
+defparam \Add20~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X5_Y12_N18
+cycloneiii_lcell_comb \Add20~8 (
+// Equation(s):
+// \Add20~8_combout = ((\first_red_pos_x[4]~input_o $ (\Add3~12_combout $ (!\Add20~7 )))) # (GND)
+// \Add20~9 = CARRY((\first_red_pos_x[4]~input_o & ((\Add3~12_combout ) # (!\Add20~7 ))) # (!\first_red_pos_x[4]~input_o & (\Add3~12_combout & !\Add20~7 )))
+
+ .dataa(\first_red_pos_x[4]~input_o ),
+ .datab(\Add3~12_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add20~7 ),
+ .combout(\Add20~8_combout ),
+ .cout(\Add20~9 ));
+// synopsys translate_off
+defparam \Add20~8 .lut_mask = 16'h698E;
+defparam \Add20~8 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X5_Y12_N20
+cycloneiii_lcell_comb \Add20~10 (
+// Equation(s):
+// \Add20~10_combout = (\first_red_pos_x[5]~input_o & ((\Add3~14_combout & (\Add20~9 & VCC)) # (!\Add3~14_combout & (!\Add20~9 )))) # (!\first_red_pos_x[5]~input_o & ((\Add3~14_combout & (!\Add20~9 )) # (!\Add3~14_combout & ((\Add20~9 ) # (GND)))))
+// \Add20~11 = CARRY((\first_red_pos_x[5]~input_o & (!\Add3~14_combout & !\Add20~9 )) # (!\first_red_pos_x[5]~input_o & ((!\Add20~9 ) # (!\Add3~14_combout ))))
+
+ .dataa(\first_red_pos_x[5]~input_o ),
+ .datab(\Add3~14_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add20~9 ),
+ .combout(\Add20~10_combout ),
+ .cout(\Add20~11 ));
+// synopsys translate_off
+defparam \Add20~10 .lut_mask = 16'h9617;
+defparam \Add20~10 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X5_Y12_N22
+cycloneiii_lcell_comb \Add20~12 (
+// Equation(s):
+// \Add20~12_combout = ((\first_red_pos_x[6]~input_o $ (\Add3~16_combout $ (!\Add20~11 )))) # (GND)
+// \Add20~13 = CARRY((\first_red_pos_x[6]~input_o & ((\Add3~16_combout ) # (!\Add20~11 ))) # (!\first_red_pos_x[6]~input_o & (\Add3~16_combout & !\Add20~11 )))
+
+ .dataa(\first_red_pos_x[6]~input_o ),
+ .datab(\Add3~16_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add20~11 ),
+ .combout(\Add20~12_combout ),
+ .cout(\Add20~13 ));
+// synopsys translate_off
+defparam \Add20~12 .lut_mask = 16'h698E;
+defparam \Add20~12 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X5_Y12_N24
+cycloneiii_lcell_comb \Add20~14 (
+// Equation(s):
+// \Add20~14_combout = (\Add3~18_combout & ((\first_red_pos_x[7]~input_o & (\Add20~13 & VCC)) # (!\first_red_pos_x[7]~input_o & (!\Add20~13 )))) # (!\Add3~18_combout & ((\first_red_pos_x[7]~input_o & (!\Add20~13 )) # (!\first_red_pos_x[7]~input_o &
+// ((\Add20~13 ) # (GND)))))
+// \Add20~15 = CARRY((\Add3~18_combout & (!\first_red_pos_x[7]~input_o & !\Add20~13 )) # (!\Add3~18_combout & ((!\Add20~13 ) # (!\first_red_pos_x[7]~input_o ))))
+
+ .dataa(\Add3~18_combout ),
+ .datab(\first_red_pos_x[7]~input_o ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add20~13 ),
+ .combout(\Add20~14_combout ),
+ .cout(\Add20~15 ));
+// synopsys translate_off
+defparam \Add20~14 .lut_mask = 16'h9617;
+defparam \Add20~14 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X5_Y12_N26
+cycloneiii_lcell_comb \Add20~16 (
+// Equation(s):
+// \Add20~16_combout = ((\Add3~20_combout $ (\first_red_pos_x[8]~input_o $ (!\Add20~15 )))) # (GND)
+// \Add20~17 = CARRY((\Add3~20_combout & ((\first_red_pos_x[8]~input_o ) # (!\Add20~15 ))) # (!\Add3~20_combout & (\first_red_pos_x[8]~input_o & !\Add20~15 )))
+
+ .dataa(\Add3~20_combout ),
+ .datab(\first_red_pos_x[8]~input_o ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add20~15 ),
+ .combout(\Add20~16_combout ),
+ .cout(\Add20~17 ));
+// synopsys translate_off
+defparam \Add20~16 .lut_mask = 16'h698E;
+defparam \Add20~16 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X5_Y12_N28
+cycloneiii_lcell_comb \Add20~18 (
+// Equation(s):
+// \Add20~18_combout = \first_red_pos_x[9]~input_o $ (\Add20~17 $ (\Add3~22_combout ))
+
+ .dataa(gnd),
+ .datab(\first_red_pos_x[9]~input_o ),
+ .datac(gnd),
+ .datad(\Add3~22_combout ),
+ .cin(\Add20~17 ),
+ .combout(\Add20~18_combout ),
+ .cout());
+// synopsys translate_off
+defparam \Add20~18 .lut_mask = 16'hC33C;
+defparam \Add20~18 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X22_Y19_N24
+cycloneiii_lcell_comb \Mult1|mult_core|romout[0][5] (
+// Equation(s):
+// \Mult1|mult_core|romout[0][5]~combout = (\Add0~6_combout & (\Add0~2_combout $ (((\Add0~0_combout ) # (!\Add0~4_combout ))))) # (!\Add0~6_combout & ((\Add0~2_combout & (!\Add0~4_combout & \Add0~0_combout )) # (!\Add0~2_combout & (\Add0~4_combout &
+// !\Add0~0_combout ))))
+
+ .dataa(\Add0~6_combout ),
+ .datab(\Add0~2_combout ),
+ .datac(\Add0~4_combout ),
+ .datad(\Add0~0_combout ),
+ .cin(gnd),
+ .combout(\Mult1|mult_core|romout[0][5]~combout ),
+ .cout());
+// synopsys translate_off
+defparam \Mult1|mult_core|romout[0][5] .lut_mask = 16'h2692;
+defparam \Mult1|mult_core|romout[0][5] .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X22_Y19_N0
+cycloneiii_lcell_comb \Mult7|mult_core|romout[0][5] (
+// Equation(s):
+// \Mult7|mult_core|romout[0][5]~combout = (\Add0~4_combout & (\Add0~0_combout $ (((\Add0~6_combout ) # (!\Add0~2_combout ))))) # (!\Add0~4_combout & ((\Add0~6_combout & (!\Add0~2_combout & \Add0~0_combout )) # (!\Add0~6_combout & (\Add0~2_combout &
+// !\Add0~0_combout ))))
+
+ .dataa(\Add0~6_combout ),
+ .datab(\Add0~2_combout ),
+ .datac(\Add0~4_combout ),
+ .datad(\Add0~0_combout ),
+ .cin(gnd),
+ .combout(\Mult7|mult_core|romout[0][5]~combout ),
+ .cout());
+// synopsys translate_off
+defparam \Mult7|mult_core|romout[0][5] .lut_mask = 16'h42B4;
+defparam \Mult7|mult_core|romout[0][5] .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X15_Y12_N0
+cycloneiii_lcell_comb \Mult1|mult_core|padder|adder[0]|auto_generated|op_1~1 (
+// Equation(s):
+// \Mult1|mult_core|padder|adder[0]|auto_generated|op_1~1_cout = CARRY((\Add0~8_combout & \Mult7|mult_core|romout[0][5]~combout ))
+
+ .dataa(\Add0~8_combout ),
+ .datab(\Mult7|mult_core|romout[0][5]~combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(),
+ .cout(\Mult1|mult_core|padder|adder[0]|auto_generated|op_1~1_cout ));
+// synopsys translate_off
+defparam \Mult1|mult_core|padder|adder[0]|auto_generated|op_1~1 .lut_mask = 16'h0088;
+defparam \Mult1|mult_core|padder|adder[0]|auto_generated|op_1~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X15_Y12_N2
+cycloneiii_lcell_comb \Mult1|mult_core|padder|adder[0]|auto_generated|op_1~2 (
+// Equation(s):
+// \Mult1|mult_core|padder|adder[0]|auto_generated|op_1~2_combout = (\Add0~10_combout & ((\Mult1|mult_core|romout[0][5]~combout & (\Mult1|mult_core|padder|adder[0]|auto_generated|op_1~1_cout & VCC)) # (!\Mult1|mult_core|romout[0][5]~combout &
+// (!\Mult1|mult_core|padder|adder[0]|auto_generated|op_1~1_cout )))) # (!\Add0~10_combout & ((\Mult1|mult_core|romout[0][5]~combout & (!\Mult1|mult_core|padder|adder[0]|auto_generated|op_1~1_cout )) # (!\Mult1|mult_core|romout[0][5]~combout &
+// ((\Mult1|mult_core|padder|adder[0]|auto_generated|op_1~1_cout ) # (GND)))))
+// \Mult1|mult_core|padder|adder[0]|auto_generated|op_1~3 = CARRY((\Add0~10_combout & (!\Mult1|mult_core|romout[0][5]~combout & !\Mult1|mult_core|padder|adder[0]|auto_generated|op_1~1_cout )) # (!\Add0~10_combout &
+// ((!\Mult1|mult_core|padder|adder[0]|auto_generated|op_1~1_cout ) # (!\Mult1|mult_core|romout[0][5]~combout ))))
+
+ .dataa(\Add0~10_combout ),
+ .datab(\Mult1|mult_core|romout[0][5]~combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Mult1|mult_core|padder|adder[0]|auto_generated|op_1~1_cout ),
+ .combout(\Mult1|mult_core|padder|adder[0]|auto_generated|op_1~2_combout ),
+ .cout(\Mult1|mult_core|padder|adder[0]|auto_generated|op_1~3 ));
+// synopsys translate_off
+defparam \Mult1|mult_core|padder|adder[0]|auto_generated|op_1~2 .lut_mask = 16'h9617;
+defparam \Mult1|mult_core|padder|adder[0]|auto_generated|op_1~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X11_Y13_N2
+cycloneiii_lcell_comb \Add21~0 (
+// Equation(s):
+// \Add21~0_combout = (\Mult1|mult_core|padder|adder[0]|auto_generated|op_1~2_combout & (\first_red_pos_x[0]~input_o $ (VCC))) # (!\Mult1|mult_core|padder|adder[0]|auto_generated|op_1~2_combout & (\first_red_pos_x[0]~input_o & VCC))
+// \Add21~1 = CARRY((\Mult1|mult_core|padder|adder[0]|auto_generated|op_1~2_combout & \first_red_pos_x[0]~input_o ))
+
+ .dataa(\Mult1|mult_core|padder|adder[0]|auto_generated|op_1~2_combout ),
+ .datab(\first_red_pos_x[0]~input_o ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(\Add21~0_combout ),
+ .cout(\Add21~1 ));
+// synopsys translate_off
+defparam \Add21~0 .lut_mask = 16'h6688;
+defparam \Add21~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y15_N18
+cycloneiii_lcell_comb \Mult1|mult_core|romout[0][6] (
+// Equation(s):
+// \Mult1|mult_core|romout[0][6]~combout = (\Add0~2_combout & (\Add0~4_combout & ((\Add0~0_combout ) # (!\Add0~6_combout )))) # (!\Add0~2_combout & ((\Add0~4_combout & (\Add0~0_combout & !\Add0~6_combout )) # (!\Add0~4_combout & ((\Add0~6_combout
+// )))))
+
+ .dataa(\Add0~2_combout ),
+ .datab(\Add0~4_combout ),
+ .datac(\Add0~0_combout ),
+ .datad(\Add0~6_combout ),
+ .cin(gnd),
+ .combout(\Mult1|mult_core|romout[0][6]~combout ),
+ .cout());
+// synopsys translate_off
+defparam \Mult1|mult_core|romout[0][6] .lut_mask = 16'h91C8;
+defparam \Mult1|mult_core|romout[0][6] .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X15_Y12_N4
+cycloneiii_lcell_comb \Mult1|mult_core|padder|adder[0]|auto_generated|op_1~4 (
+// Equation(s):
+// \Mult1|mult_core|padder|adder[0]|auto_generated|op_1~4_combout = ((\Mult1|mult_core|romout[1][2]~0_combout $ (\Mult1|mult_core|romout[0][6]~combout $ (!\Mult1|mult_core|padder|adder[0]|auto_generated|op_1~3 )))) # (GND)
+// \Mult1|mult_core|padder|adder[0]|auto_generated|op_1~5 = CARRY((\Mult1|mult_core|romout[1][2]~0_combout & ((\Mult1|mult_core|romout[0][6]~combout ) # (!\Mult1|mult_core|padder|adder[0]|auto_generated|op_1~3 ))) #
+// (!\Mult1|mult_core|romout[1][2]~0_combout & (\Mult1|mult_core|romout[0][6]~combout & !\Mult1|mult_core|padder|adder[0]|auto_generated|op_1~3 )))
+
+ .dataa(\Mult1|mult_core|romout[1][2]~0_combout ),
+ .datab(\Mult1|mult_core|romout[0][6]~combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Mult1|mult_core|padder|adder[0]|auto_generated|op_1~3 ),
+ .combout(\Mult1|mult_core|padder|adder[0]|auto_generated|op_1~4_combout ),
+ .cout(\Mult1|mult_core|padder|adder[0]|auto_generated|op_1~5 ));
+// synopsys translate_off
+defparam \Mult1|mult_core|padder|adder[0]|auto_generated|op_1~4 .lut_mask = 16'h698E;
+defparam \Mult1|mult_core|padder|adder[0]|auto_generated|op_1~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X11_Y13_N4
+cycloneiii_lcell_comb \Add21~2 (
+// Equation(s):
+// \Add21~2_combout = (\first_red_pos_x[1]~input_o & ((\Mult1|mult_core|padder|adder[0]|auto_generated|op_1~4_combout & (\Add21~1 & VCC)) # (!\Mult1|mult_core|padder|adder[0]|auto_generated|op_1~4_combout & (!\Add21~1 )))) #
+// (!\first_red_pos_x[1]~input_o & ((\Mult1|mult_core|padder|adder[0]|auto_generated|op_1~4_combout & (!\Add21~1 )) # (!\Mult1|mult_core|padder|adder[0]|auto_generated|op_1~4_combout & ((\Add21~1 ) # (GND)))))
+// \Add21~3 = CARRY((\first_red_pos_x[1]~input_o & (!\Mult1|mult_core|padder|adder[0]|auto_generated|op_1~4_combout & !\Add21~1 )) # (!\first_red_pos_x[1]~input_o & ((!\Add21~1 ) # (!\Mult1|mult_core|padder|adder[0]|auto_generated|op_1~4_combout ))))
+
+ .dataa(\first_red_pos_x[1]~input_o ),
+ .datab(\Mult1|mult_core|padder|adder[0]|auto_generated|op_1~4_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add21~1 ),
+ .combout(\Add21~2_combout ),
+ .cout(\Add21~3 ));
+// synopsys translate_off
+defparam \Add21~2 .lut_mask = 16'h9617;
+defparam \Add21~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X23_Y12_N14
+cycloneiii_lcell_comb \Mult1|mult_core|romout[0][7]~1 (
+// Equation(s):
+// \Mult1|mult_core|romout[0][7]~1_combout = (\Add0~6_combout & ((\Add0~4_combout ) # (\Add0~2_combout )))
+
+ .dataa(\Add0~6_combout ),
+ .datab(\Add0~4_combout ),
+ .datac(\Add0~2_combout ),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\Mult1|mult_core|romout[0][7]~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \Mult1|mult_core|romout[0][7]~1 .lut_mask = 16'hA8A8;
+defparam \Mult1|mult_core|romout[0][7]~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X15_Y12_N6
+cycloneiii_lcell_comb \Mult1|mult_core|padder|adder[0]|auto_generated|op_1~6 (
+// Equation(s):
+// \Mult1|mult_core|padder|adder[0]|auto_generated|op_1~6_combout = (\Mult1|mult_core|romout[1][3]~combout & ((\Mult1|mult_core|romout[0][7]~1_combout & (\Mult1|mult_core|padder|adder[0]|auto_generated|op_1~5 & VCC)) #
+// (!\Mult1|mult_core|romout[0][7]~1_combout & (!\Mult1|mult_core|padder|adder[0]|auto_generated|op_1~5 )))) # (!\Mult1|mult_core|romout[1][3]~combout & ((\Mult1|mult_core|romout[0][7]~1_combout & (!\Mult1|mult_core|padder|adder[0]|auto_generated|op_1~5
+// )) # (!\Mult1|mult_core|romout[0][7]~1_combout & ((\Mult1|mult_core|padder|adder[0]|auto_generated|op_1~5 ) # (GND)))))
+// \Mult1|mult_core|padder|adder[0]|auto_generated|op_1~7 = CARRY((\Mult1|mult_core|romout[1][3]~combout & (!\Mult1|mult_core|romout[0][7]~1_combout & !\Mult1|mult_core|padder|adder[0]|auto_generated|op_1~5 )) # (!\Mult1|mult_core|romout[1][3]~combout &
+// ((!\Mult1|mult_core|padder|adder[0]|auto_generated|op_1~5 ) # (!\Mult1|mult_core|romout[0][7]~1_combout ))))
+
+ .dataa(\Mult1|mult_core|romout[1][3]~combout ),
+ .datab(\Mult1|mult_core|romout[0][7]~1_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Mult1|mult_core|padder|adder[0]|auto_generated|op_1~5 ),
+ .combout(\Mult1|mult_core|padder|adder[0]|auto_generated|op_1~6_combout ),
+ .cout(\Mult1|mult_core|padder|adder[0]|auto_generated|op_1~7 ));
+// synopsys translate_off
+defparam \Mult1|mult_core|padder|adder[0]|auto_generated|op_1~6 .lut_mask = 16'h9617;
+defparam \Mult1|mult_core|padder|adder[0]|auto_generated|op_1~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X11_Y13_N6
+cycloneiii_lcell_comb \Add21~4 (
+// Equation(s):
+// \Add21~4_combout = ((\first_red_pos_x[2]~input_o $ (\Mult1|mult_core|padder|adder[0]|auto_generated|op_1~6_combout $ (!\Add21~3 )))) # (GND)
+// \Add21~5 = CARRY((\first_red_pos_x[2]~input_o & ((\Mult1|mult_core|padder|adder[0]|auto_generated|op_1~6_combout ) # (!\Add21~3 ))) # (!\first_red_pos_x[2]~input_o & (\Mult1|mult_core|padder|adder[0]|auto_generated|op_1~6_combout & !\Add21~3 )))
+
+ .dataa(\first_red_pos_x[2]~input_o ),
+ .datab(\Mult1|mult_core|padder|adder[0]|auto_generated|op_1~6_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add21~3 ),
+ .combout(\Add21~4_combout ),
+ .cout(\Add21~5 ));
+// synopsys translate_off
+defparam \Add21~4 .lut_mask = 16'h698E;
+defparam \Add21~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X15_Y13_N0
+cycloneiii_lcell_comb \Mult1|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~0 (
+// Equation(s):
+// \Mult1|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~0_combout = (\Mult1|mult_core|padder|adder[0]|auto_generated|op_1~8_combout & (\Add0~16_combout $ (VCC))) # (!\Mult1|mult_core|padder|adder[0]|auto_generated|op_1~8_combout &
+// (\Add0~16_combout & VCC))
+// \Mult1|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~1 = CARRY((\Mult1|mult_core|padder|adder[0]|auto_generated|op_1~8_combout & \Add0~16_combout ))
+
+ .dataa(\Mult1|mult_core|padder|adder[0]|auto_generated|op_1~8_combout ),
+ .datab(\Add0~16_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(\Mult1|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~0_combout ),
+ .cout(\Mult1|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~1 ));
+// synopsys translate_off
+defparam \Mult1|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~0 .lut_mask = 16'h6688;
+defparam \Mult1|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X11_Y13_N8
+cycloneiii_lcell_comb \Add21~6 (
+// Equation(s):
+// \Add21~6_combout = (\first_red_pos_x[3]~input_o & ((\Mult1|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~0_combout & (\Add21~5 & VCC)) # (!\Mult1|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~0_combout & (!\Add21~5 )))) #
+// (!\first_red_pos_x[3]~input_o & ((\Mult1|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~0_combout & (!\Add21~5 )) # (!\Mult1|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~0_combout & ((\Add21~5 ) # (GND)))))
+// \Add21~7 = CARRY((\first_red_pos_x[3]~input_o & (!\Mult1|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~0_combout & !\Add21~5 )) # (!\first_red_pos_x[3]~input_o & ((!\Add21~5 ) #
+// (!\Mult1|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~0_combout ))))
+
+ .dataa(\first_red_pos_x[3]~input_o ),
+ .datab(\Mult1|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~0_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add21~5 ),
+ .combout(\Add21~6_combout ),
+ .cout(\Add21~7 ));
+// synopsys translate_off
+defparam \Add21~6 .lut_mask = 16'h9617;
+defparam \Add21~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X19_Y12_N4
+cycloneiii_lcell_comb \Mult1|mult_core|romout[1][5]~3 (
+// Equation(s):
+// \Mult1|mult_core|romout[1][5]~3_combout = (\Add0~10_combout & ((\Add0~8_combout & (!\Add0~14_combout & !\Add0~12_combout )) # (!\Add0~8_combout & (\Add0~14_combout & \Add0~12_combout )))) # (!\Add0~10_combout & (\Add0~14_combout $
+// (((!\Add0~8_combout & \Add0~12_combout )))))
+
+ .dataa(\Add0~10_combout ),
+ .datab(\Add0~8_combout ),
+ .datac(\Add0~14_combout ),
+ .datad(\Add0~12_combout ),
+ .cin(gnd),
+ .combout(\Mult1|mult_core|romout[1][5]~3_combout ),
+ .cout());
+// synopsys translate_off
+defparam \Mult1|mult_core|romout[1][5]~3 .lut_mask = 16'h6158;
+defparam \Mult1|mult_core|romout[1][5]~3 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X19_Y12_N26
+cycloneiii_lcell_comb \Mult1|mult_core|romout[1][4]~2 (
+// Equation(s):
+// \Mult1|mult_core|romout[1][4]~2_combout = (\Add0~8_combout & ((\Add0~10_combout & (!\Add0~14_combout & \Add0~12_combout )) # (!\Add0~10_combout & (\Add0~14_combout & !\Add0~12_combout )))) # (!\Add0~8_combout & (\Add0~12_combout $
+// (((\Add0~10_combout & !\Add0~14_combout )))))
+
+ .dataa(\Add0~10_combout ),
+ .datab(\Add0~8_combout ),
+ .datac(\Add0~14_combout ),
+ .datad(\Add0~12_combout ),
+ .cin(gnd),
+ .combout(\Mult1|mult_core|romout[1][4]~2_combout ),
+ .cout());
+// synopsys translate_off
+defparam \Mult1|mult_core|romout[1][4]~2 .lut_mask = 16'h3942;
+defparam \Mult1|mult_core|romout[1][4]~2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X15_Y12_N10
+cycloneiii_lcell_comb \Mult1|mult_core|padder|adder[0]|auto_generated|op_1~10 (
+// Equation(s):
+// \Mult1|mult_core|padder|adder[0]|auto_generated|op_1~10_combout = (\Mult1|mult_core|romout[1][5]~3_combout & (!\Mult1|mult_core|padder|adder[0]|auto_generated|op_1~9 )) # (!\Mult1|mult_core|romout[1][5]~3_combout &
+// ((\Mult1|mult_core|padder|adder[0]|auto_generated|op_1~9 ) # (GND)))
+// \Mult1|mult_core|padder|adder[0]|auto_generated|op_1~11 = CARRY((!\Mult1|mult_core|padder|adder[0]|auto_generated|op_1~9 ) # (!\Mult1|mult_core|romout[1][5]~3_combout ))
+
+ .dataa(gnd),
+ .datab(\Mult1|mult_core|romout[1][5]~3_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Mult1|mult_core|padder|adder[0]|auto_generated|op_1~9 ),
+ .combout(\Mult1|mult_core|padder|adder[0]|auto_generated|op_1~10_combout ),
+ .cout(\Mult1|mult_core|padder|adder[0]|auto_generated|op_1~11 ));
+// synopsys translate_off
+defparam \Mult1|mult_core|padder|adder[0]|auto_generated|op_1~10 .lut_mask = 16'h3C3F;
+defparam \Mult1|mult_core|padder|adder[0]|auto_generated|op_1~10 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X15_Y13_N2
+cycloneiii_lcell_comb \Mult1|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~2 (
+// Equation(s):
+// \Mult1|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~2_combout = (\Add0~18_combout & ((\Mult1|mult_core|padder|adder[0]|auto_generated|op_1~10_combout & (\Mult1|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~1 & VCC)) #
+// (!\Mult1|mult_core|padder|adder[0]|auto_generated|op_1~10_combout & (!\Mult1|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~1 )))) # (!\Add0~18_combout & ((\Mult1|mult_core|padder|adder[0]|auto_generated|op_1~10_combout &
+// (!\Mult1|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~1 )) # (!\Mult1|mult_core|padder|adder[0]|auto_generated|op_1~10_combout & ((\Mult1|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~1 ) # (GND)))))
+// \Mult1|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~3 = CARRY((\Add0~18_combout & (!\Mult1|mult_core|padder|adder[0]|auto_generated|op_1~10_combout & !\Mult1|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~1 )) #
+// (!\Add0~18_combout & ((!\Mult1|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~1 ) # (!\Mult1|mult_core|padder|adder[0]|auto_generated|op_1~10_combout ))))
+
+ .dataa(\Add0~18_combout ),
+ .datab(\Mult1|mult_core|padder|adder[0]|auto_generated|op_1~10_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Mult1|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~1 ),
+ .combout(\Mult1|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~2_combout ),
+ .cout(\Mult1|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~3 ));
+// synopsys translate_off
+defparam \Mult1|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~2 .lut_mask = 16'h9617;
+defparam \Mult1|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X11_Y13_N10
+cycloneiii_lcell_comb \Add21~8 (
+// Equation(s):
+// \Add21~8_combout = ((\Mult1|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~2_combout $ (\first_red_pos_x[4]~input_o $ (!\Add21~7 )))) # (GND)
+// \Add21~9 = CARRY((\Mult1|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~2_combout & ((\first_red_pos_x[4]~input_o ) # (!\Add21~7 ))) # (!\Mult1|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~2_combout &
+// (\first_red_pos_x[4]~input_o & !\Add21~7 )))
+
+ .dataa(\Mult1|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~2_combout ),
+ .datab(\first_red_pos_x[4]~input_o ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add21~7 ),
+ .combout(\Add21~8_combout ),
+ .cout(\Add21~9 ));
+// synopsys translate_off
+defparam \Add21~8 .lut_mask = 16'h698E;
+defparam \Add21~8 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X15_Y12_N12
+cycloneiii_lcell_comb \Mult1|mult_core|padder|adder[0]|auto_generated|op_1~12 (
+// Equation(s):
+// \Mult1|mult_core|padder|adder[0]|auto_generated|op_1~12_combout = (\Mult1|mult_core|romout[1][6]~combout & (\Mult1|mult_core|padder|adder[0]|auto_generated|op_1~11 $ (GND))) # (!\Mult1|mult_core|romout[1][6]~combout &
+// (!\Mult1|mult_core|padder|adder[0]|auto_generated|op_1~11 & VCC))
+// \Mult1|mult_core|padder|adder[0]|auto_generated|op_1~13 = CARRY((\Mult1|mult_core|romout[1][6]~combout & !\Mult1|mult_core|padder|adder[0]|auto_generated|op_1~11 ))
+
+ .dataa(\Mult1|mult_core|romout[1][6]~combout ),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Mult1|mult_core|padder|adder[0]|auto_generated|op_1~11 ),
+ .combout(\Mult1|mult_core|padder|adder[0]|auto_generated|op_1~12_combout ),
+ .cout(\Mult1|mult_core|padder|adder[0]|auto_generated|op_1~13 ));
+// synopsys translate_off
+defparam \Mult1|mult_core|padder|adder[0]|auto_generated|op_1~12 .lut_mask = 16'hA50A;
+defparam \Mult1|mult_core|padder|adder[0]|auto_generated|op_1~12 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X15_Y13_N4
+cycloneiii_lcell_comb \Mult1|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~4 (
+// Equation(s):
+// \Mult1|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~4_combout = ((\Mult1|mult_core|romout[2][2]~combout $ (\Mult1|mult_core|padder|adder[0]|auto_generated|op_1~12_combout $
+// (!\Mult1|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~3 )))) # (GND)
+// \Mult1|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~5 = CARRY((\Mult1|mult_core|romout[2][2]~combout & ((\Mult1|mult_core|padder|adder[0]|auto_generated|op_1~12_combout ) #
+// (!\Mult1|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~3 ))) # (!\Mult1|mult_core|romout[2][2]~combout & (\Mult1|mult_core|padder|adder[0]|auto_generated|op_1~12_combout & !\Mult1|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~3
+// )))
+
+ .dataa(\Mult1|mult_core|romout[2][2]~combout ),
+ .datab(\Mult1|mult_core|padder|adder[0]|auto_generated|op_1~12_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Mult1|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~3 ),
+ .combout(\Mult1|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~4_combout ),
+ .cout(\Mult1|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~5 ));
+// synopsys translate_off
+defparam \Mult1|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~4 .lut_mask = 16'h698E;
+defparam \Mult1|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X11_Y13_N12
+cycloneiii_lcell_comb \Add21~10 (
+// Equation(s):
+// \Add21~10_combout = (\Mult1|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~4_combout & ((\first_red_pos_x[5]~input_o & (\Add21~9 & VCC)) # (!\first_red_pos_x[5]~input_o & (!\Add21~9 )))) #
+// (!\Mult1|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~4_combout & ((\first_red_pos_x[5]~input_o & (!\Add21~9 )) # (!\first_red_pos_x[5]~input_o & ((\Add21~9 ) # (GND)))))
+// \Add21~11 = CARRY((\Mult1|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~4_combout & (!\first_red_pos_x[5]~input_o & !\Add21~9 )) # (!\Mult1|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~4_combout & ((!\Add21~9 ) #
+// (!\first_red_pos_x[5]~input_o ))))
+
+ .dataa(\Mult1|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~4_combout ),
+ .datab(\first_red_pos_x[5]~input_o ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add21~9 ),
+ .combout(\Add21~10_combout ),
+ .cout(\Add21~11 ));
+// synopsys translate_off
+defparam \Add21~10 .lut_mask = 16'h9617;
+defparam \Add21~10 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X15_Y12_N14
+cycloneiii_lcell_comb \Mult1|mult_core|padder|adder[0]|auto_generated|op_1~14 (
+// Equation(s):
+// \Mult1|mult_core|padder|adder[0]|auto_generated|op_1~14_combout = \Mult1|mult_core|romout[1][7]~4_combout $ (\Mult1|mult_core|padder|adder[0]|auto_generated|op_1~13 )
+
+ .dataa(\Mult1|mult_core|romout[1][7]~4_combout ),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .cin(\Mult1|mult_core|padder|adder[0]|auto_generated|op_1~13 ),
+ .combout(\Mult1|mult_core|padder|adder[0]|auto_generated|op_1~14_combout ),
+ .cout());
+// synopsys translate_off
+defparam \Mult1|mult_core|padder|adder[0]|auto_generated|op_1~14 .lut_mask = 16'h5A5A;
+defparam \Mult1|mult_core|padder|adder[0]|auto_generated|op_1~14 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X15_Y13_N6
+cycloneiii_lcell_comb \Mult1|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~6 (
+// Equation(s):
+// \Mult1|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~6_combout = (\Mult1|mult_core|romout[2][3]~5_combout & ((\Mult1|mult_core|padder|adder[0]|auto_generated|op_1~14_combout &
+// (\Mult1|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~5 & VCC)) # (!\Mult1|mult_core|padder|adder[0]|auto_generated|op_1~14_combout & (!\Mult1|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~5 )))) #
+// (!\Mult1|mult_core|romout[2][3]~5_combout & ((\Mult1|mult_core|padder|adder[0]|auto_generated|op_1~14_combout & (!\Mult1|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~5 )) # (!\Mult1|mult_core|padder|adder[0]|auto_generated|op_1~14_combout
+// & ((\Mult1|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~5 ) # (GND)))))
+// \Mult1|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~7 = CARRY((\Mult1|mult_core|romout[2][3]~5_combout & (!\Mult1|mult_core|padder|adder[0]|auto_generated|op_1~14_combout &
+// !\Mult1|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~5 )) # (!\Mult1|mult_core|romout[2][3]~5_combout & ((!\Mult1|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~5 ) #
+// (!\Mult1|mult_core|padder|adder[0]|auto_generated|op_1~14_combout ))))
+
+ .dataa(\Mult1|mult_core|romout[2][3]~5_combout ),
+ .datab(\Mult1|mult_core|padder|adder[0]|auto_generated|op_1~14_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Mult1|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~5 ),
+ .combout(\Mult1|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~6_combout ),
+ .cout(\Mult1|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~7 ));
+// synopsys translate_off
+defparam \Mult1|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~6 .lut_mask = 16'h9617;
+defparam \Mult1|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X11_Y13_N14
+cycloneiii_lcell_comb \Add21~12 (
+// Equation(s):
+// \Add21~12_combout = ((\first_red_pos_x[6]~input_o $ (\Mult1|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~6_combout $ (!\Add21~11 )))) # (GND)
+// \Add21~13 = CARRY((\first_red_pos_x[6]~input_o & ((\Mult1|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~6_combout ) # (!\Add21~11 ))) # (!\first_red_pos_x[6]~input_o &
+// (\Mult1|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~6_combout & !\Add21~11 )))
+
+ .dataa(\first_red_pos_x[6]~input_o ),
+ .datab(\Mult1|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~6_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add21~11 ),
+ .combout(\Add21~12_combout ),
+ .cout(\Add21~13 ));
+// synopsys translate_off
+defparam \Add21~12 .lut_mask = 16'h698E;
+defparam \Add21~12 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X15_Y13_N24
+cycloneiii_lcell_comb \Mult1|mult_core|padder|adder[1]|auto_generated|op_1~0 (
+// Equation(s):
+// \Mult1|mult_core|padder|adder[1]|auto_generated|op_1~0_combout = (\Mult1|mult_core|romout[2][4]~combout & (\Add0~20_combout $ (GND))) # (!\Mult1|mult_core|romout[2][4]~combout & (!\Add0~20_combout & VCC))
+// \Mult1|mult_core|padder|adder[1]|auto_generated|op_1~1 = CARRY((\Mult1|mult_core|romout[2][4]~combout & !\Add0~20_combout ))
+
+ .dataa(\Mult1|mult_core|romout[2][4]~combout ),
+ .datab(\Add0~20_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(\Mult1|mult_core|padder|adder[1]|auto_generated|op_1~0_combout ),
+ .cout(\Mult1|mult_core|padder|adder[1]|auto_generated|op_1~1 ));
+// synopsys translate_off
+defparam \Mult1|mult_core|padder|adder[1]|auto_generated|op_1~0 .lut_mask = 16'h9922;
+defparam \Mult1|mult_core|padder|adder[1]|auto_generated|op_1~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X15_Y13_N8
+cycloneiii_lcell_comb \Mult1|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~8 (
+// Equation(s):
+// \Mult1|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~8_combout = (\Mult1|mult_core|padder|adder[1]|auto_generated|op_1~0_combout & (\Mult1|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~7 $ (GND))) #
+// (!\Mult1|mult_core|padder|adder[1]|auto_generated|op_1~0_combout & (!\Mult1|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~7 & VCC))
+// \Mult1|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~9 = CARRY((\Mult1|mult_core|padder|adder[1]|auto_generated|op_1~0_combout & !\Mult1|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~7 ))
+
+ .dataa(gnd),
+ .datab(\Mult1|mult_core|padder|adder[1]|auto_generated|op_1~0_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Mult1|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~7 ),
+ .combout(\Mult1|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~8_combout ),
+ .cout(\Mult1|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~9 ));
+// synopsys translate_off
+defparam \Mult1|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~8 .lut_mask = 16'hC30C;
+defparam \Mult1|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~8 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X11_Y13_N16
+cycloneiii_lcell_comb \Add21~14 (
+// Equation(s):
+// \Add21~14_combout = (\first_red_pos_x[7]~input_o & ((\Mult1|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~8_combout & (\Add21~13 & VCC)) # (!\Mult1|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~8_combout & (!\Add21~13 )))) #
+// (!\first_red_pos_x[7]~input_o & ((\Mult1|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~8_combout & (!\Add21~13 )) # (!\Mult1|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~8_combout & ((\Add21~13 ) # (GND)))))
+// \Add21~15 = CARRY((\first_red_pos_x[7]~input_o & (!\Mult1|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~8_combout & !\Add21~13 )) # (!\first_red_pos_x[7]~input_o & ((!\Add21~13 ) #
+// (!\Mult1|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~8_combout ))))
+
+ .dataa(\first_red_pos_x[7]~input_o ),
+ .datab(\Mult1|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~8_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add21~13 ),
+ .combout(\Add21~14_combout ),
+ .cout(\Add21~15 ));
+// synopsys translate_off
+defparam \Add21~14 .lut_mask = 16'h9617;
+defparam \Add21~14 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X15_Y13_N10
+cycloneiii_lcell_comb \Mult1|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~10 (
+// Equation(s):
+// \Mult1|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~10_combout = (\Mult1|mult_core|padder|adder[1]|auto_generated|op_1~2_combout & (!\Mult1|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~9 )) #
+// (!\Mult1|mult_core|padder|adder[1]|auto_generated|op_1~2_combout & ((\Mult1|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~9 ) # (GND)))
+// \Mult1|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~11 = CARRY((!\Mult1|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~9 ) # (!\Mult1|mult_core|padder|adder[1]|auto_generated|op_1~2_combout ))
+
+ .dataa(\Mult1|mult_core|padder|adder[1]|auto_generated|op_1~2_combout ),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Mult1|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~9 ),
+ .combout(\Mult1|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~10_combout ),
+ .cout(\Mult1|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~11 ));
+// synopsys translate_off
+defparam \Mult1|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~10 .lut_mask = 16'h5A5F;
+defparam \Mult1|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~10 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X11_Y13_N18
+cycloneiii_lcell_comb \Add21~16 (
+// Equation(s):
+// \Add21~16_combout = ((\first_red_pos_x[8]~input_o $ (\Mult1|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~10_combout $ (!\Add21~15 )))) # (GND)
+// \Add21~17 = CARRY((\first_red_pos_x[8]~input_o & ((\Mult1|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~10_combout ) # (!\Add21~15 ))) # (!\first_red_pos_x[8]~input_o &
+// (\Mult1|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~10_combout & !\Add21~15 )))
+
+ .dataa(\first_red_pos_x[8]~input_o ),
+ .datab(\Mult1|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~10_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add21~15 ),
+ .combout(\Add21~16_combout ),
+ .cout(\Add21~17 ));
+// synopsys translate_off
+defparam \Add21~16 .lut_mask = 16'h698E;
+defparam \Add21~16 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X15_Y13_N28
+cycloneiii_lcell_comb \Mult1|mult_core|padder|adder[1]|auto_generated|op_1~4 (
+// Equation(s):
+// \Mult1|mult_core|padder|adder[1]|auto_generated|op_1~4_combout = \Mult0|mult_core|_~3_combout $ (!\Mult1|mult_core|padder|adder[1]|auto_generated|op_1~3 )
+
+ .dataa(\Mult0|mult_core|_~3_combout ),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .cin(\Mult1|mult_core|padder|adder[1]|auto_generated|op_1~3 ),
+ .combout(\Mult1|mult_core|padder|adder[1]|auto_generated|op_1~4_combout ),
+ .cout());
+// synopsys translate_off
+defparam \Mult1|mult_core|padder|adder[1]|auto_generated|op_1~4 .lut_mask = 16'hA5A5;
+defparam \Mult1|mult_core|padder|adder[1]|auto_generated|op_1~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X15_Y13_N12
+cycloneiii_lcell_comb \Mult1|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~12 (
+// Equation(s):
+// \Mult1|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~12_combout = \Mult1|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~11 $ (!\Mult1|mult_core|padder|adder[1]|auto_generated|op_1~4_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\Mult1|mult_core|padder|adder[1]|auto_generated|op_1~4_combout ),
+ .cin(\Mult1|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~11 ),
+ .combout(\Mult1|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~12_combout ),
+ .cout());
+// synopsys translate_off
+defparam \Mult1|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~12 .lut_mask = 16'hF00F;
+defparam \Mult1|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~12 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X11_Y13_N20
+cycloneiii_lcell_comb \Add21~18 (
+// Equation(s):
+// \Add21~18_combout = \first_red_pos_x[9]~input_o $ (\Add21~17 $ (\Mult1|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~12_combout ))
+
+ .dataa(\first_red_pos_x[9]~input_o ),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\Mult1|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~12_combout ),
+ .cin(\Add21~17 ),
+ .combout(\Add21~18_combout ),
+ .cout());
+// synopsys translate_off
+defparam \Add21~18 .lut_mask = 16'hA55A;
+defparam \Add21~18 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y12_N10
+cycloneiii_lcell_comb \Add23~0 (
+// Equation(s):
+// \Add23~0_combout = (\Add0~0_combout & (\Add10~4_combout $ (VCC))) # (!\Add0~0_combout & (\Add10~4_combout & VCC))
+// \Add23~1 = CARRY((\Add0~0_combout & \Add10~4_combout ))
+
+ .dataa(\Add0~0_combout ),
+ .datab(\Add10~4_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(\Add23~0_combout ),
+ .cout(\Add23~1 ));
+// synopsys translate_off
+defparam \Add23~0 .lut_mask = 16'h6688;
+defparam \Add23~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y12_N12
+cycloneiii_lcell_comb \Add23~2 (
+// Equation(s):
+// \Add23~2_combout = (\Add10~6_combout & ((\Add0~2_combout & (\Add23~1 & VCC)) # (!\Add0~2_combout & (!\Add23~1 )))) # (!\Add10~6_combout & ((\Add0~2_combout & (!\Add23~1 )) # (!\Add0~2_combout & ((\Add23~1 ) # (GND)))))
+// \Add23~3 = CARRY((\Add10~6_combout & (!\Add0~2_combout & !\Add23~1 )) # (!\Add10~6_combout & ((!\Add23~1 ) # (!\Add0~2_combout ))))
+
+ .dataa(\Add10~6_combout ),
+ .datab(\Add0~2_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add23~1 ),
+ .combout(\Add23~2_combout ),
+ .cout(\Add23~3 ));
+// synopsys translate_off
+defparam \Add23~2 .lut_mask = 16'h9617;
+defparam \Add23~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y12_N4
+cycloneiii_lcell_comb \Add24~0 (
+// Equation(s):
+// \Add24~0_combout = (\Add23~2_combout & (\first_red_pos_x[0]~input_o $ (VCC))) # (!\Add23~2_combout & (\first_red_pos_x[0]~input_o & VCC))
+// \Add24~1 = CARRY((\Add23~2_combout & \first_red_pos_x[0]~input_o ))
+
+ .dataa(\Add23~2_combout ),
+ .datab(\first_red_pos_x[0]~input_o ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(\Add24~0_combout ),
+ .cout(\Add24~1 ));
+// synopsys translate_off
+defparam \Add24~0 .lut_mask = 16'h6688;
+defparam \Add24~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y12_N14
+cycloneiii_lcell_comb \Add23~4 (
+// Equation(s):
+// \Add23~4_combout = ((\Add0~4_combout $ (\Add10~8_combout $ (!\Add23~3 )))) # (GND)
+// \Add23~5 = CARRY((\Add0~4_combout & ((\Add10~8_combout ) # (!\Add23~3 ))) # (!\Add0~4_combout & (\Add10~8_combout & !\Add23~3 )))
+
+ .dataa(\Add0~4_combout ),
+ .datab(\Add10~8_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add23~3 ),
+ .combout(\Add23~4_combout ),
+ .cout(\Add23~5 ));
+// synopsys translate_off
+defparam \Add23~4 .lut_mask = 16'h698E;
+defparam \Add23~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y12_N6
+cycloneiii_lcell_comb \Add24~2 (
+// Equation(s):
+// \Add24~2_combout = (\Add23~4_combout & ((\first_red_pos_x[1]~input_o & (\Add24~1 & VCC)) # (!\first_red_pos_x[1]~input_o & (!\Add24~1 )))) # (!\Add23~4_combout & ((\first_red_pos_x[1]~input_o & (!\Add24~1 )) # (!\first_red_pos_x[1]~input_o &
+// ((\Add24~1 ) # (GND)))))
+// \Add24~3 = CARRY((\Add23~4_combout & (!\first_red_pos_x[1]~input_o & !\Add24~1 )) # (!\Add23~4_combout & ((!\Add24~1 ) # (!\first_red_pos_x[1]~input_o ))))
+
+ .dataa(\Add23~4_combout ),
+ .datab(\first_red_pos_x[1]~input_o ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add24~1 ),
+ .combout(\Add24~2_combout ),
+ .cout(\Add24~3 ));
+// synopsys translate_off
+defparam \Add24~2 .lut_mask = 16'h9617;
+defparam \Add24~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y12_N16
+cycloneiii_lcell_comb \Add23~6 (
+// Equation(s):
+// \Add23~6_combout = (\Add10~10_combout & ((\Add0~6_combout & (\Add23~5 & VCC)) # (!\Add0~6_combout & (!\Add23~5 )))) # (!\Add10~10_combout & ((\Add0~6_combout & (!\Add23~5 )) # (!\Add0~6_combout & ((\Add23~5 ) # (GND)))))
+// \Add23~7 = CARRY((\Add10~10_combout & (!\Add0~6_combout & !\Add23~5 )) # (!\Add10~10_combout & ((!\Add23~5 ) # (!\Add0~6_combout ))))
+
+ .dataa(\Add10~10_combout ),
+ .datab(\Add0~6_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add23~5 ),
+ .combout(\Add23~6_combout ),
+ .cout(\Add23~7 ));
+// synopsys translate_off
+defparam \Add23~6 .lut_mask = 16'h9617;
+defparam \Add23~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y12_N8
+cycloneiii_lcell_comb \Add24~4 (
+// Equation(s):
+// \Add24~4_combout = ((\Add23~6_combout $ (\first_red_pos_x[2]~input_o $ (!\Add24~3 )))) # (GND)
+// \Add24~5 = CARRY((\Add23~6_combout & ((\first_red_pos_x[2]~input_o ) # (!\Add24~3 ))) # (!\Add23~6_combout & (\first_red_pos_x[2]~input_o & !\Add24~3 )))
+
+ .dataa(\Add23~6_combout ),
+ .datab(\first_red_pos_x[2]~input_o ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add24~3 ),
+ .combout(\Add24~4_combout ),
+ .cout(\Add24~5 ));
+// synopsys translate_off
+defparam \Add24~4 .lut_mask = 16'h698E;
+defparam \Add24~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y12_N18
+cycloneiii_lcell_comb \Add23~8 (
+// Equation(s):
+// \Add23~8_combout = ((\Add0~8_combout $ (\Add10~12_combout $ (!\Add23~7 )))) # (GND)
+// \Add23~9 = CARRY((\Add0~8_combout & ((\Add10~12_combout ) # (!\Add23~7 ))) # (!\Add0~8_combout & (\Add10~12_combout & !\Add23~7 )))
+
+ .dataa(\Add0~8_combout ),
+ .datab(\Add10~12_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add23~7 ),
+ .combout(\Add23~8_combout ),
+ .cout(\Add23~9 ));
+// synopsys translate_off
+defparam \Add23~8 .lut_mask = 16'h698E;
+defparam \Add23~8 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y12_N10
+cycloneiii_lcell_comb \Add24~6 (
+// Equation(s):
+// \Add24~6_combout = (\Add23~8_combout & ((\first_red_pos_x[3]~input_o & (\Add24~5 & VCC)) # (!\first_red_pos_x[3]~input_o & (!\Add24~5 )))) # (!\Add23~8_combout & ((\first_red_pos_x[3]~input_o & (!\Add24~5 )) # (!\first_red_pos_x[3]~input_o &
+// ((\Add24~5 ) # (GND)))))
+// \Add24~7 = CARRY((\Add23~8_combout & (!\first_red_pos_x[3]~input_o & !\Add24~5 )) # (!\Add23~8_combout & ((!\Add24~5 ) # (!\first_red_pos_x[3]~input_o ))))
+
+ .dataa(\Add23~8_combout ),
+ .datab(\first_red_pos_x[3]~input_o ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add24~5 ),
+ .combout(\Add24~6_combout ),
+ .cout(\Add24~7 ));
+// synopsys translate_off
+defparam \Add24~6 .lut_mask = 16'h9617;
+defparam \Add24~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y12_N20
+cycloneiii_lcell_comb \Add23~10 (
+// Equation(s):
+// \Add23~10_combout = (\Add0~10_combout & ((\Add10~14_combout & (\Add23~9 & VCC)) # (!\Add10~14_combout & (!\Add23~9 )))) # (!\Add0~10_combout & ((\Add10~14_combout & (!\Add23~9 )) # (!\Add10~14_combout & ((\Add23~9 ) # (GND)))))
+// \Add23~11 = CARRY((\Add0~10_combout & (!\Add10~14_combout & !\Add23~9 )) # (!\Add0~10_combout & ((!\Add23~9 ) # (!\Add10~14_combout ))))
+
+ .dataa(\Add0~10_combout ),
+ .datab(\Add10~14_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add23~9 ),
+ .combout(\Add23~10_combout ),
+ .cout(\Add23~11 ));
+// synopsys translate_off
+defparam \Add23~10 .lut_mask = 16'h9617;
+defparam \Add23~10 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y12_N12
+cycloneiii_lcell_comb \Add24~8 (
+// Equation(s):
+// \Add24~8_combout = ((\first_red_pos_x[4]~input_o $ (\Add23~10_combout $ (!\Add24~7 )))) # (GND)
+// \Add24~9 = CARRY((\first_red_pos_x[4]~input_o & ((\Add23~10_combout ) # (!\Add24~7 ))) # (!\first_red_pos_x[4]~input_o & (\Add23~10_combout & !\Add24~7 )))
+
+ .dataa(\first_red_pos_x[4]~input_o ),
+ .datab(\Add23~10_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add24~7 ),
+ .combout(\Add24~8_combout ),
+ .cout(\Add24~9 ));
+// synopsys translate_off
+defparam \Add24~8 .lut_mask = 16'h698E;
+defparam \Add24~8 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y12_N22
+cycloneiii_lcell_comb \Add23~12 (
+// Equation(s):
+// \Add23~12_combout = ((\Add10~16_combout $ (\Add0~12_combout $ (!\Add23~11 )))) # (GND)
+// \Add23~13 = CARRY((\Add10~16_combout & ((\Add0~12_combout ) # (!\Add23~11 ))) # (!\Add10~16_combout & (\Add0~12_combout & !\Add23~11 )))
+
+ .dataa(\Add10~16_combout ),
+ .datab(\Add0~12_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add23~11 ),
+ .combout(\Add23~12_combout ),
+ .cout(\Add23~13 ));
+// synopsys translate_off
+defparam \Add23~12 .lut_mask = 16'h698E;
+defparam \Add23~12 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y12_N14
+cycloneiii_lcell_comb \Add24~10 (
+// Equation(s):
+// \Add24~10_combout = (\Add23~12_combout & ((\first_red_pos_x[5]~input_o & (\Add24~9 & VCC)) # (!\first_red_pos_x[5]~input_o & (!\Add24~9 )))) # (!\Add23~12_combout & ((\first_red_pos_x[5]~input_o & (!\Add24~9 )) # (!\first_red_pos_x[5]~input_o &
+// ((\Add24~9 ) # (GND)))))
+// \Add24~11 = CARRY((\Add23~12_combout & (!\first_red_pos_x[5]~input_o & !\Add24~9 )) # (!\Add23~12_combout & ((!\Add24~9 ) # (!\first_red_pos_x[5]~input_o ))))
+
+ .dataa(\Add23~12_combout ),
+ .datab(\first_red_pos_x[5]~input_o ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add24~9 ),
+ .combout(\Add24~10_combout ),
+ .cout(\Add24~11 ));
+// synopsys translate_off
+defparam \Add24~10 .lut_mask = 16'h9617;
+defparam \Add24~10 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y12_N24
+cycloneiii_lcell_comb \Add23~14 (
+// Equation(s):
+// \Add23~14_combout = (\Add10~18_combout & ((\Add0~14_combout & (\Add23~13 & VCC)) # (!\Add0~14_combout & (!\Add23~13 )))) # (!\Add10~18_combout & ((\Add0~14_combout & (!\Add23~13 )) # (!\Add0~14_combout & ((\Add23~13 ) # (GND)))))
+// \Add23~15 = CARRY((\Add10~18_combout & (!\Add0~14_combout & !\Add23~13 )) # (!\Add10~18_combout & ((!\Add23~13 ) # (!\Add0~14_combout ))))
+
+ .dataa(\Add10~18_combout ),
+ .datab(\Add0~14_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add23~13 ),
+ .combout(\Add23~14_combout ),
+ .cout(\Add23~15 ));
+// synopsys translate_off
+defparam \Add23~14 .lut_mask = 16'h9617;
+defparam \Add23~14 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y12_N16
+cycloneiii_lcell_comb \Add24~12 (
+// Equation(s):
+// \Add24~12_combout = ((\first_red_pos_x[6]~input_o $ (\Add23~14_combout $ (!\Add24~11 )))) # (GND)
+// \Add24~13 = CARRY((\first_red_pos_x[6]~input_o & ((\Add23~14_combout ) # (!\Add24~11 ))) # (!\first_red_pos_x[6]~input_o & (\Add23~14_combout & !\Add24~11 )))
+
+ .dataa(\first_red_pos_x[6]~input_o ),
+ .datab(\Add23~14_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add24~11 ),
+ .combout(\Add24~12_combout ),
+ .cout(\Add24~13 ));
+// synopsys translate_off
+defparam \Add24~12 .lut_mask = 16'h698E;
+defparam \Add24~12 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y6_N30
+cycloneiii_lcell_comb \Add10~20 (
+// Equation(s):
+// \Add10~20_combout = \Add10~19 $ (!\Add0~20_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\Add0~20_combout ),
+ .cin(\Add10~19 ),
+ .combout(\Add10~20_combout ),
+ .cout());
+// synopsys translate_off
+defparam \Add10~20 .lut_mask = 16'hF00F;
+defparam \Add10~20 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y12_N26
+cycloneiii_lcell_comb \Add23~16 (
+// Equation(s):
+// \Add23~16_combout = ((\Add0~16_combout $ (\Add10~20_combout $ (!\Add23~15 )))) # (GND)
+// \Add23~17 = CARRY((\Add0~16_combout & ((\Add10~20_combout ) # (!\Add23~15 ))) # (!\Add0~16_combout & (\Add10~20_combout & !\Add23~15 )))
+
+ .dataa(\Add0~16_combout ),
+ .datab(\Add10~20_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add23~15 ),
+ .combout(\Add23~16_combout ),
+ .cout(\Add23~17 ));
+// synopsys translate_off
+defparam \Add23~16 .lut_mask = 16'h698E;
+defparam \Add23~16 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y12_N18
+cycloneiii_lcell_comb \Add24~14 (
+// Equation(s):
+// \Add24~14_combout = (\first_red_pos_x[7]~input_o & ((\Add23~16_combout & (\Add24~13 & VCC)) # (!\Add23~16_combout & (!\Add24~13 )))) # (!\first_red_pos_x[7]~input_o & ((\Add23~16_combout & (!\Add24~13 )) # (!\Add23~16_combout & ((\Add24~13 ) #
+// (GND)))))
+// \Add24~15 = CARRY((\first_red_pos_x[7]~input_o & (!\Add23~16_combout & !\Add24~13 )) # (!\first_red_pos_x[7]~input_o & ((!\Add24~13 ) # (!\Add23~16_combout ))))
+
+ .dataa(\first_red_pos_x[7]~input_o ),
+ .datab(\Add23~16_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add24~13 ),
+ .combout(\Add24~14_combout ),
+ .cout(\Add24~15 ));
+// synopsys translate_off
+defparam \Add24~14 .lut_mask = 16'h9617;
+defparam \Add24~14 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y12_N28
+cycloneiii_lcell_comb \Add23~18 (
+// Equation(s):
+// \Add23~18_combout = (\Add0~18_combout & ((\Add10~20_combout & (\Add23~17 & VCC)) # (!\Add10~20_combout & (!\Add23~17 )))) # (!\Add0~18_combout & ((\Add10~20_combout & (!\Add23~17 )) # (!\Add10~20_combout & ((\Add23~17 ) # (GND)))))
+// \Add23~19 = CARRY((\Add0~18_combout & (!\Add10~20_combout & !\Add23~17 )) # (!\Add0~18_combout & ((!\Add23~17 ) # (!\Add10~20_combout ))))
+
+ .dataa(\Add0~18_combout ),
+ .datab(\Add10~20_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add23~17 ),
+ .combout(\Add23~18_combout ),
+ .cout(\Add23~19 ));
+// synopsys translate_off
+defparam \Add23~18 .lut_mask = 16'h9617;
+defparam \Add23~18 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y12_N20
+cycloneiii_lcell_comb \Add24~16 (
+// Equation(s):
+// \Add24~16_combout = ((\Add23~18_combout $ (\first_red_pos_x[8]~input_o $ (!\Add24~15 )))) # (GND)
+// \Add24~17 = CARRY((\Add23~18_combout & ((\first_red_pos_x[8]~input_o ) # (!\Add24~15 ))) # (!\Add23~18_combout & (\first_red_pos_x[8]~input_o & !\Add24~15 )))
+
+ .dataa(\Add23~18_combout ),
+ .datab(\first_red_pos_x[8]~input_o ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add24~15 ),
+ .combout(\Add24~16_combout ),
+ .cout(\Add24~17 ));
+// synopsys translate_off
+defparam \Add24~16 .lut_mask = 16'h698E;
+defparam \Add24~16 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y12_N30
+cycloneiii_lcell_comb \Add23~20 (
+// Equation(s):
+// \Add23~20_combout = \Add0~20_combout $ (\Add23~19 $ (\Add10~20_combout ))
+
+ .dataa(gnd),
+ .datab(\Add0~20_combout ),
+ .datac(gnd),
+ .datad(\Add10~20_combout ),
+ .cin(\Add23~19 ),
+ .combout(\Add23~20_combout ),
+ .cout());
+// synopsys translate_off
+defparam \Add23~20 .lut_mask = 16'hC33C;
+defparam \Add23~20 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X35_Y12_N22
+cycloneiii_lcell_comb \Add24~18 (
+// Equation(s):
+// \Add24~18_combout = \Add23~20_combout $ (\first_red_pos_x[9]~input_o $ (\Add24~17 ))
+
+ .dataa(\Add23~20_combout ),
+ .datab(\first_red_pos_x[9]~input_o ),
+ .datac(gnd),
+ .datad(gnd),
+ .cin(\Add24~17 ),
+ .combout(\Add24~18_combout ),
+ .cout());
+// synopsys translate_off
+defparam \Add24~18 .lut_mask = 16'h9696;
+defparam \Add24~18 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X14_Y4_N0
+cycloneiii_lcell_comb \Add25~0 (
+// Equation(s):
+// \Add25~0_combout = (\Add0~0_combout & (\Add10~6_combout $ (VCC))) # (!\Add0~0_combout & (\Add10~6_combout & VCC))
+// \Add25~1 = CARRY((\Add0~0_combout & \Add10~6_combout ))
+
+ .dataa(\Add0~0_combout ),
+ .datab(\Add10~6_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(\Add25~0_combout ),
+ .cout(\Add25~1 ));
+// synopsys translate_off
+defparam \Add25~0 .lut_mask = 16'h6688;
+defparam \Add25~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X14_Y4_N2
+cycloneiii_lcell_comb \Add25~2 (
+// Equation(s):
+// \Add25~2_combout = (\Add0~2_combout & ((\Add10~8_combout & (\Add25~1 & VCC)) # (!\Add10~8_combout & (!\Add25~1 )))) # (!\Add0~2_combout & ((\Add10~8_combout & (!\Add25~1 )) # (!\Add10~8_combout & ((\Add25~1 ) # (GND)))))
+// \Add25~3 = CARRY((\Add0~2_combout & (!\Add10~8_combout & !\Add25~1 )) # (!\Add0~2_combout & ((!\Add25~1 ) # (!\Add10~8_combout ))))
+
+ .dataa(\Add0~2_combout ),
+ .datab(\Add10~8_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add25~1 ),
+ .combout(\Add25~2_combout ),
+ .cout(\Add25~3 ));
+// synopsys translate_off
+defparam \Add25~2 .lut_mask = 16'h9617;
+defparam \Add25~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X4_Y4_N0
+cycloneiii_lcell_comb \Add26~0 (
+// Equation(s):
+// \Add26~0_combout = (\first_red_pos_x[0]~input_o & (\Add25~2_combout $ (VCC))) # (!\first_red_pos_x[0]~input_o & (\Add25~2_combout & VCC))
+// \Add26~1 = CARRY((\first_red_pos_x[0]~input_o & \Add25~2_combout ))
+
+ .dataa(\first_red_pos_x[0]~input_o ),
+ .datab(\Add25~2_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(\Add26~0_combout ),
+ .cout(\Add26~1 ));
+// synopsys translate_off
+defparam \Add26~0 .lut_mask = 16'h6688;
+defparam \Add26~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X14_Y4_N4
+cycloneiii_lcell_comb \Add25~4 (
+// Equation(s):
+// \Add25~4_combout = ((\Add10~10_combout $ (\Add0~4_combout $ (!\Add25~3 )))) # (GND)
+// \Add25~5 = CARRY((\Add10~10_combout & ((\Add0~4_combout ) # (!\Add25~3 ))) # (!\Add10~10_combout & (\Add0~4_combout & !\Add25~3 )))
+
+ .dataa(\Add10~10_combout ),
+ .datab(\Add0~4_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add25~3 ),
+ .combout(\Add25~4_combout ),
+ .cout(\Add25~5 ));
+// synopsys translate_off
+defparam \Add25~4 .lut_mask = 16'h698E;
+defparam \Add25~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X4_Y4_N2
+cycloneiii_lcell_comb \Add26~2 (
+// Equation(s):
+// \Add26~2_combout = (\Add25~4_combout & ((\first_red_pos_x[1]~input_o & (\Add26~1 & VCC)) # (!\first_red_pos_x[1]~input_o & (!\Add26~1 )))) # (!\Add25~4_combout & ((\first_red_pos_x[1]~input_o & (!\Add26~1 )) # (!\first_red_pos_x[1]~input_o &
+// ((\Add26~1 ) # (GND)))))
+// \Add26~3 = CARRY((\Add25~4_combout & (!\first_red_pos_x[1]~input_o & !\Add26~1 )) # (!\Add25~4_combout & ((!\Add26~1 ) # (!\first_red_pos_x[1]~input_o ))))
+
+ .dataa(\Add25~4_combout ),
+ .datab(\first_red_pos_x[1]~input_o ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add26~1 ),
+ .combout(\Add26~2_combout ),
+ .cout(\Add26~3 ));
+// synopsys translate_off
+defparam \Add26~2 .lut_mask = 16'h9617;
+defparam \Add26~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X14_Y4_N6
+cycloneiii_lcell_comb \Add25~6 (
+// Equation(s):
+// \Add25~6_combout = (\Add0~6_combout & ((\Add10~12_combout & (\Add25~5 & VCC)) # (!\Add10~12_combout & (!\Add25~5 )))) # (!\Add0~6_combout & ((\Add10~12_combout & (!\Add25~5 )) # (!\Add10~12_combout & ((\Add25~5 ) # (GND)))))
+// \Add25~7 = CARRY((\Add0~6_combout & (!\Add10~12_combout & !\Add25~5 )) # (!\Add0~6_combout & ((!\Add25~5 ) # (!\Add10~12_combout ))))
+
+ .dataa(\Add0~6_combout ),
+ .datab(\Add10~12_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add25~5 ),
+ .combout(\Add25~6_combout ),
+ .cout(\Add25~7 ));
+// synopsys translate_off
+defparam \Add25~6 .lut_mask = 16'h9617;
+defparam \Add25~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X4_Y4_N4
+cycloneiii_lcell_comb \Add26~4 (
+// Equation(s):
+// \Add26~4_combout = ((\first_red_pos_x[2]~input_o $ (\Add25~6_combout $ (!\Add26~3 )))) # (GND)
+// \Add26~5 = CARRY((\first_red_pos_x[2]~input_o & ((\Add25~6_combout ) # (!\Add26~3 ))) # (!\first_red_pos_x[2]~input_o & (\Add25~6_combout & !\Add26~3 )))
+
+ .dataa(\first_red_pos_x[2]~input_o ),
+ .datab(\Add25~6_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add26~3 ),
+ .combout(\Add26~4_combout ),
+ .cout(\Add26~5 ));
+// synopsys translate_off
+defparam \Add26~4 .lut_mask = 16'h698E;
+defparam \Add26~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X14_Y4_N8
+cycloneiii_lcell_comb \Add25~8 (
+// Equation(s):
+// \Add25~8_combout = ((\Add0~8_combout $ (\Add10~14_combout $ (!\Add25~7 )))) # (GND)
+// \Add25~9 = CARRY((\Add0~8_combout & ((\Add10~14_combout ) # (!\Add25~7 ))) # (!\Add0~8_combout & (\Add10~14_combout & !\Add25~7 )))
+
+ .dataa(\Add0~8_combout ),
+ .datab(\Add10~14_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add25~7 ),
+ .combout(\Add25~8_combout ),
+ .cout(\Add25~9 ));
+// synopsys translate_off
+defparam \Add25~8 .lut_mask = 16'h698E;
+defparam \Add25~8 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X4_Y4_N6
+cycloneiii_lcell_comb \Add26~6 (
+// Equation(s):
+// \Add26~6_combout = (\first_red_pos_x[3]~input_o & ((\Add25~8_combout & (\Add26~5 & VCC)) # (!\Add25~8_combout & (!\Add26~5 )))) # (!\first_red_pos_x[3]~input_o & ((\Add25~8_combout & (!\Add26~5 )) # (!\Add25~8_combout & ((\Add26~5 ) # (GND)))))
+// \Add26~7 = CARRY((\first_red_pos_x[3]~input_o & (!\Add25~8_combout & !\Add26~5 )) # (!\first_red_pos_x[3]~input_o & ((!\Add26~5 ) # (!\Add25~8_combout ))))
+
+ .dataa(\first_red_pos_x[3]~input_o ),
+ .datab(\Add25~8_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add26~5 ),
+ .combout(\Add26~6_combout ),
+ .cout(\Add26~7 ));
+// synopsys translate_off
+defparam \Add26~6 .lut_mask = 16'h9617;
+defparam \Add26~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X14_Y4_N10
+cycloneiii_lcell_comb \Add25~10 (
+// Equation(s):
+// \Add25~10_combout = (\Add0~10_combout & ((\Add10~16_combout & (\Add25~9 & VCC)) # (!\Add10~16_combout & (!\Add25~9 )))) # (!\Add0~10_combout & ((\Add10~16_combout & (!\Add25~9 )) # (!\Add10~16_combout & ((\Add25~9 ) # (GND)))))
+// \Add25~11 = CARRY((\Add0~10_combout & (!\Add10~16_combout & !\Add25~9 )) # (!\Add0~10_combout & ((!\Add25~9 ) # (!\Add10~16_combout ))))
+
+ .dataa(\Add0~10_combout ),
+ .datab(\Add10~16_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add25~9 ),
+ .combout(\Add25~10_combout ),
+ .cout(\Add25~11 ));
+// synopsys translate_off
+defparam \Add25~10 .lut_mask = 16'h9617;
+defparam \Add25~10 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X4_Y4_N8
+cycloneiii_lcell_comb \Add26~8 (
+// Equation(s):
+// \Add26~8_combout = ((\first_red_pos_x[4]~input_o $ (\Add25~10_combout $ (!\Add26~7 )))) # (GND)
+// \Add26~9 = CARRY((\first_red_pos_x[4]~input_o & ((\Add25~10_combout ) # (!\Add26~7 ))) # (!\first_red_pos_x[4]~input_o & (\Add25~10_combout & !\Add26~7 )))
+
+ .dataa(\first_red_pos_x[4]~input_o ),
+ .datab(\Add25~10_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add26~7 ),
+ .combout(\Add26~8_combout ),
+ .cout(\Add26~9 ));
+// synopsys translate_off
+defparam \Add26~8 .lut_mask = 16'h698E;
+defparam \Add26~8 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X14_Y4_N12
+cycloneiii_lcell_comb \Add25~12 (
+// Equation(s):
+// \Add25~12_combout = ((\Add0~12_combout $ (\Add10~18_combout $ (!\Add25~11 )))) # (GND)
+// \Add25~13 = CARRY((\Add0~12_combout & ((\Add10~18_combout ) # (!\Add25~11 ))) # (!\Add0~12_combout & (\Add10~18_combout & !\Add25~11 )))
+
+ .dataa(\Add0~12_combout ),
+ .datab(\Add10~18_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add25~11 ),
+ .combout(\Add25~12_combout ),
+ .cout(\Add25~13 ));
+// synopsys translate_off
+defparam \Add25~12 .lut_mask = 16'h698E;
+defparam \Add25~12 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X4_Y4_N10
+cycloneiii_lcell_comb \Add26~10 (
+// Equation(s):
+// \Add26~10_combout = (\Add25~12_combout & ((\first_red_pos_x[5]~input_o & (\Add26~9 & VCC)) # (!\first_red_pos_x[5]~input_o & (!\Add26~9 )))) # (!\Add25~12_combout & ((\first_red_pos_x[5]~input_o & (!\Add26~9 )) # (!\first_red_pos_x[5]~input_o &
+// ((\Add26~9 ) # (GND)))))
+// \Add26~11 = CARRY((\Add25~12_combout & (!\first_red_pos_x[5]~input_o & !\Add26~9 )) # (!\Add25~12_combout & ((!\Add26~9 ) # (!\first_red_pos_x[5]~input_o ))))
+
+ .dataa(\Add25~12_combout ),
+ .datab(\first_red_pos_x[5]~input_o ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add26~9 ),
+ .combout(\Add26~10_combout ),
+ .cout(\Add26~11 ));
+// synopsys translate_off
+defparam \Add26~10 .lut_mask = 16'h9617;
+defparam \Add26~10 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X14_Y4_N14
+cycloneiii_lcell_comb \Add25~14 (
+// Equation(s):
+// \Add25~14_combout = (\Add0~14_combout & ((\Add10~20_combout & (\Add25~13 & VCC)) # (!\Add10~20_combout & (!\Add25~13 )))) # (!\Add0~14_combout & ((\Add10~20_combout & (!\Add25~13 )) # (!\Add10~20_combout & ((\Add25~13 ) # (GND)))))
+// \Add25~15 = CARRY((\Add0~14_combout & (!\Add10~20_combout & !\Add25~13 )) # (!\Add0~14_combout & ((!\Add25~13 ) # (!\Add10~20_combout ))))
+
+ .dataa(\Add0~14_combout ),
+ .datab(\Add10~20_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add25~13 ),
+ .combout(\Add25~14_combout ),
+ .cout(\Add25~15 ));
+// synopsys translate_off
+defparam \Add25~14 .lut_mask = 16'h9617;
+defparam \Add25~14 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X4_Y4_N12
+cycloneiii_lcell_comb \Add26~12 (
+// Equation(s):
+// \Add26~12_combout = ((\Add25~14_combout $ (\first_red_pos_x[6]~input_o $ (!\Add26~11 )))) # (GND)
+// \Add26~13 = CARRY((\Add25~14_combout & ((\first_red_pos_x[6]~input_o ) # (!\Add26~11 ))) # (!\Add25~14_combout & (\first_red_pos_x[6]~input_o & !\Add26~11 )))
+
+ .dataa(\Add25~14_combout ),
+ .datab(\first_red_pos_x[6]~input_o ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add26~11 ),
+ .combout(\Add26~12_combout ),
+ .cout(\Add26~13 ));
+// synopsys translate_off
+defparam \Add26~12 .lut_mask = 16'h698E;
+defparam \Add26~12 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X14_Y4_N16
+cycloneiii_lcell_comb \Add25~16 (
+// Equation(s):
+// \Add25~16_combout = ((\Add0~16_combout $ (\Add10~20_combout $ (!\Add25~15 )))) # (GND)
+// \Add25~17 = CARRY((\Add0~16_combout & ((\Add10~20_combout ) # (!\Add25~15 ))) # (!\Add0~16_combout & (\Add10~20_combout & !\Add25~15 )))
+
+ .dataa(\Add0~16_combout ),
+ .datab(\Add10~20_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add25~15 ),
+ .combout(\Add25~16_combout ),
+ .cout(\Add25~17 ));
+// synopsys translate_off
+defparam \Add25~16 .lut_mask = 16'h698E;
+defparam \Add25~16 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X4_Y4_N14
+cycloneiii_lcell_comb \Add26~14 (
+// Equation(s):
+// \Add26~14_combout = (\first_red_pos_x[7]~input_o & ((\Add25~16_combout & (\Add26~13 & VCC)) # (!\Add25~16_combout & (!\Add26~13 )))) # (!\first_red_pos_x[7]~input_o & ((\Add25~16_combout & (!\Add26~13 )) # (!\Add25~16_combout & ((\Add26~13 ) #
+// (GND)))))
+// \Add26~15 = CARRY((\first_red_pos_x[7]~input_o & (!\Add25~16_combout & !\Add26~13 )) # (!\first_red_pos_x[7]~input_o & ((!\Add26~13 ) # (!\Add25~16_combout ))))
+
+ .dataa(\first_red_pos_x[7]~input_o ),
+ .datab(\Add25~16_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add26~13 ),
+ .combout(\Add26~14_combout ),
+ .cout(\Add26~15 ));
+// synopsys translate_off
+defparam \Add26~14 .lut_mask = 16'h9617;
+defparam \Add26~14 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X14_Y4_N18
+cycloneiii_lcell_comb \Add25~18 (
+// Equation(s):
+// \Add25~18_combout = (\Add0~18_combout & ((\Add10~20_combout & (\Add25~17 & VCC)) # (!\Add10~20_combout & (!\Add25~17 )))) # (!\Add0~18_combout & ((\Add10~20_combout & (!\Add25~17 )) # (!\Add10~20_combout & ((\Add25~17 ) # (GND)))))
+// \Add25~19 = CARRY((\Add0~18_combout & (!\Add10~20_combout & !\Add25~17 )) # (!\Add0~18_combout & ((!\Add25~17 ) # (!\Add10~20_combout ))))
+
+ .dataa(\Add0~18_combout ),
+ .datab(\Add10~20_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add25~17 ),
+ .combout(\Add25~18_combout ),
+ .cout(\Add25~19 ));
+// synopsys translate_off
+defparam \Add25~18 .lut_mask = 16'h9617;
+defparam \Add25~18 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X4_Y4_N16
+cycloneiii_lcell_comb \Add26~16 (
+// Equation(s):
+// \Add26~16_combout = ((\Add25~18_combout $ (\first_red_pos_x[8]~input_o $ (!\Add26~15 )))) # (GND)
+// \Add26~17 = CARRY((\Add25~18_combout & ((\first_red_pos_x[8]~input_o ) # (!\Add26~15 ))) # (!\Add25~18_combout & (\first_red_pos_x[8]~input_o & !\Add26~15 )))
+
+ .dataa(\Add25~18_combout ),
+ .datab(\first_red_pos_x[8]~input_o ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add26~15 ),
+ .combout(\Add26~16_combout ),
+ .cout(\Add26~17 ));
+// synopsys translate_off
+defparam \Add26~16 .lut_mask = 16'h698E;
+defparam \Add26~16 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X14_Y4_N20
+cycloneiii_lcell_comb \Add25~20 (
+// Equation(s):
+// \Add25~20_combout = \Add0~20_combout $ (\Add25~19 $ (\Add10~20_combout ))
+
+ .dataa(gnd),
+ .datab(\Add0~20_combout ),
+ .datac(gnd),
+ .datad(\Add10~20_combout ),
+ .cin(\Add25~19 ),
+ .combout(\Add25~20_combout ),
+ .cout());
+// synopsys translate_off
+defparam \Add25~20 .lut_mask = 16'hC33C;
+defparam \Add25~20 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X4_Y4_N18
+cycloneiii_lcell_comb \Add26~18 (
+// Equation(s):
+// \Add26~18_combout = \Add25~20_combout $ (\first_red_pos_x[9]~input_o $ (\Add26~17 ))
+
+ .dataa(\Add25~20_combout ),
+ .datab(\first_red_pos_x[9]~input_o ),
+ .datac(gnd),
+ .datad(gnd),
+ .cin(\Add26~17 ),
+ .combout(\Add26~18_combout ),
+ .cout());
+// synopsys translate_off
+defparam \Add26~18 .lut_mask = 16'h9696;
+defparam \Add26~18 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y20_N6
+cycloneiii_lcell_comb \Add27~0 (
+// Equation(s):
+// \Add27~0_combout = (\first_red_pos_x[0]~input_o & (\Add0~2_combout $ (VCC))) # (!\first_red_pos_x[0]~input_o & (\Add0~2_combout & VCC))
+// \Add27~1 = CARRY((\first_red_pos_x[0]~input_o & \Add0~2_combout ))
+
+ .dataa(\first_red_pos_x[0]~input_o ),
+ .datab(\Add0~2_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(\Add27~0_combout ),
+ .cout(\Add27~1 ));
+// synopsys translate_off
+defparam \Add27~0 .lut_mask = 16'h6688;
+defparam \Add27~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y20_N8
+cycloneiii_lcell_comb \Add27~2 (
+// Equation(s):
+// \Add27~2_combout = (\first_red_pos_x[1]~input_o & ((\Add0~4_combout & (\Add27~1 & VCC)) # (!\Add0~4_combout & (!\Add27~1 )))) # (!\first_red_pos_x[1]~input_o & ((\Add0~4_combout & (!\Add27~1 )) # (!\Add0~4_combout & ((\Add27~1 ) # (GND)))))
+// \Add27~3 = CARRY((\first_red_pos_x[1]~input_o & (!\Add0~4_combout & !\Add27~1 )) # (!\first_red_pos_x[1]~input_o & ((!\Add27~1 ) # (!\Add0~4_combout ))))
+
+ .dataa(\first_red_pos_x[1]~input_o ),
+ .datab(\Add0~4_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add27~1 ),
+ .combout(\Add27~2_combout ),
+ .cout(\Add27~3 ));
+// synopsys translate_off
+defparam \Add27~2 .lut_mask = 16'h9617;
+defparam \Add27~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y20_N10
+cycloneiii_lcell_comb \Add27~4 (
+// Equation(s):
+// \Add27~4_combout = ((\first_red_pos_x[2]~input_o $ (\Add0~6_combout $ (!\Add27~3 )))) # (GND)
+// \Add27~5 = CARRY((\first_red_pos_x[2]~input_o & ((\Add0~6_combout ) # (!\Add27~3 ))) # (!\first_red_pos_x[2]~input_o & (\Add0~6_combout & !\Add27~3 )))
+
+ .dataa(\first_red_pos_x[2]~input_o ),
+ .datab(\Add0~6_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add27~3 ),
+ .combout(\Add27~4_combout ),
+ .cout(\Add27~5 ));
+// synopsys translate_off
+defparam \Add27~4 .lut_mask = 16'h698E;
+defparam \Add27~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y20_N12
+cycloneiii_lcell_comb \Add27~6 (
+// Equation(s):
+// \Add27~6_combout = (\Add0~8_combout & ((\first_red_pos_x[3]~input_o & (\Add27~5 & VCC)) # (!\first_red_pos_x[3]~input_o & (!\Add27~5 )))) # (!\Add0~8_combout & ((\first_red_pos_x[3]~input_o & (!\Add27~5 )) # (!\first_red_pos_x[3]~input_o &
+// ((\Add27~5 ) # (GND)))))
+// \Add27~7 = CARRY((\Add0~8_combout & (!\first_red_pos_x[3]~input_o & !\Add27~5 )) # (!\Add0~8_combout & ((!\Add27~5 ) # (!\first_red_pos_x[3]~input_o ))))
+
+ .dataa(\Add0~8_combout ),
+ .datab(\first_red_pos_x[3]~input_o ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add27~5 ),
+ .combout(\Add27~6_combout ),
+ .cout(\Add27~7 ));
+// synopsys translate_off
+defparam \Add27~6 .lut_mask = 16'h9617;
+defparam \Add27~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y20_N14
+cycloneiii_lcell_comb \Add27~8 (
+// Equation(s):
+// \Add27~8_combout = ((\Add0~10_combout $ (\first_red_pos_x[4]~input_o $ (!\Add27~7 )))) # (GND)
+// \Add27~9 = CARRY((\Add0~10_combout & ((\first_red_pos_x[4]~input_o ) # (!\Add27~7 ))) # (!\Add0~10_combout & (\first_red_pos_x[4]~input_o & !\Add27~7 )))
+
+ .dataa(\Add0~10_combout ),
+ .datab(\first_red_pos_x[4]~input_o ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add27~7 ),
+ .combout(\Add27~8_combout ),
+ .cout(\Add27~9 ));
+// synopsys translate_off
+defparam \Add27~8 .lut_mask = 16'h698E;
+defparam \Add27~8 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y20_N16
+cycloneiii_lcell_comb \Add27~10 (
+// Equation(s):
+// \Add27~10_combout = (\first_red_pos_x[5]~input_o & ((\Add0~12_combout & (\Add27~9 & VCC)) # (!\Add0~12_combout & (!\Add27~9 )))) # (!\first_red_pos_x[5]~input_o & ((\Add0~12_combout & (!\Add27~9 )) # (!\Add0~12_combout & ((\Add27~9 ) # (GND)))))
+// \Add27~11 = CARRY((\first_red_pos_x[5]~input_o & (!\Add0~12_combout & !\Add27~9 )) # (!\first_red_pos_x[5]~input_o & ((!\Add27~9 ) # (!\Add0~12_combout ))))
+
+ .dataa(\first_red_pos_x[5]~input_o ),
+ .datab(\Add0~12_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add27~9 ),
+ .combout(\Add27~10_combout ),
+ .cout(\Add27~11 ));
+// synopsys translate_off
+defparam \Add27~10 .lut_mask = 16'h9617;
+defparam \Add27~10 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y20_N18
+cycloneiii_lcell_comb \Add27~12 (
+// Equation(s):
+// \Add27~12_combout = ((\first_red_pos_x[6]~input_o $ (\Add0~14_combout $ (!\Add27~11 )))) # (GND)
+// \Add27~13 = CARRY((\first_red_pos_x[6]~input_o & ((\Add0~14_combout ) # (!\Add27~11 ))) # (!\first_red_pos_x[6]~input_o & (\Add0~14_combout & !\Add27~11 )))
+
+ .dataa(\first_red_pos_x[6]~input_o ),
+ .datab(\Add0~14_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add27~11 ),
+ .combout(\Add27~12_combout ),
+ .cout(\Add27~13 ));
+// synopsys translate_off
+defparam \Add27~12 .lut_mask = 16'h698E;
+defparam \Add27~12 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y20_N20
+cycloneiii_lcell_comb \Add27~14 (
+// Equation(s):
+// \Add27~14_combout = (\first_red_pos_x[7]~input_o & ((\Add0~16_combout & (\Add27~13 & VCC)) # (!\Add0~16_combout & (!\Add27~13 )))) # (!\first_red_pos_x[7]~input_o & ((\Add0~16_combout & (!\Add27~13 )) # (!\Add0~16_combout & ((\Add27~13 ) #
+// (GND)))))
+// \Add27~15 = CARRY((\first_red_pos_x[7]~input_o & (!\Add0~16_combout & !\Add27~13 )) # (!\first_red_pos_x[7]~input_o & ((!\Add27~13 ) # (!\Add0~16_combout ))))
+
+ .dataa(\first_red_pos_x[7]~input_o ),
+ .datab(\Add0~16_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add27~13 ),
+ .combout(\Add27~14_combout ),
+ .cout(\Add27~15 ));
+// synopsys translate_off
+defparam \Add27~14 .lut_mask = 16'h9617;
+defparam \Add27~14 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y20_N22
+cycloneiii_lcell_comb \Add27~16 (
+// Equation(s):
+// \Add27~16_combout = ((\first_red_pos_x[8]~input_o $ (\Add0~18_combout $ (!\Add27~15 )))) # (GND)
+// \Add27~17 = CARRY((\first_red_pos_x[8]~input_o & ((\Add0~18_combout ) # (!\Add27~15 ))) # (!\first_red_pos_x[8]~input_o & (\Add0~18_combout & !\Add27~15 )))
+
+ .dataa(\first_red_pos_x[8]~input_o ),
+ .datab(\Add0~18_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add27~15 ),
+ .combout(\Add27~16_combout ),
+ .cout(\Add27~17 ));
+// synopsys translate_off
+defparam \Add27~16 .lut_mask = 16'h698E;
+defparam \Add27~16 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y20_N24
+cycloneiii_lcell_comb \Add27~18 (
+// Equation(s):
+// \Add27~18_combout = \Add0~20_combout $ (\Add27~17 $ (!\first_red_pos_x[9]~input_o ))
+
+ .dataa(\Add0~20_combout ),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\first_red_pos_x[9]~input_o ),
+ .cin(\Add27~17 ),
+ .combout(\Add27~18_combout ),
+ .cout());
+// synopsys translate_off
+defparam \Add27~18 .lut_mask = 16'h5AA5;
+defparam \Add27~18 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X30_Y4_N0
+cycloneiii_lcell_comb \Add28~1 (
+// Equation(s):
+// \Add28~1_cout = CARRY((\Add0~0_combout & \Add0~8_combout ))
+
+ .dataa(\Add0~0_combout ),
+ .datab(\Add0~8_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(),
+ .cout(\Add28~1_cout ));
+// synopsys translate_off
+defparam \Add28~1 .lut_mask = 16'h0088;
+defparam \Add28~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X30_Y4_N2
+cycloneiii_lcell_comb \Add28~2 (
+// Equation(s):
+// \Add28~2_combout = (\Add0~2_combout & ((\Add0~10_combout & (\Add28~1_cout & VCC)) # (!\Add0~10_combout & (!\Add28~1_cout )))) # (!\Add0~2_combout & ((\Add0~10_combout & (!\Add28~1_cout )) # (!\Add0~10_combout & ((\Add28~1_cout ) # (GND)))))
+// \Add28~3 = CARRY((\Add0~2_combout & (!\Add0~10_combout & !\Add28~1_cout )) # (!\Add0~2_combout & ((!\Add28~1_cout ) # (!\Add0~10_combout ))))
+
+ .dataa(\Add0~2_combout ),
+ .datab(\Add0~10_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add28~1_cout ),
+ .combout(\Add28~2_combout ),
+ .cout(\Add28~3 ));
+// synopsys translate_off
+defparam \Add28~2 .lut_mask = 16'h9617;
+defparam \Add28~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y4_N0
+cycloneiii_lcell_comb \Add29~0 (
+// Equation(s):
+// \Add29~0_combout = (\Add28~2_combout & (\first_red_pos_x[0]~input_o $ (VCC))) # (!\Add28~2_combout & (\first_red_pos_x[0]~input_o & VCC))
+// \Add29~1 = CARRY((\Add28~2_combout & \first_red_pos_x[0]~input_o ))
+
+ .dataa(\Add28~2_combout ),
+ .datab(\first_red_pos_x[0]~input_o ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(\Add29~0_combout ),
+ .cout(\Add29~1 ));
+// synopsys translate_off
+defparam \Add29~0 .lut_mask = 16'h6688;
+defparam \Add29~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X30_Y4_N4
+cycloneiii_lcell_comb \Add28~4 (
+// Equation(s):
+// \Add28~4_combout = ((\Add0~4_combout $ (\Add0~12_combout $ (!\Add28~3 )))) # (GND)
+// \Add28~5 = CARRY((\Add0~4_combout & ((\Add0~12_combout ) # (!\Add28~3 ))) # (!\Add0~4_combout & (\Add0~12_combout & !\Add28~3 )))
+
+ .dataa(\Add0~4_combout ),
+ .datab(\Add0~12_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add28~3 ),
+ .combout(\Add28~4_combout ),
+ .cout(\Add28~5 ));
+// synopsys translate_off
+defparam \Add28~4 .lut_mask = 16'h698E;
+defparam \Add28~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y4_N2
+cycloneiii_lcell_comb \Add29~2 (
+// Equation(s):
+// \Add29~2_combout = (\Add28~4_combout & ((\first_red_pos_x[1]~input_o & (\Add29~1 & VCC)) # (!\first_red_pos_x[1]~input_o & (!\Add29~1 )))) # (!\Add28~4_combout & ((\first_red_pos_x[1]~input_o & (!\Add29~1 )) # (!\first_red_pos_x[1]~input_o &
+// ((\Add29~1 ) # (GND)))))
+// \Add29~3 = CARRY((\Add28~4_combout & (!\first_red_pos_x[1]~input_o & !\Add29~1 )) # (!\Add28~4_combout & ((!\Add29~1 ) # (!\first_red_pos_x[1]~input_o ))))
+
+ .dataa(\Add28~4_combout ),
+ .datab(\first_red_pos_x[1]~input_o ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add29~1 ),
+ .combout(\Add29~2_combout ),
+ .cout(\Add29~3 ));
+// synopsys translate_off
+defparam \Add29~2 .lut_mask = 16'h9617;
+defparam \Add29~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X30_Y4_N6
+cycloneiii_lcell_comb \Add28~6 (
+// Equation(s):
+// \Add28~6_combout = (\Add0~14_combout & ((\Add0~6_combout & (\Add28~5 & VCC)) # (!\Add0~6_combout & (!\Add28~5 )))) # (!\Add0~14_combout & ((\Add0~6_combout & (!\Add28~5 )) # (!\Add0~6_combout & ((\Add28~5 ) # (GND)))))
+// \Add28~7 = CARRY((\Add0~14_combout & (!\Add0~6_combout & !\Add28~5 )) # (!\Add0~14_combout & ((!\Add28~5 ) # (!\Add0~6_combout ))))
+
+ .dataa(\Add0~14_combout ),
+ .datab(\Add0~6_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add28~5 ),
+ .combout(\Add28~6_combout ),
+ .cout(\Add28~7 ));
+// synopsys translate_off
+defparam \Add28~6 .lut_mask = 16'h9617;
+defparam \Add28~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y4_N4
+cycloneiii_lcell_comb \Add29~4 (
+// Equation(s):
+// \Add29~4_combout = ((\Add28~6_combout $ (\first_red_pos_x[2]~input_o $ (!\Add29~3 )))) # (GND)
+// \Add29~5 = CARRY((\Add28~6_combout & ((\first_red_pos_x[2]~input_o ) # (!\Add29~3 ))) # (!\Add28~6_combout & (\first_red_pos_x[2]~input_o & !\Add29~3 )))
+
+ .dataa(\Add28~6_combout ),
+ .datab(\first_red_pos_x[2]~input_o ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add29~3 ),
+ .combout(\Add29~4_combout ),
+ .cout(\Add29~5 ));
+// synopsys translate_off
+defparam \Add29~4 .lut_mask = 16'h698E;
+defparam \Add29~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X30_Y4_N8
+cycloneiii_lcell_comb \Add28~8 (
+// Equation(s):
+// \Add28~8_combout = ((\Add0~16_combout $ (\Add0~8_combout $ (!\Add28~7 )))) # (GND)
+// \Add28~9 = CARRY((\Add0~16_combout & ((\Add0~8_combout ) # (!\Add28~7 ))) # (!\Add0~16_combout & (\Add0~8_combout & !\Add28~7 )))
+
+ .dataa(\Add0~16_combout ),
+ .datab(\Add0~8_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add28~7 ),
+ .combout(\Add28~8_combout ),
+ .cout(\Add28~9 ));
+// synopsys translate_off
+defparam \Add28~8 .lut_mask = 16'h698E;
+defparam \Add28~8 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y4_N6
+cycloneiii_lcell_comb \Add29~6 (
+// Equation(s):
+// \Add29~6_combout = (\first_red_pos_x[3]~input_o & ((\Add28~8_combout & (\Add29~5 & VCC)) # (!\Add28~8_combout & (!\Add29~5 )))) # (!\first_red_pos_x[3]~input_o & ((\Add28~8_combout & (!\Add29~5 )) # (!\Add28~8_combout & ((\Add29~5 ) # (GND)))))
+// \Add29~7 = CARRY((\first_red_pos_x[3]~input_o & (!\Add28~8_combout & !\Add29~5 )) # (!\first_red_pos_x[3]~input_o & ((!\Add29~5 ) # (!\Add28~8_combout ))))
+
+ .dataa(\first_red_pos_x[3]~input_o ),
+ .datab(\Add28~8_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add29~5 ),
+ .combout(\Add29~6_combout ),
+ .cout(\Add29~7 ));
+// synopsys translate_off
+defparam \Add29~6 .lut_mask = 16'h9617;
+defparam \Add29~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X30_Y4_N10
+cycloneiii_lcell_comb \Add28~10 (
+// Equation(s):
+// \Add28~10_combout = (\Add0~18_combout & ((\Add0~10_combout & (\Add28~9 & VCC)) # (!\Add0~10_combout & (!\Add28~9 )))) # (!\Add0~18_combout & ((\Add0~10_combout & (!\Add28~9 )) # (!\Add0~10_combout & ((\Add28~9 ) # (GND)))))
+// \Add28~11 = CARRY((\Add0~18_combout & (!\Add0~10_combout & !\Add28~9 )) # (!\Add0~18_combout & ((!\Add28~9 ) # (!\Add0~10_combout ))))
+
+ .dataa(\Add0~18_combout ),
+ .datab(\Add0~10_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add28~9 ),
+ .combout(\Add28~10_combout ),
+ .cout(\Add28~11 ));
+// synopsys translate_off
+defparam \Add28~10 .lut_mask = 16'h9617;
+defparam \Add28~10 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y4_N8
+cycloneiii_lcell_comb \Add29~8 (
+// Equation(s):
+// \Add29~8_combout = ((\first_red_pos_x[4]~input_o $ (\Add28~10_combout $ (!\Add29~7 )))) # (GND)
+// \Add29~9 = CARRY((\first_red_pos_x[4]~input_o & ((\Add28~10_combout ) # (!\Add29~7 ))) # (!\first_red_pos_x[4]~input_o & (\Add28~10_combout & !\Add29~7 )))
+
+ .dataa(\first_red_pos_x[4]~input_o ),
+ .datab(\Add28~10_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add29~7 ),
+ .combout(\Add29~8_combout ),
+ .cout(\Add29~9 ));
+// synopsys translate_off
+defparam \Add29~8 .lut_mask = 16'h698E;
+defparam \Add29~8 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X30_Y4_N12
+cycloneiii_lcell_comb \Add28~12 (
+// Equation(s):
+// \Add28~12_combout = ((\Add0~20_combout $ (\Add0~12_combout $ (\Add28~11 )))) # (GND)
+// \Add28~13 = CARRY((\Add0~20_combout & (\Add0~12_combout & !\Add28~11 )) # (!\Add0~20_combout & ((\Add0~12_combout ) # (!\Add28~11 ))))
+
+ .dataa(\Add0~20_combout ),
+ .datab(\Add0~12_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add28~11 ),
+ .combout(\Add28~12_combout ),
+ .cout(\Add28~13 ));
+// synopsys translate_off
+defparam \Add28~12 .lut_mask = 16'h964D;
+defparam \Add28~12 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y4_N10
+cycloneiii_lcell_comb \Add29~10 (
+// Equation(s):
+// \Add29~10_combout = (\first_red_pos_x[5]~input_o & ((\Add28~12_combout & (\Add29~9 & VCC)) # (!\Add28~12_combout & (!\Add29~9 )))) # (!\first_red_pos_x[5]~input_o & ((\Add28~12_combout & (!\Add29~9 )) # (!\Add28~12_combout & ((\Add29~9 ) #
+// (GND)))))
+// \Add29~11 = CARRY((\first_red_pos_x[5]~input_o & (!\Add28~12_combout & !\Add29~9 )) # (!\first_red_pos_x[5]~input_o & ((!\Add29~9 ) # (!\Add28~12_combout ))))
+
+ .dataa(\first_red_pos_x[5]~input_o ),
+ .datab(\Add28~12_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add29~9 ),
+ .combout(\Add29~10_combout ),
+ .cout(\Add29~11 ));
+// synopsys translate_off
+defparam \Add29~10 .lut_mask = 16'h9617;
+defparam \Add29~10 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X30_Y4_N14
+cycloneiii_lcell_comb \Add28~14 (
+// Equation(s):
+// \Add28~14_combout = (\Add0~14_combout & ((\Add0~20_combout & (!\Add28~13 )) # (!\Add0~20_combout & (\Add28~13 & VCC)))) # (!\Add0~14_combout & ((\Add0~20_combout & ((\Add28~13 ) # (GND))) # (!\Add0~20_combout & (!\Add28~13 ))))
+// \Add28~15 = CARRY((\Add0~14_combout & (\Add0~20_combout & !\Add28~13 )) # (!\Add0~14_combout & ((\Add0~20_combout ) # (!\Add28~13 ))))
+
+ .dataa(\Add0~14_combout ),
+ .datab(\Add0~20_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add28~13 ),
+ .combout(\Add28~14_combout ),
+ .cout(\Add28~15 ));
+// synopsys translate_off
+defparam \Add28~14 .lut_mask = 16'h694D;
+defparam \Add28~14 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y4_N12
+cycloneiii_lcell_comb \Add29~12 (
+// Equation(s):
+// \Add29~12_combout = ((\first_red_pos_x[6]~input_o $ (\Add28~14_combout $ (!\Add29~11 )))) # (GND)
+// \Add29~13 = CARRY((\first_red_pos_x[6]~input_o & ((\Add28~14_combout ) # (!\Add29~11 ))) # (!\first_red_pos_x[6]~input_o & (\Add28~14_combout & !\Add29~11 )))
+
+ .dataa(\first_red_pos_x[6]~input_o ),
+ .datab(\Add28~14_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add29~11 ),
+ .combout(\Add29~12_combout ),
+ .cout(\Add29~13 ));
+// synopsys translate_off
+defparam \Add29~12 .lut_mask = 16'h698E;
+defparam \Add29~12 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X30_Y4_N16
+cycloneiii_lcell_comb \Add28~16 (
+// Equation(s):
+// \Add28~16_combout = ((\Add0~20_combout $ (\Add0~16_combout $ (\Add28~15 )))) # (GND)
+// \Add28~17 = CARRY((\Add0~20_combout & (\Add0~16_combout & !\Add28~15 )) # (!\Add0~20_combout & ((\Add0~16_combout ) # (!\Add28~15 ))))
+
+ .dataa(\Add0~20_combout ),
+ .datab(\Add0~16_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add28~15 ),
+ .combout(\Add28~16_combout ),
+ .cout(\Add28~17 ));
+// synopsys translate_off
+defparam \Add28~16 .lut_mask = 16'h964D;
+defparam \Add28~16 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y4_N14
+cycloneiii_lcell_comb \Add29~14 (
+// Equation(s):
+// \Add29~14_combout = (\first_red_pos_x[7]~input_o & ((\Add28~16_combout & (\Add29~13 & VCC)) # (!\Add28~16_combout & (!\Add29~13 )))) # (!\first_red_pos_x[7]~input_o & ((\Add28~16_combout & (!\Add29~13 )) # (!\Add28~16_combout & ((\Add29~13 ) #
+// (GND)))))
+// \Add29~15 = CARRY((\first_red_pos_x[7]~input_o & (!\Add28~16_combout & !\Add29~13 )) # (!\first_red_pos_x[7]~input_o & ((!\Add29~13 ) # (!\Add28~16_combout ))))
+
+ .dataa(\first_red_pos_x[7]~input_o ),
+ .datab(\Add28~16_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add29~13 ),
+ .combout(\Add29~14_combout ),
+ .cout(\Add29~15 ));
+// synopsys translate_off
+defparam \Add29~14 .lut_mask = 16'h9617;
+defparam \Add29~14 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X30_Y4_N18
+cycloneiii_lcell_comb \Add28~18 (
+// Equation(s):
+// \Add28~18_combout = (\Add0~20_combout & ((\Add0~18_combout & (!\Add28~17 )) # (!\Add0~18_combout & ((\Add28~17 ) # (GND))))) # (!\Add0~20_combout & ((\Add0~18_combout & (\Add28~17 & VCC)) # (!\Add0~18_combout & (!\Add28~17 ))))
+// \Add28~19 = CARRY((\Add0~20_combout & ((!\Add28~17 ) # (!\Add0~18_combout ))) # (!\Add0~20_combout & (!\Add0~18_combout & !\Add28~17 )))
+
+ .dataa(\Add0~20_combout ),
+ .datab(\Add0~18_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add28~17 ),
+ .combout(\Add28~18_combout ),
+ .cout(\Add28~19 ));
+// synopsys translate_off
+defparam \Add28~18 .lut_mask = 16'h692B;
+defparam \Add28~18 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y4_N16
+cycloneiii_lcell_comb \Add29~16 (
+// Equation(s):
+// \Add29~16_combout = ((\first_red_pos_x[8]~input_o $ (\Add28~18_combout $ (!\Add29~15 )))) # (GND)
+// \Add29~17 = CARRY((\first_red_pos_x[8]~input_o & ((\Add28~18_combout ) # (!\Add29~15 ))) # (!\first_red_pos_x[8]~input_o & (\Add28~18_combout & !\Add29~15 )))
+
+ .dataa(\first_red_pos_x[8]~input_o ),
+ .datab(\Add28~18_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add29~15 ),
+ .combout(\Add29~16_combout ),
+ .cout(\Add29~17 ));
+// synopsys translate_off
+defparam \Add29~16 .lut_mask = 16'h698E;
+defparam \Add29~16 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X30_Y4_N20
+cycloneiii_lcell_comb \Add28~20 (
+// Equation(s):
+// \Add28~20_combout = !\Add28~19
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .cin(\Add28~19 ),
+ .combout(\Add28~20_combout ),
+ .cout());
+// synopsys translate_off
+defparam \Add28~20 .lut_mask = 16'h0F0F;
+defparam \Add28~20 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y4_N18
+cycloneiii_lcell_comb \Add29~18 (
+// Equation(s):
+// \Add29~18_combout = \Add28~20_combout $ (\first_red_pos_x[9]~input_o $ (\Add29~17 ))
+
+ .dataa(\Add28~20_combout ),
+ .datab(\first_red_pos_x[9]~input_o ),
+ .datac(gnd),
+ .datad(gnd),
+ .cin(\Add29~17 ),
+ .combout(\Add29~18_combout ),
+ .cout());
+// synopsys translate_off
+defparam \Add29~18 .lut_mask = 16'h9696;
+defparam \Add29~18 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X11_Y4_N8
+cycloneiii_lcell_comb \Add30~1 (
+// Equation(s):
+// \Add30~1_cout = CARRY((\Add0~0_combout & \Add0~6_combout ))
+
+ .dataa(\Add0~0_combout ),
+ .datab(\Add0~6_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(),
+ .cout(\Add30~1_cout ));
+// synopsys translate_off
+defparam \Add30~1 .lut_mask = 16'h0088;
+defparam \Add30~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X11_Y4_N10
+cycloneiii_lcell_comb \Add30~2 (
+// Equation(s):
+// \Add30~2_combout = (\Add0~2_combout & ((\Add0~8_combout & (\Add30~1_cout & VCC)) # (!\Add0~8_combout & (!\Add30~1_cout )))) # (!\Add0~2_combout & ((\Add0~8_combout & (!\Add30~1_cout )) # (!\Add0~8_combout & ((\Add30~1_cout ) # (GND)))))
+// \Add30~3 = CARRY((\Add0~2_combout & (!\Add0~8_combout & !\Add30~1_cout )) # (!\Add0~2_combout & ((!\Add30~1_cout ) # (!\Add0~8_combout ))))
+
+ .dataa(\Add0~2_combout ),
+ .datab(\Add0~8_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add30~1_cout ),
+ .combout(\Add30~2_combout ),
+ .cout(\Add30~3 ));
+// synopsys translate_off
+defparam \Add30~2 .lut_mask = 16'h9617;
+defparam \Add30~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X10_Y4_N6
+cycloneiii_lcell_comb \Add31~0 (
+// Equation(s):
+// \Add31~0_combout = (\first_red_pos_x[0]~input_o & (\Add30~2_combout $ (VCC))) # (!\first_red_pos_x[0]~input_o & (\Add30~2_combout & VCC))
+// \Add31~1 = CARRY((\first_red_pos_x[0]~input_o & \Add30~2_combout ))
+
+ .dataa(\first_red_pos_x[0]~input_o ),
+ .datab(\Add30~2_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(\Add31~0_combout ),
+ .cout(\Add31~1 ));
+// synopsys translate_off
+defparam \Add31~0 .lut_mask = 16'h6688;
+defparam \Add31~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X11_Y4_N12
+cycloneiii_lcell_comb \Add30~4 (
+// Equation(s):
+// \Add30~4_combout = ((\Add0~4_combout $ (\Add0~10_combout $ (!\Add30~3 )))) # (GND)
+// \Add30~5 = CARRY((\Add0~4_combout & ((\Add0~10_combout ) # (!\Add30~3 ))) # (!\Add0~4_combout & (\Add0~10_combout & !\Add30~3 )))
+
+ .dataa(\Add0~4_combout ),
+ .datab(\Add0~10_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add30~3 ),
+ .combout(\Add30~4_combout ),
+ .cout(\Add30~5 ));
+// synopsys translate_off
+defparam \Add30~4 .lut_mask = 16'h698E;
+defparam \Add30~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X10_Y4_N8
+cycloneiii_lcell_comb \Add31~2 (
+// Equation(s):
+// \Add31~2_combout = (\first_red_pos_x[1]~input_o & ((\Add30~4_combout & (\Add31~1 & VCC)) # (!\Add30~4_combout & (!\Add31~1 )))) # (!\first_red_pos_x[1]~input_o & ((\Add30~4_combout & (!\Add31~1 )) # (!\Add30~4_combout & ((\Add31~1 ) # (GND)))))
+// \Add31~3 = CARRY((\first_red_pos_x[1]~input_o & (!\Add30~4_combout & !\Add31~1 )) # (!\first_red_pos_x[1]~input_o & ((!\Add31~1 ) # (!\Add30~4_combout ))))
+
+ .dataa(\first_red_pos_x[1]~input_o ),
+ .datab(\Add30~4_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add31~1 ),
+ .combout(\Add31~2_combout ),
+ .cout(\Add31~3 ));
+// synopsys translate_off
+defparam \Add31~2 .lut_mask = 16'h9617;
+defparam \Add31~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X11_Y4_N14
+cycloneiii_lcell_comb \Add30~6 (
+// Equation(s):
+// \Add30~6_combout = (\Add0~12_combout & ((\Add0~6_combout & (\Add30~5 & VCC)) # (!\Add0~6_combout & (!\Add30~5 )))) # (!\Add0~12_combout & ((\Add0~6_combout & (!\Add30~5 )) # (!\Add0~6_combout & ((\Add30~5 ) # (GND)))))
+// \Add30~7 = CARRY((\Add0~12_combout & (!\Add0~6_combout & !\Add30~5 )) # (!\Add0~12_combout & ((!\Add30~5 ) # (!\Add0~6_combout ))))
+
+ .dataa(\Add0~12_combout ),
+ .datab(\Add0~6_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add30~5 ),
+ .combout(\Add30~6_combout ),
+ .cout(\Add30~7 ));
+// synopsys translate_off
+defparam \Add30~6 .lut_mask = 16'h9617;
+defparam \Add30~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X10_Y4_N10
+cycloneiii_lcell_comb \Add31~4 (
+// Equation(s):
+// \Add31~4_combout = ((\first_red_pos_x[2]~input_o $ (\Add30~6_combout $ (!\Add31~3 )))) # (GND)
+// \Add31~5 = CARRY((\first_red_pos_x[2]~input_o & ((\Add30~6_combout ) # (!\Add31~3 ))) # (!\first_red_pos_x[2]~input_o & (\Add30~6_combout & !\Add31~3 )))
+
+ .dataa(\first_red_pos_x[2]~input_o ),
+ .datab(\Add30~6_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add31~3 ),
+ .combout(\Add31~4_combout ),
+ .cout(\Add31~5 ));
+// synopsys translate_off
+defparam \Add31~4 .lut_mask = 16'h698E;
+defparam \Add31~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X11_Y4_N16
+cycloneiii_lcell_comb \Add30~8 (
+// Equation(s):
+// \Add30~8_combout = ((\Add0~14_combout $ (\Add0~8_combout $ (!\Add30~7 )))) # (GND)
+// \Add30~9 = CARRY((\Add0~14_combout & ((\Add0~8_combout ) # (!\Add30~7 ))) # (!\Add0~14_combout & (\Add0~8_combout & !\Add30~7 )))
+
+ .dataa(\Add0~14_combout ),
+ .datab(\Add0~8_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add30~7 ),
+ .combout(\Add30~8_combout ),
+ .cout(\Add30~9 ));
+// synopsys translate_off
+defparam \Add30~8 .lut_mask = 16'h698E;
+defparam \Add30~8 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X10_Y4_N12
+cycloneiii_lcell_comb \Add31~6 (
+// Equation(s):
+// \Add31~6_combout = (\first_red_pos_x[3]~input_o & ((\Add30~8_combout & (\Add31~5 & VCC)) # (!\Add30~8_combout & (!\Add31~5 )))) # (!\first_red_pos_x[3]~input_o & ((\Add30~8_combout & (!\Add31~5 )) # (!\Add30~8_combout & ((\Add31~5 ) # (GND)))))
+// \Add31~7 = CARRY((\first_red_pos_x[3]~input_o & (!\Add30~8_combout & !\Add31~5 )) # (!\first_red_pos_x[3]~input_o & ((!\Add31~5 ) # (!\Add30~8_combout ))))
+
+ .dataa(\first_red_pos_x[3]~input_o ),
+ .datab(\Add30~8_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add31~5 ),
+ .combout(\Add31~6_combout ),
+ .cout(\Add31~7 ));
+// synopsys translate_off
+defparam \Add31~6 .lut_mask = 16'h9617;
+defparam \Add31~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X11_Y4_N18
+cycloneiii_lcell_comb \Add30~10 (
+// Equation(s):
+// \Add30~10_combout = (\Add0~16_combout & ((\Add0~10_combout & (\Add30~9 & VCC)) # (!\Add0~10_combout & (!\Add30~9 )))) # (!\Add0~16_combout & ((\Add0~10_combout & (!\Add30~9 )) # (!\Add0~10_combout & ((\Add30~9 ) # (GND)))))
+// \Add30~11 = CARRY((\Add0~16_combout & (!\Add0~10_combout & !\Add30~9 )) # (!\Add0~16_combout & ((!\Add30~9 ) # (!\Add0~10_combout ))))
+
+ .dataa(\Add0~16_combout ),
+ .datab(\Add0~10_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add30~9 ),
+ .combout(\Add30~10_combout ),
+ .cout(\Add30~11 ));
+// synopsys translate_off
+defparam \Add30~10 .lut_mask = 16'h9617;
+defparam \Add30~10 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X10_Y4_N14
+cycloneiii_lcell_comb \Add31~8 (
+// Equation(s):
+// \Add31~8_combout = ((\first_red_pos_x[4]~input_o $ (\Add30~10_combout $ (!\Add31~7 )))) # (GND)
+// \Add31~9 = CARRY((\first_red_pos_x[4]~input_o & ((\Add30~10_combout ) # (!\Add31~7 ))) # (!\first_red_pos_x[4]~input_o & (\Add30~10_combout & !\Add31~7 )))
+
+ .dataa(\first_red_pos_x[4]~input_o ),
+ .datab(\Add30~10_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add31~7 ),
+ .combout(\Add31~8_combout ),
+ .cout(\Add31~9 ));
+// synopsys translate_off
+defparam \Add31~8 .lut_mask = 16'h698E;
+defparam \Add31~8 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X11_Y4_N20
+cycloneiii_lcell_comb \Add30~12 (
+// Equation(s):
+// \Add30~12_combout = ((\Add0~12_combout $ (\Add0~18_combout $ (!\Add30~11 )))) # (GND)
+// \Add30~13 = CARRY((\Add0~12_combout & ((\Add0~18_combout ) # (!\Add30~11 ))) # (!\Add0~12_combout & (\Add0~18_combout & !\Add30~11 )))
+
+ .dataa(\Add0~12_combout ),
+ .datab(\Add0~18_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add30~11 ),
+ .combout(\Add30~12_combout ),
+ .cout(\Add30~13 ));
+// synopsys translate_off
+defparam \Add30~12 .lut_mask = 16'h698E;
+defparam \Add30~12 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X10_Y4_N16
+cycloneiii_lcell_comb \Add31~10 (
+// Equation(s):
+// \Add31~10_combout = (\Add30~12_combout & ((\first_red_pos_x[5]~input_o & (\Add31~9 & VCC)) # (!\first_red_pos_x[5]~input_o & (!\Add31~9 )))) # (!\Add30~12_combout & ((\first_red_pos_x[5]~input_o & (!\Add31~9 )) # (!\first_red_pos_x[5]~input_o &
+// ((\Add31~9 ) # (GND)))))
+// \Add31~11 = CARRY((\Add30~12_combout & (!\first_red_pos_x[5]~input_o & !\Add31~9 )) # (!\Add30~12_combout & ((!\Add31~9 ) # (!\first_red_pos_x[5]~input_o ))))
+
+ .dataa(\Add30~12_combout ),
+ .datab(\first_red_pos_x[5]~input_o ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add31~9 ),
+ .combout(\Add31~10_combout ),
+ .cout(\Add31~11 ));
+// synopsys translate_off
+defparam \Add31~10 .lut_mask = 16'h9617;
+defparam \Add31~10 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X11_Y4_N22
+cycloneiii_lcell_comb \Add30~14 (
+// Equation(s):
+// \Add30~14_combout = (\Add0~14_combout & ((\Add0~20_combout & (!\Add30~13 )) # (!\Add0~20_combout & (\Add30~13 & VCC)))) # (!\Add0~14_combout & ((\Add0~20_combout & ((\Add30~13 ) # (GND))) # (!\Add0~20_combout & (!\Add30~13 ))))
+// \Add30~15 = CARRY((\Add0~14_combout & (\Add0~20_combout & !\Add30~13 )) # (!\Add0~14_combout & ((\Add0~20_combout ) # (!\Add30~13 ))))
+
+ .dataa(\Add0~14_combout ),
+ .datab(\Add0~20_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add30~13 ),
+ .combout(\Add30~14_combout ),
+ .cout(\Add30~15 ));
+// synopsys translate_off
+defparam \Add30~14 .lut_mask = 16'h694D;
+defparam \Add30~14 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X10_Y4_N18
+cycloneiii_lcell_comb \Add31~12 (
+// Equation(s):
+// \Add31~12_combout = ((\first_red_pos_x[6]~input_o $ (\Add30~14_combout $ (!\Add31~11 )))) # (GND)
+// \Add31~13 = CARRY((\first_red_pos_x[6]~input_o & ((\Add30~14_combout ) # (!\Add31~11 ))) # (!\first_red_pos_x[6]~input_o & (\Add30~14_combout & !\Add31~11 )))
+
+ .dataa(\first_red_pos_x[6]~input_o ),
+ .datab(\Add30~14_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add31~11 ),
+ .combout(\Add31~12_combout ),
+ .cout(\Add31~13 ));
+// synopsys translate_off
+defparam \Add31~12 .lut_mask = 16'h698E;
+defparam \Add31~12 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X11_Y4_N24
+cycloneiii_lcell_comb \Add30~16 (
+// Equation(s):
+// \Add30~16_combout = ((\Add0~16_combout $ (\Add0~20_combout $ (\Add30~15 )))) # (GND)
+// \Add30~17 = CARRY((\Add0~16_combout & ((!\Add30~15 ) # (!\Add0~20_combout ))) # (!\Add0~16_combout & (!\Add0~20_combout & !\Add30~15 )))
+
+ .dataa(\Add0~16_combout ),
+ .datab(\Add0~20_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add30~15 ),
+ .combout(\Add30~16_combout ),
+ .cout(\Add30~17 ));
+// synopsys translate_off
+defparam \Add30~16 .lut_mask = 16'h962B;
+defparam \Add30~16 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X10_Y4_N20
+cycloneiii_lcell_comb \Add31~14 (
+// Equation(s):
+// \Add31~14_combout = (\first_red_pos_x[7]~input_o & ((\Add30~16_combout & (\Add31~13 & VCC)) # (!\Add30~16_combout & (!\Add31~13 )))) # (!\first_red_pos_x[7]~input_o & ((\Add30~16_combout & (!\Add31~13 )) # (!\Add30~16_combout & ((\Add31~13 ) #
+// (GND)))))
+// \Add31~15 = CARRY((\first_red_pos_x[7]~input_o & (!\Add30~16_combout & !\Add31~13 )) # (!\first_red_pos_x[7]~input_o & ((!\Add31~13 ) # (!\Add30~16_combout ))))
+
+ .dataa(\first_red_pos_x[7]~input_o ),
+ .datab(\Add30~16_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add31~13 ),
+ .combout(\Add31~14_combout ),
+ .cout(\Add31~15 ));
+// synopsys translate_off
+defparam \Add31~14 .lut_mask = 16'h9617;
+defparam \Add31~14 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X11_Y4_N26
+cycloneiii_lcell_comb \Add30~18 (
+// Equation(s):
+// \Add30~18_combout = (\Add0~18_combout & ((\Add0~20_combout & (!\Add30~17 )) # (!\Add0~20_combout & (\Add30~17 & VCC)))) # (!\Add0~18_combout & ((\Add0~20_combout & ((\Add30~17 ) # (GND))) # (!\Add0~20_combout & (!\Add30~17 ))))
+// \Add30~19 = CARRY((\Add0~18_combout & (\Add0~20_combout & !\Add30~17 )) # (!\Add0~18_combout & ((\Add0~20_combout ) # (!\Add30~17 ))))
+
+ .dataa(\Add0~18_combout ),
+ .datab(\Add0~20_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add30~17 ),
+ .combout(\Add30~18_combout ),
+ .cout(\Add30~19 ));
+// synopsys translate_off
+defparam \Add30~18 .lut_mask = 16'h694D;
+defparam \Add30~18 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X10_Y4_N22
+cycloneiii_lcell_comb \Add31~16 (
+// Equation(s):
+// \Add31~16_combout = ((\first_red_pos_x[8]~input_o $ (\Add30~18_combout $ (!\Add31~15 )))) # (GND)
+// \Add31~17 = CARRY((\first_red_pos_x[8]~input_o & ((\Add30~18_combout ) # (!\Add31~15 ))) # (!\first_red_pos_x[8]~input_o & (\Add30~18_combout & !\Add31~15 )))
+
+ .dataa(\first_red_pos_x[8]~input_o ),
+ .datab(\Add30~18_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add31~15 ),
+ .combout(\Add31~16_combout ),
+ .cout(\Add31~17 ));
+// synopsys translate_off
+defparam \Add31~16 .lut_mask = 16'h698E;
+defparam \Add31~16 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X11_Y4_N28
+cycloneiii_lcell_comb \Add30~20 (
+// Equation(s):
+// \Add30~20_combout = !\Add30~19
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .cin(\Add30~19 ),
+ .combout(\Add30~20_combout ),
+ .cout());
+// synopsys translate_off
+defparam \Add30~20 .lut_mask = 16'h0F0F;
+defparam \Add30~20 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X10_Y4_N24
+cycloneiii_lcell_comb \Add31~18 (
+// Equation(s):
+// \Add31~18_combout = \first_red_pos_x[9]~input_o $ (\Add31~17 $ (\Add30~20_combout ))
+
+ .dataa(\first_red_pos_x[9]~input_o ),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\Add30~20_combout ),
+ .cin(\Add31~17 ),
+ .combout(\Add31~18_combout ),
+ .cout());
+// synopsys translate_off
+defparam \Add31~18 .lut_mask = 16'hA55A;
+defparam \Add31~18 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y15_N14
+cycloneiii_lcell_comb \Mult2|mult_core|romout[0][4]~0 (
+// Equation(s):
+// \Mult2|mult_core|romout[0][4]~0_combout = (\Add0~4_combout & (\Add0~0_combout $ (((\Add0~2_combout & !\Add0~6_combout ))))) # (!\Add0~4_combout & ((\Add0~0_combout & ((\Add0~2_combout ) # (!\Add0~6_combout ))) # (!\Add0~0_combout &
+// ((\Add0~6_combout )))))
+
+ .dataa(\Add0~2_combout ),
+ .datab(\Add0~4_combout ),
+ .datac(\Add0~0_combout ),
+ .datad(\Add0~6_combout ),
+ .cin(gnd),
+ .combout(\Mult2|mult_core|romout[0][4]~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \Mult2|mult_core|romout[0][4]~0 .lut_mask = 16'hE378;
+defparam \Mult2|mult_core|romout[0][4]~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y16_N10
+cycloneiii_lcell_comb \Mult2|mult_core|padder|adder[0]|auto_generated|op_1~1 (
+// Equation(s):
+// \Mult2|mult_core|padder|adder[0]|auto_generated|op_1~1_cout = CARRY((\Add0~8_combout & \Mult2|mult_core|romout[0][4]~0_combout ))
+
+ .dataa(\Add0~8_combout ),
+ .datab(\Mult2|mult_core|romout[0][4]~0_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(),
+ .cout(\Mult2|mult_core|padder|adder[0]|auto_generated|op_1~1_cout ));
+// synopsys translate_off
+defparam \Mult2|mult_core|padder|adder[0]|auto_generated|op_1~1 .lut_mask = 16'h0088;
+defparam \Mult2|mult_core|padder|adder[0]|auto_generated|op_1~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y16_N12
+cycloneiii_lcell_comb \Mult2|mult_core|padder|adder[0]|auto_generated|op_1~2 (
+// Equation(s):
+// \Mult2|mult_core|padder|adder[0]|auto_generated|op_1~2_combout = (\Mult2|mult_core|romout[0][5]~combout & ((\Mult0|mult_core|romout[1][1]~0_combout & (\Mult2|mult_core|padder|adder[0]|auto_generated|op_1~1_cout & VCC)) #
+// (!\Mult0|mult_core|romout[1][1]~0_combout & (!\Mult2|mult_core|padder|adder[0]|auto_generated|op_1~1_cout )))) # (!\Mult2|mult_core|romout[0][5]~combout & ((\Mult0|mult_core|romout[1][1]~0_combout &
+// (!\Mult2|mult_core|padder|adder[0]|auto_generated|op_1~1_cout )) # (!\Mult0|mult_core|romout[1][1]~0_combout & ((\Mult2|mult_core|padder|adder[0]|auto_generated|op_1~1_cout ) # (GND)))))
+// \Mult2|mult_core|padder|adder[0]|auto_generated|op_1~3 = CARRY((\Mult2|mult_core|romout[0][5]~combout & (!\Mult0|mult_core|romout[1][1]~0_combout & !\Mult2|mult_core|padder|adder[0]|auto_generated|op_1~1_cout )) #
+// (!\Mult2|mult_core|romout[0][5]~combout & ((!\Mult2|mult_core|padder|adder[0]|auto_generated|op_1~1_cout ) # (!\Mult0|mult_core|romout[1][1]~0_combout ))))
+
+ .dataa(\Mult2|mult_core|romout[0][5]~combout ),
+ .datab(\Mult0|mult_core|romout[1][1]~0_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Mult2|mult_core|padder|adder[0]|auto_generated|op_1~1_cout ),
+ .combout(\Mult2|mult_core|padder|adder[0]|auto_generated|op_1~2_combout ),
+ .cout(\Mult2|mult_core|padder|adder[0]|auto_generated|op_1~3 ));
+// synopsys translate_off
+defparam \Mult2|mult_core|padder|adder[0]|auto_generated|op_1~2 .lut_mask = 16'h9617;
+defparam \Mult2|mult_core|padder|adder[0]|auto_generated|op_1~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y16_N12
+cycloneiii_lcell_comb \Add32~0 (
+// Equation(s):
+// \Add32~0_combout = (\Mult2|mult_core|padder|adder[0]|auto_generated|op_1~2_combout & (\first_red_pos_x[0]~input_o $ (VCC))) # (!\Mult2|mult_core|padder|adder[0]|auto_generated|op_1~2_combout & (\first_red_pos_x[0]~input_o & VCC))
+// \Add32~1 = CARRY((\Mult2|mult_core|padder|adder[0]|auto_generated|op_1~2_combout & \first_red_pos_x[0]~input_o ))
+
+ .dataa(\Mult2|mult_core|padder|adder[0]|auto_generated|op_1~2_combout ),
+ .datab(\first_red_pos_x[0]~input_o ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(\Add32~0_combout ),
+ .cout(\Add32~1 ));
+// synopsys translate_off
+defparam \Add32~0 .lut_mask = 16'h6688;
+defparam \Add32~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y15_N0
+cycloneiii_lcell_comb \Mult2|mult_core|romout[0][6] (
+// Equation(s):
+// \Mult2|mult_core|romout[0][6]~combout = (\Add0~2_combout & ((\Add0~4_combout & (!\Add0~0_combout & !\Add0~6_combout )) # (!\Add0~4_combout & (\Add0~0_combout & \Add0~6_combout )))) # (!\Add0~2_combout & (\Add0~4_combout ))
+
+ .dataa(\Add0~2_combout ),
+ .datab(\Add0~4_combout ),
+ .datac(\Add0~0_combout ),
+ .datad(\Add0~6_combout ),
+ .cin(gnd),
+ .combout(\Mult2|mult_core|romout[0][6]~combout ),
+ .cout());
+// synopsys translate_off
+defparam \Mult2|mult_core|romout[0][6] .lut_mask = 16'h644C;
+defparam \Mult2|mult_core|romout[0][6] .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y16_N14
+cycloneiii_lcell_comb \Mult2|mult_core|padder|adder[0]|auto_generated|op_1~4 (
+// Equation(s):
+// \Mult2|mult_core|padder|adder[0]|auto_generated|op_1~4_combout = ((\Mult0|mult_core|romout[1][2]~combout $ (\Mult2|mult_core|romout[0][6]~combout $ (!\Mult2|mult_core|padder|adder[0]|auto_generated|op_1~3 )))) # (GND)
+// \Mult2|mult_core|padder|adder[0]|auto_generated|op_1~5 = CARRY((\Mult0|mult_core|romout[1][2]~combout & ((\Mult2|mult_core|romout[0][6]~combout ) # (!\Mult2|mult_core|padder|adder[0]|auto_generated|op_1~3 ))) # (!\Mult0|mult_core|romout[1][2]~combout &
+// (\Mult2|mult_core|romout[0][6]~combout & !\Mult2|mult_core|padder|adder[0]|auto_generated|op_1~3 )))
+
+ .dataa(\Mult0|mult_core|romout[1][2]~combout ),
+ .datab(\Mult2|mult_core|romout[0][6]~combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Mult2|mult_core|padder|adder[0]|auto_generated|op_1~3 ),
+ .combout(\Mult2|mult_core|padder|adder[0]|auto_generated|op_1~4_combout ),
+ .cout(\Mult2|mult_core|padder|adder[0]|auto_generated|op_1~5 ));
+// synopsys translate_off
+defparam \Mult2|mult_core|padder|adder[0]|auto_generated|op_1~4 .lut_mask = 16'h698E;
+defparam \Mult2|mult_core|padder|adder[0]|auto_generated|op_1~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y16_N14
+cycloneiii_lcell_comb \Add32~2 (
+// Equation(s):
+// \Add32~2_combout = (\Mult2|mult_core|padder|adder[0]|auto_generated|op_1~4_combout & ((\first_red_pos_x[1]~input_o & (\Add32~1 & VCC)) # (!\first_red_pos_x[1]~input_o & (!\Add32~1 )))) #
+// (!\Mult2|mult_core|padder|adder[0]|auto_generated|op_1~4_combout & ((\first_red_pos_x[1]~input_o & (!\Add32~1 )) # (!\first_red_pos_x[1]~input_o & ((\Add32~1 ) # (GND)))))
+// \Add32~3 = CARRY((\Mult2|mult_core|padder|adder[0]|auto_generated|op_1~4_combout & (!\first_red_pos_x[1]~input_o & !\Add32~1 )) # (!\Mult2|mult_core|padder|adder[0]|auto_generated|op_1~4_combout & ((!\Add32~1 ) # (!\first_red_pos_x[1]~input_o ))))
+
+ .dataa(\Mult2|mult_core|padder|adder[0]|auto_generated|op_1~4_combout ),
+ .datab(\first_red_pos_x[1]~input_o ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add32~1 ),
+ .combout(\Add32~2_combout ),
+ .cout(\Add32~3 ));
+// synopsys translate_off
+defparam \Add32~2 .lut_mask = 16'h9617;
+defparam \Add32~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y16_N0
+cycloneiii_lcell_comb \Mult2|mult_core|romout[1][3] (
+// Equation(s):
+// \Mult2|mult_core|romout[1][3]~combout = \Add0~14_combout $ (((\Add0~10_combout & (\Add0~8_combout & !\Add0~12_combout )) # (!\Add0~10_combout & ((\Add0~12_combout )))))
+
+ .dataa(\Add0~8_combout ),
+ .datab(\Add0~10_combout ),
+ .datac(\Add0~12_combout ),
+ .datad(\Add0~14_combout ),
+ .cin(gnd),
+ .combout(\Mult2|mult_core|romout[1][3]~combout ),
+ .cout());
+// synopsys translate_off
+defparam \Mult2|mult_core|romout[1][3] .lut_mask = 16'hC738;
+defparam \Mult2|mult_core|romout[1][3] .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y16_N16
+cycloneiii_lcell_comb \Mult2|mult_core|padder|adder[0]|auto_generated|op_1~6 (
+// Equation(s):
+// \Mult2|mult_core|padder|adder[0]|auto_generated|op_1~6_combout = (\Mult2|mult_core|romout[0][7]~combout & ((\Mult2|mult_core|romout[1][3]~combout & (\Mult2|mult_core|padder|adder[0]|auto_generated|op_1~5 & VCC)) #
+// (!\Mult2|mult_core|romout[1][3]~combout & (!\Mult2|mult_core|padder|adder[0]|auto_generated|op_1~5 )))) # (!\Mult2|mult_core|romout[0][7]~combout & ((\Mult2|mult_core|romout[1][3]~combout & (!\Mult2|mult_core|padder|adder[0]|auto_generated|op_1~5 )) #
+// (!\Mult2|mult_core|romout[1][3]~combout & ((\Mult2|mult_core|padder|adder[0]|auto_generated|op_1~5 ) # (GND)))))
+// \Mult2|mult_core|padder|adder[0]|auto_generated|op_1~7 = CARRY((\Mult2|mult_core|romout[0][7]~combout & (!\Mult2|mult_core|romout[1][3]~combout & !\Mult2|mult_core|padder|adder[0]|auto_generated|op_1~5 )) # (!\Mult2|mult_core|romout[0][7]~combout &
+// ((!\Mult2|mult_core|padder|adder[0]|auto_generated|op_1~5 ) # (!\Mult2|mult_core|romout[1][3]~combout ))))
+
+ .dataa(\Mult2|mult_core|romout[0][7]~combout ),
+ .datab(\Mult2|mult_core|romout[1][3]~combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Mult2|mult_core|padder|adder[0]|auto_generated|op_1~5 ),
+ .combout(\Mult2|mult_core|padder|adder[0]|auto_generated|op_1~6_combout ),
+ .cout(\Mult2|mult_core|padder|adder[0]|auto_generated|op_1~7 ));
+// synopsys translate_off
+defparam \Mult2|mult_core|padder|adder[0]|auto_generated|op_1~6 .lut_mask = 16'h9617;
+defparam \Mult2|mult_core|padder|adder[0]|auto_generated|op_1~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y16_N16
+cycloneiii_lcell_comb \Add32~4 (
+// Equation(s):
+// \Add32~4_combout = ((\Mult2|mult_core|padder|adder[0]|auto_generated|op_1~6_combout $ (\first_red_pos_x[2]~input_o $ (!\Add32~3 )))) # (GND)
+// \Add32~5 = CARRY((\Mult2|mult_core|padder|adder[0]|auto_generated|op_1~6_combout & ((\first_red_pos_x[2]~input_o ) # (!\Add32~3 ))) # (!\Mult2|mult_core|padder|adder[0]|auto_generated|op_1~6_combout & (\first_red_pos_x[2]~input_o & !\Add32~3 )))
+
+ .dataa(\Mult2|mult_core|padder|adder[0]|auto_generated|op_1~6_combout ),
+ .datab(\first_red_pos_x[2]~input_o ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add32~3 ),
+ .combout(\Add32~4_combout ),
+ .cout(\Add32~5 ));
+// synopsys translate_off
+defparam \Add32~4 .lut_mask = 16'h698E;
+defparam \Add32~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y16_N2
+cycloneiii_lcell_comb \Mult2|mult_core|romout[1][4] (
+// Equation(s):
+// \Mult2|mult_core|romout[1][4]~combout = (\Add0~12_combout & (\Add0~8_combout $ (((\Add0~10_combout & !\Add0~14_combout ))))) # (!\Add0~12_combout & ((\Add0~8_combout & ((\Add0~10_combout ) # (!\Add0~14_combout ))) # (!\Add0~8_combout &
+// ((\Add0~14_combout )))))
+
+ .dataa(\Add0~8_combout ),
+ .datab(\Add0~10_combout ),
+ .datac(\Add0~12_combout ),
+ .datad(\Add0~14_combout ),
+ .cin(gnd),
+ .combout(\Mult2|mult_core|romout[1][4]~combout ),
+ .cout());
+// synopsys translate_off
+defparam \Mult2|mult_core|romout[1][4] .lut_mask = 16'hAD6A;
+defparam \Mult2|mult_core|romout[1][4] .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y16_N18
+cycloneiii_lcell_comb \Mult2|mult_core|padder|adder[0]|auto_generated|op_1~8 (
+// Equation(s):
+// \Mult2|mult_core|padder|adder[0]|auto_generated|op_1~8_combout = ((\Mult6|mult_core|romout[0][8]~0_combout $ (\Mult2|mult_core|romout[1][4]~combout $ (\Mult2|mult_core|padder|adder[0]|auto_generated|op_1~7 )))) # (GND)
+// \Mult2|mult_core|padder|adder[0]|auto_generated|op_1~9 = CARRY((\Mult6|mult_core|romout[0][8]~0_combout & (\Mult2|mult_core|romout[1][4]~combout & !\Mult2|mult_core|padder|adder[0]|auto_generated|op_1~7 )) # (!\Mult6|mult_core|romout[0][8]~0_combout &
+// ((\Mult2|mult_core|romout[1][4]~combout ) # (!\Mult2|mult_core|padder|adder[0]|auto_generated|op_1~7 ))))
+
+ .dataa(\Mult6|mult_core|romout[0][8]~0_combout ),
+ .datab(\Mult2|mult_core|romout[1][4]~combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Mult2|mult_core|padder|adder[0]|auto_generated|op_1~7 ),
+ .combout(\Mult2|mult_core|padder|adder[0]|auto_generated|op_1~8_combout ),
+ .cout(\Mult2|mult_core|padder|adder[0]|auto_generated|op_1~9 ));
+// synopsys translate_off
+defparam \Mult2|mult_core|padder|adder[0]|auto_generated|op_1~8 .lut_mask = 16'h964D;
+defparam \Mult2|mult_core|padder|adder[0]|auto_generated|op_1~8 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X26_Y16_N18
+cycloneiii_lcell_comb \Mult2|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~0 (
+// Equation(s):
+// \Mult2|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~0_combout = (\Add0~16_combout & (\Mult2|mult_core|padder|adder[0]|auto_generated|op_1~8_combout $ (VCC))) # (!\Add0~16_combout &
+// (\Mult2|mult_core|padder|adder[0]|auto_generated|op_1~8_combout & VCC))
+// \Mult2|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~1 = CARRY((\Add0~16_combout & \Mult2|mult_core|padder|adder[0]|auto_generated|op_1~8_combout ))
+
+ .dataa(\Add0~16_combout ),
+ .datab(\Mult2|mult_core|padder|adder[0]|auto_generated|op_1~8_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(\Mult2|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~0_combout ),
+ .cout(\Mult2|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~1 ));
+// synopsys translate_off
+defparam \Mult2|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~0 .lut_mask = 16'h6688;
+defparam \Mult2|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y16_N18
+cycloneiii_lcell_comb \Add32~6 (
+// Equation(s):
+// \Add32~6_combout = (\Mult2|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~0_combout & ((\first_red_pos_x[3]~input_o & (\Add32~5 & VCC)) # (!\first_red_pos_x[3]~input_o & (!\Add32~5 )))) #
+// (!\Mult2|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~0_combout & ((\first_red_pos_x[3]~input_o & (!\Add32~5 )) # (!\first_red_pos_x[3]~input_o & ((\Add32~5 ) # (GND)))))
+// \Add32~7 = CARRY((\Mult2|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~0_combout & (!\first_red_pos_x[3]~input_o & !\Add32~5 )) # (!\Mult2|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~0_combout & ((!\Add32~5 ) #
+// (!\first_red_pos_x[3]~input_o ))))
+
+ .dataa(\Mult2|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~0_combout ),
+ .datab(\first_red_pos_x[3]~input_o ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add32~5 ),
+ .combout(\Add32~6_combout ),
+ .cout(\Add32~7 ));
+// synopsys translate_off
+defparam \Add32~6 .lut_mask = 16'h9617;
+defparam \Add32~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X26_Y16_N20
+cycloneiii_lcell_comb \Mult2|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~2 (
+// Equation(s):
+// \Mult2|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~2_combout = (\Mult2|mult_core|padder|adder[0]|auto_generated|op_1~10_combout & ((\Mult0|mult_core|romout[2][1]~combout &
+// (\Mult2|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~1 & VCC)) # (!\Mult0|mult_core|romout[2][1]~combout & (!\Mult2|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~1 )))) #
+// (!\Mult2|mult_core|padder|adder[0]|auto_generated|op_1~10_combout & ((\Mult0|mult_core|romout[2][1]~combout & (!\Mult2|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~1 )) # (!\Mult0|mult_core|romout[2][1]~combout &
+// ((\Mult2|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~1 ) # (GND)))))
+// \Mult2|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~3 = CARRY((\Mult2|mult_core|padder|adder[0]|auto_generated|op_1~10_combout & (!\Mult0|mult_core|romout[2][1]~combout & !\Mult2|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~1
+// )) # (!\Mult2|mult_core|padder|adder[0]|auto_generated|op_1~10_combout & ((!\Mult2|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~1 ) # (!\Mult0|mult_core|romout[2][1]~combout ))))
+
+ .dataa(\Mult2|mult_core|padder|adder[0]|auto_generated|op_1~10_combout ),
+ .datab(\Mult0|mult_core|romout[2][1]~combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Mult2|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~1 ),
+ .combout(\Mult2|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~2_combout ),
+ .cout(\Mult2|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~3 ));
+// synopsys translate_off
+defparam \Mult2|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~2 .lut_mask = 16'h9617;
+defparam \Mult2|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y16_N20
+cycloneiii_lcell_comb \Add32~8 (
+// Equation(s):
+// \Add32~8_combout = ((\first_red_pos_x[4]~input_o $ (\Mult2|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~2_combout $ (!\Add32~7 )))) # (GND)
+// \Add32~9 = CARRY((\first_red_pos_x[4]~input_o & ((\Mult2|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~2_combout ) # (!\Add32~7 ))) # (!\first_red_pos_x[4]~input_o &
+// (\Mult2|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~2_combout & !\Add32~7 )))
+
+ .dataa(\first_red_pos_x[4]~input_o ),
+ .datab(\Mult2|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~2_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add32~7 ),
+ .combout(\Add32~8_combout ),
+ .cout(\Add32~9 ));
+// synopsys translate_off
+defparam \Add32~8 .lut_mask = 16'h698E;
+defparam \Add32~8 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y16_N4
+cycloneiii_lcell_comb \Mult2|mult_core|romout[1][5]~1 (
+// Equation(s):
+// \Mult2|mult_core|romout[1][5]~1_combout = (\Add0~14_combout & (\Add0~10_combout $ (((\Add0~8_combout ) # (\Add0~12_combout ))))) # (!\Add0~14_combout & (\Add0~10_combout & ((!\Add0~12_combout ) # (!\Add0~8_combout ))))
+
+ .dataa(\Add0~8_combout ),
+ .datab(\Add0~10_combout ),
+ .datac(\Add0~12_combout ),
+ .datad(\Add0~14_combout ),
+ .cin(gnd),
+ .combout(\Mult2|mult_core|romout[1][5]~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \Mult2|mult_core|romout[1][5]~1 .lut_mask = 16'h364C;
+defparam \Mult2|mult_core|romout[1][5]~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y16_N22
+cycloneiii_lcell_comb \Mult2|mult_core|padder|adder[0]|auto_generated|op_1~12 (
+// Equation(s):
+// \Mult2|mult_core|padder|adder[0]|auto_generated|op_1~12_combout = (\Mult2|mult_core|romout[1][6]~combout & (\Mult2|mult_core|padder|adder[0]|auto_generated|op_1~11 $ (GND))) # (!\Mult2|mult_core|romout[1][6]~combout &
+// (!\Mult2|mult_core|padder|adder[0]|auto_generated|op_1~11 & VCC))
+// \Mult2|mult_core|padder|adder[0]|auto_generated|op_1~13 = CARRY((\Mult2|mult_core|romout[1][6]~combout & !\Mult2|mult_core|padder|adder[0]|auto_generated|op_1~11 ))
+
+ .dataa(\Mult2|mult_core|romout[1][6]~combout ),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Mult2|mult_core|padder|adder[0]|auto_generated|op_1~11 ),
+ .combout(\Mult2|mult_core|padder|adder[0]|auto_generated|op_1~12_combout ),
+ .cout(\Mult2|mult_core|padder|adder[0]|auto_generated|op_1~13 ));
+// synopsys translate_off
+defparam \Mult2|mult_core|padder|adder[0]|auto_generated|op_1~12 .lut_mask = 16'hA50A;
+defparam \Mult2|mult_core|padder|adder[0]|auto_generated|op_1~12 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X26_Y16_N22
+cycloneiii_lcell_comb \Mult2|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~4 (
+// Equation(s):
+// \Mult2|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~4_combout = ((\Mult0|mult_core|romout[2][2]~combout $ (\Mult2|mult_core|padder|adder[0]|auto_generated|op_1~12_combout $
+// (!\Mult2|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~3 )))) # (GND)
+// \Mult2|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~5 = CARRY((\Mult0|mult_core|romout[2][2]~combout & ((\Mult2|mult_core|padder|adder[0]|auto_generated|op_1~12_combout ) #
+// (!\Mult2|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~3 ))) # (!\Mult0|mult_core|romout[2][2]~combout & (\Mult2|mult_core|padder|adder[0]|auto_generated|op_1~12_combout & !\Mult2|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~3
+// )))
+
+ .dataa(\Mult0|mult_core|romout[2][2]~combout ),
+ .datab(\Mult2|mult_core|padder|adder[0]|auto_generated|op_1~12_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Mult2|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~3 ),
+ .combout(\Mult2|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~4_combout ),
+ .cout(\Mult2|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~5 ));
+// synopsys translate_off
+defparam \Mult2|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~4 .lut_mask = 16'h698E;
+defparam \Mult2|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y16_N22
+cycloneiii_lcell_comb \Add32~10 (
+// Equation(s):
+// \Add32~10_combout = (\first_red_pos_x[5]~input_o & ((\Mult2|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~4_combout & (\Add32~9 & VCC)) # (!\Mult2|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~4_combout & (!\Add32~9 )))) #
+// (!\first_red_pos_x[5]~input_o & ((\Mult2|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~4_combout & (!\Add32~9 )) # (!\Mult2|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~4_combout & ((\Add32~9 ) # (GND)))))
+// \Add32~11 = CARRY((\first_red_pos_x[5]~input_o & (!\Mult2|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~4_combout & !\Add32~9 )) # (!\first_red_pos_x[5]~input_o & ((!\Add32~9 ) #
+// (!\Mult2|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~4_combout ))))
+
+ .dataa(\first_red_pos_x[5]~input_o ),
+ .datab(\Mult2|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~4_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add32~9 ),
+ .combout(\Add32~10_combout ),
+ .cout(\Add32~11 ));
+// synopsys translate_off
+defparam \Add32~10 .lut_mask = 16'h9617;
+defparam \Add32~10 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y16_N8
+cycloneiii_lcell_comb \Mult2|mult_core|romout[1][7] (
+// Equation(s):
+// \Mult2|mult_core|romout[1][7]~combout = (\Add0~10_combout & ((\Add0~12_combout & (\Add0~8_combout & !\Add0~14_combout )) # (!\Add0~12_combout & ((\Add0~14_combout ))))) # (!\Add0~10_combout & (((\Add0~14_combout ))))
+
+ .dataa(\Add0~8_combout ),
+ .datab(\Add0~10_combout ),
+ .datac(\Add0~12_combout ),
+ .datad(\Add0~14_combout ),
+ .cin(gnd),
+ .combout(\Mult2|mult_core|romout[1][7]~combout ),
+ .cout());
+// synopsys translate_off
+defparam \Mult2|mult_core|romout[1][7] .lut_mask = 16'h3F80;
+defparam \Mult2|mult_core|romout[1][7] .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X26_Y16_N24
+cycloneiii_lcell_comb \Mult2|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~6 (
+// Equation(s):
+// \Mult2|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~6_combout = (\Mult2|mult_core|romout[2][3]~combout & ((\Mult2|mult_core|padder|adder[0]|auto_generated|op_1~14_combout &
+// (\Mult2|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~5 & VCC)) # (!\Mult2|mult_core|padder|adder[0]|auto_generated|op_1~14_combout & (!\Mult2|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~5 )))) #
+// (!\Mult2|mult_core|romout[2][3]~combout & ((\Mult2|mult_core|padder|adder[0]|auto_generated|op_1~14_combout & (!\Mult2|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~5 )) # (!\Mult2|mult_core|padder|adder[0]|auto_generated|op_1~14_combout &
+// ((\Mult2|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~5 ) # (GND)))))
+// \Mult2|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~7 = CARRY((\Mult2|mult_core|romout[2][3]~combout & (!\Mult2|mult_core|padder|adder[0]|auto_generated|op_1~14_combout & !\Mult2|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~5
+// )) # (!\Mult2|mult_core|romout[2][3]~combout & ((!\Mult2|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~5 ) # (!\Mult2|mult_core|padder|adder[0]|auto_generated|op_1~14_combout ))))
+
+ .dataa(\Mult2|mult_core|romout[2][3]~combout ),
+ .datab(\Mult2|mult_core|padder|adder[0]|auto_generated|op_1~14_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Mult2|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~5 ),
+ .combout(\Mult2|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~6_combout ),
+ .cout(\Mult2|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~7 ));
+// synopsys translate_off
+defparam \Mult2|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~6 .lut_mask = 16'h9617;
+defparam \Mult2|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y16_N24
+cycloneiii_lcell_comb \Add32~12 (
+// Equation(s):
+// \Add32~12_combout = ((\first_red_pos_x[6]~input_o $ (\Mult2|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~6_combout $ (!\Add32~11 )))) # (GND)
+// \Add32~13 = CARRY((\first_red_pos_x[6]~input_o & ((\Mult2|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~6_combout ) # (!\Add32~11 ))) # (!\first_red_pos_x[6]~input_o &
+// (\Mult2|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~6_combout & !\Add32~11 )))
+
+ .dataa(\first_red_pos_x[6]~input_o ),
+ .datab(\Mult2|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~6_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add32~11 ),
+ .combout(\Add32~12_combout ),
+ .cout(\Add32~13 ));
+// synopsys translate_off
+defparam \Add32~12 .lut_mask = 16'h698E;
+defparam \Add32~12 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X26_Y16_N2
+cycloneiii_lcell_comb \Mult2|mult_core|padder|adder[1]|auto_generated|op_1~0 (
+// Equation(s):
+// \Mult2|mult_core|padder|adder[1]|auto_generated|op_1~0_combout = (\Add0~16_combout & (\Add0~20_combout $ (GND))) # (!\Add0~16_combout & (!\Add0~20_combout & VCC))
+// \Mult2|mult_core|padder|adder[1]|auto_generated|op_1~1 = CARRY((\Add0~16_combout & !\Add0~20_combout ))
+
+ .dataa(\Add0~16_combout ),
+ .datab(\Add0~20_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(\Mult2|mult_core|padder|adder[1]|auto_generated|op_1~0_combout ),
+ .cout(\Mult2|mult_core|padder|adder[1]|auto_generated|op_1~1 ));
+// synopsys translate_off
+defparam \Mult2|mult_core|padder|adder[1]|auto_generated|op_1~0 .lut_mask = 16'h9922;
+defparam \Mult2|mult_core|padder|adder[1]|auto_generated|op_1~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X26_Y16_N26
+cycloneiii_lcell_comb \Mult2|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~8 (
+// Equation(s):
+// \Mult2|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~8_combout = ((\Mult2|mult_core|padder|adder[0]|auto_generated|op_1~16_combout $ (\Mult2|mult_core|padder|adder[1]|auto_generated|op_1~0_combout $
+// (!\Mult2|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~7 )))) # (GND)
+// \Mult2|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~9 = CARRY((\Mult2|mult_core|padder|adder[0]|auto_generated|op_1~16_combout & ((\Mult2|mult_core|padder|adder[1]|auto_generated|op_1~0_combout ) #
+// (!\Mult2|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~7 ))) # (!\Mult2|mult_core|padder|adder[0]|auto_generated|op_1~16_combout & (\Mult2|mult_core|padder|adder[1]|auto_generated|op_1~0_combout &
+// !\Mult2|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~7 )))
+
+ .dataa(\Mult2|mult_core|padder|adder[0]|auto_generated|op_1~16_combout ),
+ .datab(\Mult2|mult_core|padder|adder[1]|auto_generated|op_1~0_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Mult2|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~7 ),
+ .combout(\Mult2|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~8_combout ),
+ .cout(\Mult2|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~9 ));
+// synopsys translate_off
+defparam \Mult2|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~8 .lut_mask = 16'h698E;
+defparam \Mult2|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~8 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y16_N26
+cycloneiii_lcell_comb \Add32~14 (
+// Equation(s):
+// \Add32~14_combout = (\first_red_pos_x[7]~input_o & ((\Mult2|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~8_combout & (\Add32~13 & VCC)) # (!\Mult2|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~8_combout & (!\Add32~13 )))) #
+// (!\first_red_pos_x[7]~input_o & ((\Mult2|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~8_combout & (!\Add32~13 )) # (!\Mult2|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~8_combout & ((\Add32~13 ) # (GND)))))
+// \Add32~15 = CARRY((\first_red_pos_x[7]~input_o & (!\Mult2|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~8_combout & !\Add32~13 )) # (!\first_red_pos_x[7]~input_o & ((!\Add32~13 ) #
+// (!\Mult2|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~8_combout ))))
+
+ .dataa(\first_red_pos_x[7]~input_o ),
+ .datab(\Mult2|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~8_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add32~13 ),
+ .combout(\Add32~14_combout ),
+ .cout(\Add32~15 ));
+// synopsys translate_off
+defparam \Add32~14 .lut_mask = 16'h9617;
+defparam \Add32~14 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X26_Y16_N4
+cycloneiii_lcell_comb \Mult2|mult_core|padder|adder[1]|auto_generated|op_1~2 (
+// Equation(s):
+// \Mult2|mult_core|padder|adder[1]|auto_generated|op_1~2_combout = (\Mult2|mult_core|romout[2][5]~combout & (!\Mult2|mult_core|padder|adder[1]|auto_generated|op_1~1 )) # (!\Mult2|mult_core|romout[2][5]~combout &
+// ((\Mult2|mult_core|padder|adder[1]|auto_generated|op_1~1 ) # (GND)))
+// \Mult2|mult_core|padder|adder[1]|auto_generated|op_1~3 = CARRY((!\Mult2|mult_core|padder|adder[1]|auto_generated|op_1~1 ) # (!\Mult2|mult_core|romout[2][5]~combout ))
+
+ .dataa(\Mult2|mult_core|romout[2][5]~combout ),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Mult2|mult_core|padder|adder[1]|auto_generated|op_1~1 ),
+ .combout(\Mult2|mult_core|padder|adder[1]|auto_generated|op_1~2_combout ),
+ .cout(\Mult2|mult_core|padder|adder[1]|auto_generated|op_1~3 ));
+// synopsys translate_off
+defparam \Mult2|mult_core|padder|adder[1]|auto_generated|op_1~2 .lut_mask = 16'h5A5F;
+defparam \Mult2|mult_core|padder|adder[1]|auto_generated|op_1~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X26_Y16_N28
+cycloneiii_lcell_comb \Mult2|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~10 (
+// Equation(s):
+// \Mult2|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~10_combout = (\Mult2|mult_core|padder|adder[1]|auto_generated|op_1~2_combout & (!\Mult2|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~9 )) #
+// (!\Mult2|mult_core|padder|adder[1]|auto_generated|op_1~2_combout & ((\Mult2|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~9 ) # (GND)))
+// \Mult2|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~11 = CARRY((!\Mult2|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~9 ) # (!\Mult2|mult_core|padder|adder[1]|auto_generated|op_1~2_combout ))
+
+ .dataa(gnd),
+ .datab(\Mult2|mult_core|padder|adder[1]|auto_generated|op_1~2_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Mult2|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~9 ),
+ .combout(\Mult2|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~10_combout ),
+ .cout(\Mult2|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~11 ));
+// synopsys translate_off
+defparam \Mult2|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~10 .lut_mask = 16'h3C3F;
+defparam \Mult2|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~10 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y16_N28
+cycloneiii_lcell_comb \Add32~16 (
+// Equation(s):
+// \Add32~16_combout = ((\Mult2|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~10_combout $ (\first_red_pos_x[8]~input_o $ (!\Add32~15 )))) # (GND)
+// \Add32~17 = CARRY((\Mult2|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~10_combout & ((\first_red_pos_x[8]~input_o ) # (!\Add32~15 ))) # (!\Mult2|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~10_combout &
+// (\first_red_pos_x[8]~input_o & !\Add32~15 )))
+
+ .dataa(\Mult2|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~10_combout ),
+ .datab(\first_red_pos_x[8]~input_o ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add32~15 ),
+ .combout(\Add32~16_combout ),
+ .cout(\Add32~17 ));
+// synopsys translate_off
+defparam \Add32~16 .lut_mask = 16'h698E;
+defparam \Add32~16 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X26_Y16_N12
+cycloneiii_lcell_comb \Mult0|mult_core|_~6 (
+// Equation(s):
+// \Mult0|mult_core|_~6_combout = (!\Add0~20_combout & !\Add0~18_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(\Add0~20_combout ),
+ .datad(\Add0~18_combout ),
+ .cin(gnd),
+ .combout(\Mult0|mult_core|_~6_combout ),
+ .cout());
+// synopsys translate_off
+defparam \Mult0|mult_core|_~6 .lut_mask = 16'h000F;
+defparam \Mult0|mult_core|_~6 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X26_Y16_N6
+cycloneiii_lcell_comb \Mult2|mult_core|padder|adder[1]|auto_generated|op_1~4 (
+// Equation(s):
+// \Mult2|mult_core|padder|adder[1]|auto_generated|op_1~4_combout = \Add0~20_combout $ (\Mult2|mult_core|padder|adder[1]|auto_generated|op_1~3 $ (\Mult0|mult_core|_~6_combout ))
+
+ .dataa(\Add0~20_combout ),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\Mult0|mult_core|_~6_combout ),
+ .cin(\Mult2|mult_core|padder|adder[1]|auto_generated|op_1~3 ),
+ .combout(\Mult2|mult_core|padder|adder[1]|auto_generated|op_1~4_combout ),
+ .cout());
+// synopsys translate_off
+defparam \Mult2|mult_core|padder|adder[1]|auto_generated|op_1~4 .lut_mask = 16'hA55A;
+defparam \Mult2|mult_core|padder|adder[1]|auto_generated|op_1~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X26_Y16_N30
+cycloneiii_lcell_comb \Mult2|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~12 (
+// Equation(s):
+// \Mult2|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~12_combout = \Mult2|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~11 $ (!\Mult2|mult_core|padder|adder[1]|auto_generated|op_1~4_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\Mult2|mult_core|padder|adder[1]|auto_generated|op_1~4_combout ),
+ .cin(\Mult2|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~11 ),
+ .combout(\Mult2|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~12_combout ),
+ .cout());
+// synopsys translate_off
+defparam \Mult2|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~12 .lut_mask = 16'hF00F;
+defparam \Mult2|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~12 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y16_N30
+cycloneiii_lcell_comb \Add32~18 (
+// Equation(s):
+// \Add32~18_combout = \Mult2|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~12_combout $ (\Add32~17 $ (\first_red_pos_x[9]~input_o ))
+
+ .dataa(\Mult2|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~12_combout ),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\first_red_pos_x[9]~input_o ),
+ .cin(\Add32~17 ),
+ .combout(\Add32~18_combout ),
+ .cout());
+// synopsys translate_off
+defparam \Add32~18 .lut_mask = 16'hA55A;
+defparam \Add32~18 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X22_Y19_N2
+cycloneiii_lcell_comb \Add33~1 (
+// Equation(s):
+// \Add33~1_cout = CARRY((\Add0~0_combout & \Add0~4_combout ))
+
+ .dataa(\Add0~0_combout ),
+ .datab(\Add0~4_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(),
+ .cout(\Add33~1_cout ));
+// synopsys translate_off
+defparam \Add33~1 .lut_mask = 16'h0088;
+defparam \Add33~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X22_Y19_N4
+cycloneiii_lcell_comb \Add33~2 (
+// Equation(s):
+// \Add33~2_combout = (\Add0~6_combout & ((\Add0~2_combout & (\Add33~1_cout & VCC)) # (!\Add0~2_combout & (!\Add33~1_cout )))) # (!\Add0~6_combout & ((\Add0~2_combout & (!\Add33~1_cout )) # (!\Add0~2_combout & ((\Add33~1_cout ) # (GND)))))
+// \Add33~3 = CARRY((\Add0~6_combout & (!\Add0~2_combout & !\Add33~1_cout )) # (!\Add0~6_combout & ((!\Add33~1_cout ) # (!\Add0~2_combout ))))
+
+ .dataa(\Add0~6_combout ),
+ .datab(\Add0~2_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add33~1_cout ),
+ .combout(\Add33~2_combout ),
+ .cout(\Add33~3 ));
+// synopsys translate_off
+defparam \Add33~2 .lut_mask = 16'h9617;
+defparam \Add33~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X23_Y19_N10
+cycloneiii_lcell_comb \Add34~0 (
+// Equation(s):
+// \Add34~0_combout = (\first_red_pos_x[0]~input_o & (\Add33~2_combout $ (VCC))) # (!\first_red_pos_x[0]~input_o & (\Add33~2_combout & VCC))
+// \Add34~1 = CARRY((\first_red_pos_x[0]~input_o & \Add33~2_combout ))
+
+ .dataa(\first_red_pos_x[0]~input_o ),
+ .datab(\Add33~2_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(\Add34~0_combout ),
+ .cout(\Add34~1 ));
+// synopsys translate_off
+defparam \Add34~0 .lut_mask = 16'h6688;
+defparam \Add34~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X22_Y19_N6
+cycloneiii_lcell_comb \Add33~4 (
+// Equation(s):
+// \Add33~4_combout = ((\Add0~8_combout $ (\Add0~4_combout $ (!\Add33~3 )))) # (GND)
+// \Add33~5 = CARRY((\Add0~8_combout & ((\Add0~4_combout ) # (!\Add33~3 ))) # (!\Add0~8_combout & (\Add0~4_combout & !\Add33~3 )))
+
+ .dataa(\Add0~8_combout ),
+ .datab(\Add0~4_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add33~3 ),
+ .combout(\Add33~4_combout ),
+ .cout(\Add33~5 ));
+// synopsys translate_off
+defparam \Add33~4 .lut_mask = 16'h698E;
+defparam \Add33~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X23_Y19_N12
+cycloneiii_lcell_comb \Add34~2 (
+// Equation(s):
+// \Add34~2_combout = (\first_red_pos_x[1]~input_o & ((\Add33~4_combout & (\Add34~1 & VCC)) # (!\Add33~4_combout & (!\Add34~1 )))) # (!\first_red_pos_x[1]~input_o & ((\Add33~4_combout & (!\Add34~1 )) # (!\Add33~4_combout & ((\Add34~1 ) # (GND)))))
+// \Add34~3 = CARRY((\first_red_pos_x[1]~input_o & (!\Add33~4_combout & !\Add34~1 )) # (!\first_red_pos_x[1]~input_o & ((!\Add34~1 ) # (!\Add33~4_combout ))))
+
+ .dataa(\first_red_pos_x[1]~input_o ),
+ .datab(\Add33~4_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add34~1 ),
+ .combout(\Add34~2_combout ),
+ .cout(\Add34~3 ));
+// synopsys translate_off
+defparam \Add34~2 .lut_mask = 16'h9617;
+defparam \Add34~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X22_Y19_N8
+cycloneiii_lcell_comb \Add33~6 (
+// Equation(s):
+// \Add33~6_combout = (\Add0~6_combout & ((\Add0~10_combout & (\Add33~5 & VCC)) # (!\Add0~10_combout & (!\Add33~5 )))) # (!\Add0~6_combout & ((\Add0~10_combout & (!\Add33~5 )) # (!\Add0~10_combout & ((\Add33~5 ) # (GND)))))
+// \Add33~7 = CARRY((\Add0~6_combout & (!\Add0~10_combout & !\Add33~5 )) # (!\Add0~6_combout & ((!\Add33~5 ) # (!\Add0~10_combout ))))
+
+ .dataa(\Add0~6_combout ),
+ .datab(\Add0~10_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add33~5 ),
+ .combout(\Add33~6_combout ),
+ .cout(\Add33~7 ));
+// synopsys translate_off
+defparam \Add33~6 .lut_mask = 16'h9617;
+defparam \Add33~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X23_Y19_N14
+cycloneiii_lcell_comb \Add34~4 (
+// Equation(s):
+// \Add34~4_combout = ((\Add33~6_combout $ (\first_red_pos_x[2]~input_o $ (!\Add34~3 )))) # (GND)
+// \Add34~5 = CARRY((\Add33~6_combout & ((\first_red_pos_x[2]~input_o ) # (!\Add34~3 ))) # (!\Add33~6_combout & (\first_red_pos_x[2]~input_o & !\Add34~3 )))
+
+ .dataa(\Add33~6_combout ),
+ .datab(\first_red_pos_x[2]~input_o ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add34~3 ),
+ .combout(\Add34~4_combout ),
+ .cout(\Add34~5 ));
+// synopsys translate_off
+defparam \Add34~4 .lut_mask = 16'h698E;
+defparam \Add34~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X22_Y19_N10
+cycloneiii_lcell_comb \Add33~8 (
+// Equation(s):
+// \Add33~8_combout = ((\Add0~8_combout $ (\Add0~12_combout $ (!\Add33~7 )))) # (GND)
+// \Add33~9 = CARRY((\Add0~8_combout & ((\Add0~12_combout ) # (!\Add33~7 ))) # (!\Add0~8_combout & (\Add0~12_combout & !\Add33~7 )))
+
+ .dataa(\Add0~8_combout ),
+ .datab(\Add0~12_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add33~7 ),
+ .combout(\Add33~8_combout ),
+ .cout(\Add33~9 ));
+// synopsys translate_off
+defparam \Add33~8 .lut_mask = 16'h698E;
+defparam \Add33~8 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X23_Y19_N16
+cycloneiii_lcell_comb \Add34~6 (
+// Equation(s):
+// \Add34~6_combout = (\Add33~8_combout & ((\first_red_pos_x[3]~input_o & (\Add34~5 & VCC)) # (!\first_red_pos_x[3]~input_o & (!\Add34~5 )))) # (!\Add33~8_combout & ((\first_red_pos_x[3]~input_o & (!\Add34~5 )) # (!\first_red_pos_x[3]~input_o &
+// ((\Add34~5 ) # (GND)))))
+// \Add34~7 = CARRY((\Add33~8_combout & (!\first_red_pos_x[3]~input_o & !\Add34~5 )) # (!\Add33~8_combout & ((!\Add34~5 ) # (!\first_red_pos_x[3]~input_o ))))
+
+ .dataa(\Add33~8_combout ),
+ .datab(\first_red_pos_x[3]~input_o ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add34~5 ),
+ .combout(\Add34~6_combout ),
+ .cout(\Add34~7 ));
+// synopsys translate_off
+defparam \Add34~6 .lut_mask = 16'h9617;
+defparam \Add34~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X22_Y19_N12
+cycloneiii_lcell_comb \Add33~10 (
+// Equation(s):
+// \Add33~10_combout = (\Add0~14_combout & ((\Add0~10_combout & (\Add33~9 & VCC)) # (!\Add0~10_combout & (!\Add33~9 )))) # (!\Add0~14_combout & ((\Add0~10_combout & (!\Add33~9 )) # (!\Add0~10_combout & ((\Add33~9 ) # (GND)))))
+// \Add33~11 = CARRY((\Add0~14_combout & (!\Add0~10_combout & !\Add33~9 )) # (!\Add0~14_combout & ((!\Add33~9 ) # (!\Add0~10_combout ))))
+
+ .dataa(\Add0~14_combout ),
+ .datab(\Add0~10_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add33~9 ),
+ .combout(\Add33~10_combout ),
+ .cout(\Add33~11 ));
+// synopsys translate_off
+defparam \Add33~10 .lut_mask = 16'h9617;
+defparam \Add33~10 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X23_Y19_N18
+cycloneiii_lcell_comb \Add34~8 (
+// Equation(s):
+// \Add34~8_combout = ((\Add33~10_combout $ (\first_red_pos_x[4]~input_o $ (!\Add34~7 )))) # (GND)
+// \Add34~9 = CARRY((\Add33~10_combout & ((\first_red_pos_x[4]~input_o ) # (!\Add34~7 ))) # (!\Add33~10_combout & (\first_red_pos_x[4]~input_o & !\Add34~7 )))
+
+ .dataa(\Add33~10_combout ),
+ .datab(\first_red_pos_x[4]~input_o ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add34~7 ),
+ .combout(\Add34~8_combout ),
+ .cout(\Add34~9 ));
+// synopsys translate_off
+defparam \Add34~8 .lut_mask = 16'h698E;
+defparam \Add34~8 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X22_Y19_N14
+cycloneiii_lcell_comb \Add33~12 (
+// Equation(s):
+// \Add33~12_combout = ((\Add0~16_combout $ (\Add0~12_combout $ (!\Add33~11 )))) # (GND)
+// \Add33~13 = CARRY((\Add0~16_combout & ((\Add0~12_combout ) # (!\Add33~11 ))) # (!\Add0~16_combout & (\Add0~12_combout & !\Add33~11 )))
+
+ .dataa(\Add0~16_combout ),
+ .datab(\Add0~12_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add33~11 ),
+ .combout(\Add33~12_combout ),
+ .cout(\Add33~13 ));
+// synopsys translate_off
+defparam \Add33~12 .lut_mask = 16'h698E;
+defparam \Add33~12 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X23_Y19_N20
+cycloneiii_lcell_comb \Add34~10 (
+// Equation(s):
+// \Add34~10_combout = (\Add33~12_combout & ((\first_red_pos_x[5]~input_o & (\Add34~9 & VCC)) # (!\first_red_pos_x[5]~input_o & (!\Add34~9 )))) # (!\Add33~12_combout & ((\first_red_pos_x[5]~input_o & (!\Add34~9 )) # (!\first_red_pos_x[5]~input_o &
+// ((\Add34~9 ) # (GND)))))
+// \Add34~11 = CARRY((\Add33~12_combout & (!\first_red_pos_x[5]~input_o & !\Add34~9 )) # (!\Add33~12_combout & ((!\Add34~9 ) # (!\first_red_pos_x[5]~input_o ))))
+
+ .dataa(\Add33~12_combout ),
+ .datab(\first_red_pos_x[5]~input_o ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add34~9 ),
+ .combout(\Add34~10_combout ),
+ .cout(\Add34~11 ));
+// synopsys translate_off
+defparam \Add34~10 .lut_mask = 16'h9617;
+defparam \Add34~10 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X22_Y19_N16
+cycloneiii_lcell_comb \Add33~14 (
+// Equation(s):
+// \Add33~14_combout = (\Add0~18_combout & ((\Add0~14_combout & (\Add33~13 & VCC)) # (!\Add0~14_combout & (!\Add33~13 )))) # (!\Add0~18_combout & ((\Add0~14_combout & (!\Add33~13 )) # (!\Add0~14_combout & ((\Add33~13 ) # (GND)))))
+// \Add33~15 = CARRY((\Add0~18_combout & (!\Add0~14_combout & !\Add33~13 )) # (!\Add0~18_combout & ((!\Add33~13 ) # (!\Add0~14_combout ))))
+
+ .dataa(\Add0~18_combout ),
+ .datab(\Add0~14_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add33~13 ),
+ .combout(\Add33~14_combout ),
+ .cout(\Add33~15 ));
+// synopsys translate_off
+defparam \Add33~14 .lut_mask = 16'h9617;
+defparam \Add33~14 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X23_Y19_N22
+cycloneiii_lcell_comb \Add34~12 (
+// Equation(s):
+// \Add34~12_combout = ((\Add33~14_combout $ (\first_red_pos_x[6]~input_o $ (!\Add34~11 )))) # (GND)
+// \Add34~13 = CARRY((\Add33~14_combout & ((\first_red_pos_x[6]~input_o ) # (!\Add34~11 ))) # (!\Add33~14_combout & (\first_red_pos_x[6]~input_o & !\Add34~11 )))
+
+ .dataa(\Add33~14_combout ),
+ .datab(\first_red_pos_x[6]~input_o ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add34~11 ),
+ .combout(\Add34~12_combout ),
+ .cout(\Add34~13 ));
+// synopsys translate_off
+defparam \Add34~12 .lut_mask = 16'h698E;
+defparam \Add34~12 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X22_Y19_N18
+cycloneiii_lcell_comb \Add33~16 (
+// Equation(s):
+// \Add33~16_combout = ((\Add0~16_combout $ (\Add0~20_combout $ (\Add33~15 )))) # (GND)
+// \Add33~17 = CARRY((\Add0~16_combout & ((!\Add33~15 ) # (!\Add0~20_combout ))) # (!\Add0~16_combout & (!\Add0~20_combout & !\Add33~15 )))
+
+ .dataa(\Add0~16_combout ),
+ .datab(\Add0~20_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add33~15 ),
+ .combout(\Add33~16_combout ),
+ .cout(\Add33~17 ));
+// synopsys translate_off
+defparam \Add33~16 .lut_mask = 16'h962B;
+defparam \Add33~16 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X23_Y19_N24
+cycloneiii_lcell_comb \Add34~14 (
+// Equation(s):
+// \Add34~14_combout = (\first_red_pos_x[7]~input_o & ((\Add33~16_combout & (\Add34~13 & VCC)) # (!\Add33~16_combout & (!\Add34~13 )))) # (!\first_red_pos_x[7]~input_o & ((\Add33~16_combout & (!\Add34~13 )) # (!\Add33~16_combout & ((\Add34~13 ) #
+// (GND)))))
+// \Add34~15 = CARRY((\first_red_pos_x[7]~input_o & (!\Add33~16_combout & !\Add34~13 )) # (!\first_red_pos_x[7]~input_o & ((!\Add34~13 ) # (!\Add33~16_combout ))))
+
+ .dataa(\first_red_pos_x[7]~input_o ),
+ .datab(\Add33~16_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add34~13 ),
+ .combout(\Add34~14_combout ),
+ .cout(\Add34~15 ));
+// synopsys translate_off
+defparam \Add34~14 .lut_mask = 16'h9617;
+defparam \Add34~14 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X22_Y19_N20
+cycloneiii_lcell_comb \Add33~18 (
+// Equation(s):
+// \Add33~18_combout = (\Add0~18_combout & ((\Add0~20_combout & (!\Add33~17 )) # (!\Add0~20_combout & (\Add33~17 & VCC)))) # (!\Add0~18_combout & ((\Add0~20_combout & ((\Add33~17 ) # (GND))) # (!\Add0~20_combout & (!\Add33~17 ))))
+// \Add33~19 = CARRY((\Add0~18_combout & (\Add0~20_combout & !\Add33~17 )) # (!\Add0~18_combout & ((\Add0~20_combout ) # (!\Add33~17 ))))
+
+ .dataa(\Add0~18_combout ),
+ .datab(\Add0~20_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add33~17 ),
+ .combout(\Add33~18_combout ),
+ .cout(\Add33~19 ));
+// synopsys translate_off
+defparam \Add33~18 .lut_mask = 16'h694D;
+defparam \Add33~18 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X23_Y19_N26
+cycloneiii_lcell_comb \Add34~16 (
+// Equation(s):
+// \Add34~16_combout = ((\first_red_pos_x[8]~input_o $ (\Add33~18_combout $ (!\Add34~15 )))) # (GND)
+// \Add34~17 = CARRY((\first_red_pos_x[8]~input_o & ((\Add33~18_combout ) # (!\Add34~15 ))) # (!\first_red_pos_x[8]~input_o & (\Add33~18_combout & !\Add34~15 )))
+
+ .dataa(\first_red_pos_x[8]~input_o ),
+ .datab(\Add33~18_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add34~15 ),
+ .combout(\Add34~16_combout ),
+ .cout(\Add34~17 ));
+// synopsys translate_off
+defparam \Add34~16 .lut_mask = 16'h698E;
+defparam \Add34~16 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X22_Y19_N22
+cycloneiii_lcell_comb \Add33~20 (
+// Equation(s):
+// \Add33~20_combout = !\Add33~19
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .cin(\Add33~19 ),
+ .combout(\Add33~20_combout ),
+ .cout());
+// synopsys translate_off
+defparam \Add33~20 .lut_mask = 16'h0F0F;
+defparam \Add33~20 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X23_Y19_N28
+cycloneiii_lcell_comb \Add34~18 (
+// Equation(s):
+// \Add34~18_combout = \first_red_pos_x[9]~input_o $ (\Add34~17 $ (\Add33~20_combout ))
+
+ .dataa(\first_red_pos_x[9]~input_o ),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\Add33~20_combout ),
+ .cin(\Add34~17 ),
+ .combout(\Add34~18_combout ),
+ .cout());
+// synopsys translate_off
+defparam \Add34~18 .lut_mask = 16'hA55A;
+defparam \Add34~18 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y8_N12
+cycloneiii_lcell_comb \Mult3|mult_core|padder|adder[0]|auto_generated|op_1~1 (
+// Equation(s):
+// \Mult3|mult_core|padder|adder[0]|auto_generated|op_1~1_cout = CARRY((\Mult3|mult_core|romout[0][4]~0_combout & \Add0~8_combout ))
+
+ .dataa(\Mult3|mult_core|romout[0][4]~0_combout ),
+ .datab(\Add0~8_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(),
+ .cout(\Mult3|mult_core|padder|adder[0]|auto_generated|op_1~1_cout ));
+// synopsys translate_off
+defparam \Mult3|mult_core|padder|adder[0]|auto_generated|op_1~1 .lut_mask = 16'h0088;
+defparam \Mult3|mult_core|padder|adder[0]|auto_generated|op_1~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y8_N14
+cycloneiii_lcell_comb \Mult3|mult_core|padder|adder[0]|auto_generated|op_1~2 (
+// Equation(s):
+// \Mult3|mult_core|padder|adder[0]|auto_generated|op_1~2_combout = (\Mult3|mult_core|romout[0][5]~combout & ((\Add0~10_combout & (\Mult3|mult_core|padder|adder[0]|auto_generated|op_1~1_cout & VCC)) # (!\Add0~10_combout &
+// (!\Mult3|mult_core|padder|adder[0]|auto_generated|op_1~1_cout )))) # (!\Mult3|mult_core|romout[0][5]~combout & ((\Add0~10_combout & (!\Mult3|mult_core|padder|adder[0]|auto_generated|op_1~1_cout )) # (!\Add0~10_combout &
+// ((\Mult3|mult_core|padder|adder[0]|auto_generated|op_1~1_cout ) # (GND)))))
+// \Mult3|mult_core|padder|adder[0]|auto_generated|op_1~3 = CARRY((\Mult3|mult_core|romout[0][5]~combout & (!\Add0~10_combout & !\Mult3|mult_core|padder|adder[0]|auto_generated|op_1~1_cout )) # (!\Mult3|mult_core|romout[0][5]~combout &
+// ((!\Mult3|mult_core|padder|adder[0]|auto_generated|op_1~1_cout ) # (!\Add0~10_combout ))))
+
+ .dataa(\Mult3|mult_core|romout[0][5]~combout ),
+ .datab(\Add0~10_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Mult3|mult_core|padder|adder[0]|auto_generated|op_1~1_cout ),
+ .combout(\Mult3|mult_core|padder|adder[0]|auto_generated|op_1~2_combout ),
+ .cout(\Mult3|mult_core|padder|adder[0]|auto_generated|op_1~3 ));
+// synopsys translate_off
+defparam \Mult3|mult_core|padder|adder[0]|auto_generated|op_1~2 .lut_mask = 16'h9617;
+defparam \Mult3|mult_core|padder|adder[0]|auto_generated|op_1~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y4_N12
+cycloneiii_lcell_comb \Add35~0 (
+// Equation(s):
+// \Add35~0_combout = (\Mult3|mult_core|padder|adder[0]|auto_generated|op_1~2_combout & (\first_red_pos_x[0]~input_o $ (VCC))) # (!\Mult3|mult_core|padder|adder[0]|auto_generated|op_1~2_combout & (\first_red_pos_x[0]~input_o & VCC))
+// \Add35~1 = CARRY((\Mult3|mult_core|padder|adder[0]|auto_generated|op_1~2_combout & \first_red_pos_x[0]~input_o ))
+
+ .dataa(\Mult3|mult_core|padder|adder[0]|auto_generated|op_1~2_combout ),
+ .datab(\first_red_pos_x[0]~input_o ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(\Add35~0_combout ),
+ .cout(\Add35~1 ));
+// synopsys translate_off
+defparam \Add35~0 .lut_mask = 16'h6688;
+defparam \Add35~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y15_N22
+cycloneiii_lcell_comb \Mult3|mult_core|romout[0][6] (
+// Equation(s):
+// \Mult3|mult_core|romout[0][6]~combout = (\Add0~2_combout & ((\Add0~4_combout & (!\Add0~0_combout & !\Add0~6_combout )) # (!\Add0~4_combout & ((\Add0~6_combout ))))) # (!\Add0~2_combout & (\Add0~4_combout & ((!\Add0~6_combout ) # (!\Add0~0_combout
+// ))))
+
+ .dataa(\Add0~2_combout ),
+ .datab(\Add0~4_combout ),
+ .datac(\Add0~0_combout ),
+ .datad(\Add0~6_combout ),
+ .cin(gnd),
+ .combout(\Mult3|mult_core|romout[0][6]~combout ),
+ .cout());
+// synopsys translate_off
+defparam \Mult3|mult_core|romout[0][6] .lut_mask = 16'h264C;
+defparam \Mult3|mult_core|romout[0][6] .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y8_N16
+cycloneiii_lcell_comb \Mult3|mult_core|padder|adder[0]|auto_generated|op_1~4 (
+// Equation(s):
+// \Mult3|mult_core|padder|adder[0]|auto_generated|op_1~4_combout = ((\Mult1|mult_core|romout[1][2]~0_combout $ (\Mult3|mult_core|romout[0][6]~combout $ (!\Mult3|mult_core|padder|adder[0]|auto_generated|op_1~3 )))) # (GND)
+// \Mult3|mult_core|padder|adder[0]|auto_generated|op_1~5 = CARRY((\Mult1|mult_core|romout[1][2]~0_combout & ((\Mult3|mult_core|romout[0][6]~combout ) # (!\Mult3|mult_core|padder|adder[0]|auto_generated|op_1~3 ))) #
+// (!\Mult1|mult_core|romout[1][2]~0_combout & (\Mult3|mult_core|romout[0][6]~combout & !\Mult3|mult_core|padder|adder[0]|auto_generated|op_1~3 )))
+
+ .dataa(\Mult1|mult_core|romout[1][2]~0_combout ),
+ .datab(\Mult3|mult_core|romout[0][6]~combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Mult3|mult_core|padder|adder[0]|auto_generated|op_1~3 ),
+ .combout(\Mult3|mult_core|padder|adder[0]|auto_generated|op_1~4_combout ),
+ .cout(\Mult3|mult_core|padder|adder[0]|auto_generated|op_1~5 ));
+// synopsys translate_off
+defparam \Mult3|mult_core|padder|adder[0]|auto_generated|op_1~4 .lut_mask = 16'h698E;
+defparam \Mult3|mult_core|padder|adder[0]|auto_generated|op_1~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y4_N14
+cycloneiii_lcell_comb \Add35~2 (
+// Equation(s):
+// \Add35~2_combout = (\first_red_pos_x[1]~input_o & ((\Mult3|mult_core|padder|adder[0]|auto_generated|op_1~4_combout & (\Add35~1 & VCC)) # (!\Mult3|mult_core|padder|adder[0]|auto_generated|op_1~4_combout & (!\Add35~1 )))) #
+// (!\first_red_pos_x[1]~input_o & ((\Mult3|mult_core|padder|adder[0]|auto_generated|op_1~4_combout & (!\Add35~1 )) # (!\Mult3|mult_core|padder|adder[0]|auto_generated|op_1~4_combout & ((\Add35~1 ) # (GND)))))
+// \Add35~3 = CARRY((\first_red_pos_x[1]~input_o & (!\Mult3|mult_core|padder|adder[0]|auto_generated|op_1~4_combout & !\Add35~1 )) # (!\first_red_pos_x[1]~input_o & ((!\Add35~1 ) # (!\Mult3|mult_core|padder|adder[0]|auto_generated|op_1~4_combout ))))
+
+ .dataa(\first_red_pos_x[1]~input_o ),
+ .datab(\Mult3|mult_core|padder|adder[0]|auto_generated|op_1~4_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add35~1 ),
+ .combout(\Add35~2_combout ),
+ .cout(\Add35~3 ));
+// synopsys translate_off
+defparam \Add35~2 .lut_mask = 16'h9617;
+defparam \Add35~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y8_N0
+cycloneiii_lcell_comb \Mult3|mult_core|romout[1][3] (
+// Equation(s):
+// \Mult3|mult_core|romout[1][3]~combout = \Add0~14_combout $ (\Add0~10_combout $ (((\Add0~8_combout & \Add0~12_combout ))))
+
+ .dataa(\Add0~14_combout ),
+ .datab(\Add0~8_combout ),
+ .datac(\Add0~10_combout ),
+ .datad(\Add0~12_combout ),
+ .cin(gnd),
+ .combout(\Mult3|mult_core|romout[1][3]~combout ),
+ .cout());
+// synopsys translate_off
+defparam \Mult3|mult_core|romout[1][3] .lut_mask = 16'h965A;
+defparam \Mult3|mult_core|romout[1][3] .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y8_N18
+cycloneiii_lcell_comb \Mult3|mult_core|padder|adder[0]|auto_generated|op_1~6 (
+// Equation(s):
+// \Mult3|mult_core|padder|adder[0]|auto_generated|op_1~6_combout = (\Mult3|mult_core|romout[0][7]~combout & ((\Mult3|mult_core|romout[1][3]~combout & (\Mult3|mult_core|padder|adder[0]|auto_generated|op_1~5 & VCC)) #
+// (!\Mult3|mult_core|romout[1][3]~combout & (!\Mult3|mult_core|padder|adder[0]|auto_generated|op_1~5 )))) # (!\Mult3|mult_core|romout[0][7]~combout & ((\Mult3|mult_core|romout[1][3]~combout & (!\Mult3|mult_core|padder|adder[0]|auto_generated|op_1~5 )) #
+// (!\Mult3|mult_core|romout[1][3]~combout & ((\Mult3|mult_core|padder|adder[0]|auto_generated|op_1~5 ) # (GND)))))
+// \Mult3|mult_core|padder|adder[0]|auto_generated|op_1~7 = CARRY((\Mult3|mult_core|romout[0][7]~combout & (!\Mult3|mult_core|romout[1][3]~combout & !\Mult3|mult_core|padder|adder[0]|auto_generated|op_1~5 )) # (!\Mult3|mult_core|romout[0][7]~combout &
+// ((!\Mult3|mult_core|padder|adder[0]|auto_generated|op_1~5 ) # (!\Mult3|mult_core|romout[1][3]~combout ))))
+
+ .dataa(\Mult3|mult_core|romout[0][7]~combout ),
+ .datab(\Mult3|mult_core|romout[1][3]~combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Mult3|mult_core|padder|adder[0]|auto_generated|op_1~5 ),
+ .combout(\Mult3|mult_core|padder|adder[0]|auto_generated|op_1~6_combout ),
+ .cout(\Mult3|mult_core|padder|adder[0]|auto_generated|op_1~7 ));
+// synopsys translate_off
+defparam \Mult3|mult_core|padder|adder[0]|auto_generated|op_1~6 .lut_mask = 16'h9617;
+defparam \Mult3|mult_core|padder|adder[0]|auto_generated|op_1~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y4_N16
+cycloneiii_lcell_comb \Add35~4 (
+// Equation(s):
+// \Add35~4_combout = ((\first_red_pos_x[2]~input_o $ (\Mult3|mult_core|padder|adder[0]|auto_generated|op_1~6_combout $ (!\Add35~3 )))) # (GND)
+// \Add35~5 = CARRY((\first_red_pos_x[2]~input_o & ((\Mult3|mult_core|padder|adder[0]|auto_generated|op_1~6_combout ) # (!\Add35~3 ))) # (!\first_red_pos_x[2]~input_o & (\Mult3|mult_core|padder|adder[0]|auto_generated|op_1~6_combout & !\Add35~3 )))
+
+ .dataa(\first_red_pos_x[2]~input_o ),
+ .datab(\Mult3|mult_core|padder|adder[0]|auto_generated|op_1~6_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add35~3 ),
+ .combout(\Add35~4_combout ),
+ .cout(\Add35~5 ));
+// synopsys translate_off
+defparam \Add35~4 .lut_mask = 16'h698E;
+defparam \Add35~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y15_N26
+cycloneiii_lcell_comb \Mult3|mult_core|romout[0][8] (
+// Equation(s):
+// \Mult3|mult_core|romout[0][8]~combout = (\Add0~4_combout & (\Add0~6_combout & ((\Add0~2_combout ) # (\Add0~0_combout ))))
+
+ .dataa(\Add0~2_combout ),
+ .datab(\Add0~4_combout ),
+ .datac(\Add0~0_combout ),
+ .datad(\Add0~6_combout ),
+ .cin(gnd),
+ .combout(\Mult3|mult_core|romout[0][8]~combout ),
+ .cout());
+// synopsys translate_off
+defparam \Mult3|mult_core|romout[0][8] .lut_mask = 16'hC800;
+defparam \Mult3|mult_core|romout[0][8] .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y8_N20
+cycloneiii_lcell_comb \Mult3|mult_core|padder|adder[0]|auto_generated|op_1~8 (
+// Equation(s):
+// \Mult3|mult_core|padder|adder[0]|auto_generated|op_1~8_combout = ((\Mult3|mult_core|romout[1][4]~1_combout $ (\Mult3|mult_core|romout[0][8]~combout $ (!\Mult3|mult_core|padder|adder[0]|auto_generated|op_1~7 )))) # (GND)
+// \Mult3|mult_core|padder|adder[0]|auto_generated|op_1~9 = CARRY((\Mult3|mult_core|romout[1][4]~1_combout & ((\Mult3|mult_core|romout[0][8]~combout ) # (!\Mult3|mult_core|padder|adder[0]|auto_generated|op_1~7 ))) #
+// (!\Mult3|mult_core|romout[1][4]~1_combout & (\Mult3|mult_core|romout[0][8]~combout & !\Mult3|mult_core|padder|adder[0]|auto_generated|op_1~7 )))
+
+ .dataa(\Mult3|mult_core|romout[1][4]~1_combout ),
+ .datab(\Mult3|mult_core|romout[0][8]~combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Mult3|mult_core|padder|adder[0]|auto_generated|op_1~7 ),
+ .combout(\Mult3|mult_core|padder|adder[0]|auto_generated|op_1~8_combout ),
+ .cout(\Mult3|mult_core|padder|adder[0]|auto_generated|op_1~9 ));
+// synopsys translate_off
+defparam \Mult3|mult_core|padder|adder[0]|auto_generated|op_1~8 .lut_mask = 16'h698E;
+defparam \Mult3|mult_core|padder|adder[0]|auto_generated|op_1~8 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y8_N0
+cycloneiii_lcell_comb \Mult3|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~0 (
+// Equation(s):
+// \Mult3|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~0_combout = (\Add0~16_combout & (\Mult3|mult_core|padder|adder[0]|auto_generated|op_1~8_combout $ (VCC))) # (!\Add0~16_combout &
+// (\Mult3|mult_core|padder|adder[0]|auto_generated|op_1~8_combout & VCC))
+// \Mult3|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~1 = CARRY((\Add0~16_combout & \Mult3|mult_core|padder|adder[0]|auto_generated|op_1~8_combout ))
+
+ .dataa(\Add0~16_combout ),
+ .datab(\Mult3|mult_core|padder|adder[0]|auto_generated|op_1~8_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(\Mult3|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~0_combout ),
+ .cout(\Mult3|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~1 ));
+// synopsys translate_off
+defparam \Mult3|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~0 .lut_mask = 16'h6688;
+defparam \Mult3|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y4_N18
+cycloneiii_lcell_comb \Add35~6 (
+// Equation(s):
+// \Add35~6_combout = (\first_red_pos_x[3]~input_o & ((\Mult3|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~0_combout & (\Add35~5 & VCC)) # (!\Mult3|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~0_combout & (!\Add35~5 )))) #
+// (!\first_red_pos_x[3]~input_o & ((\Mult3|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~0_combout & (!\Add35~5 )) # (!\Mult3|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~0_combout & ((\Add35~5 ) # (GND)))))
+// \Add35~7 = CARRY((\first_red_pos_x[3]~input_o & (!\Mult3|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~0_combout & !\Add35~5 )) # (!\first_red_pos_x[3]~input_o & ((!\Add35~5 ) #
+// (!\Mult3|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~0_combout ))))
+
+ .dataa(\first_red_pos_x[3]~input_o ),
+ .datab(\Mult3|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~0_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add35~5 ),
+ .combout(\Add35~6_combout ),
+ .cout(\Add35~7 ));
+// synopsys translate_off
+defparam \Add35~6 .lut_mask = 16'h9617;
+defparam \Add35~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y8_N2
+cycloneiii_lcell_comb \Mult3|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~2 (
+// Equation(s):
+// \Mult3|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~2_combout = (\Mult3|mult_core|padder|adder[0]|auto_generated|op_1~10_combout & ((\Add0~18_combout & (\Mult3|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~1 & VCC)) #
+// (!\Add0~18_combout & (!\Mult3|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~1 )))) # (!\Mult3|mult_core|padder|adder[0]|auto_generated|op_1~10_combout & ((\Add0~18_combout &
+// (!\Mult3|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~1 )) # (!\Add0~18_combout & ((\Mult3|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~1 ) # (GND)))))
+// \Mult3|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~3 = CARRY((\Mult3|mult_core|padder|adder[0]|auto_generated|op_1~10_combout & (!\Add0~18_combout & !\Mult3|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~1 )) #
+// (!\Mult3|mult_core|padder|adder[0]|auto_generated|op_1~10_combout & ((!\Mult3|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~1 ) # (!\Add0~18_combout ))))
+
+ .dataa(\Mult3|mult_core|padder|adder[0]|auto_generated|op_1~10_combout ),
+ .datab(\Add0~18_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Mult3|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~1 ),
+ .combout(\Mult3|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~2_combout ),
+ .cout(\Mult3|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~3 ));
+// synopsys translate_off
+defparam \Mult3|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~2 .lut_mask = 16'h9617;
+defparam \Mult3|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y4_N20
+cycloneiii_lcell_comb \Add35~8 (
+// Equation(s):
+// \Add35~8_combout = ((\first_red_pos_x[4]~input_o $ (\Mult3|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~2_combout $ (!\Add35~7 )))) # (GND)
+// \Add35~9 = CARRY((\first_red_pos_x[4]~input_o & ((\Mult3|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~2_combout ) # (!\Add35~7 ))) # (!\first_red_pos_x[4]~input_o &
+// (\Mult3|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~2_combout & !\Add35~7 )))
+
+ .dataa(\first_red_pos_x[4]~input_o ),
+ .datab(\Mult3|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~2_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add35~7 ),
+ .combout(\Add35~8_combout ),
+ .cout(\Add35~9 ));
+// synopsys translate_off
+defparam \Add35~8 .lut_mask = 16'h698E;
+defparam \Add35~8 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y8_N4
+cycloneiii_lcell_comb \Mult3|mult_core|romout[1][5] (
+// Equation(s):
+// \Mult3|mult_core|romout[1][5]~combout = (\Add0~14_combout & ((\Add0~8_combout & ((\Add0~10_combout ) # (!\Add0~12_combout ))) # (!\Add0~8_combout & ((\Add0~12_combout ) # (!\Add0~10_combout ))))) # (!\Add0~14_combout & (\Add0~10_combout $
+// (((\Add0~8_combout & \Add0~12_combout )))))
+
+ .dataa(\Add0~14_combout ),
+ .datab(\Add0~8_combout ),
+ .datac(\Add0~10_combout ),
+ .datad(\Add0~12_combout ),
+ .cin(gnd),
+ .combout(\Mult3|mult_core|romout[1][5]~combout ),
+ .cout());
+// synopsys translate_off
+defparam \Mult3|mult_core|romout[1][5] .lut_mask = 16'hB6DA;
+defparam \Mult3|mult_core|romout[1][5] .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y8_N24
+cycloneiii_lcell_comb \Mult3|mult_core|padder|adder[0]|auto_generated|op_1~12 (
+// Equation(s):
+// \Mult3|mult_core|padder|adder[0]|auto_generated|op_1~12_combout = (\Mult3|mult_core|romout[1][6]~combout & (\Mult3|mult_core|padder|adder[0]|auto_generated|op_1~11 $ (GND))) # (!\Mult3|mult_core|romout[1][6]~combout &
+// (!\Mult3|mult_core|padder|adder[0]|auto_generated|op_1~11 & VCC))
+// \Mult3|mult_core|padder|adder[0]|auto_generated|op_1~13 = CARRY((\Mult3|mult_core|romout[1][6]~combout & !\Mult3|mult_core|padder|adder[0]|auto_generated|op_1~11 ))
+
+ .dataa(\Mult3|mult_core|romout[1][6]~combout ),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Mult3|mult_core|padder|adder[0]|auto_generated|op_1~11 ),
+ .combout(\Mult3|mult_core|padder|adder[0]|auto_generated|op_1~12_combout ),
+ .cout(\Mult3|mult_core|padder|adder[0]|auto_generated|op_1~13 ));
+// synopsys translate_off
+defparam \Mult3|mult_core|padder|adder[0]|auto_generated|op_1~12 .lut_mask = 16'hA50A;
+defparam \Mult3|mult_core|padder|adder[0]|auto_generated|op_1~12 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y8_N4
+cycloneiii_lcell_comb \Mult3|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~4 (
+// Equation(s):
+// \Mult3|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~4_combout = ((\Mult1|mult_core|romout[2][2]~combout $ (\Mult3|mult_core|padder|adder[0]|auto_generated|op_1~12_combout $
+// (!\Mult3|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~3 )))) # (GND)
+// \Mult3|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~5 = CARRY((\Mult1|mult_core|romout[2][2]~combout & ((\Mult3|mult_core|padder|adder[0]|auto_generated|op_1~12_combout ) #
+// (!\Mult3|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~3 ))) # (!\Mult1|mult_core|romout[2][2]~combout & (\Mult3|mult_core|padder|adder[0]|auto_generated|op_1~12_combout & !\Mult3|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~3
+// )))
+
+ .dataa(\Mult1|mult_core|romout[2][2]~combout ),
+ .datab(\Mult3|mult_core|padder|adder[0]|auto_generated|op_1~12_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Mult3|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~3 ),
+ .combout(\Mult3|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~4_combout ),
+ .cout(\Mult3|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~5 ));
+// synopsys translate_off
+defparam \Mult3|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~4 .lut_mask = 16'h698E;
+defparam \Mult3|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y4_N22
+cycloneiii_lcell_comb \Add35~10 (
+// Equation(s):
+// \Add35~10_combout = (\first_red_pos_x[5]~input_o & ((\Mult3|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~4_combout & (\Add35~9 & VCC)) # (!\Mult3|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~4_combout & (!\Add35~9 )))) #
+// (!\first_red_pos_x[5]~input_o & ((\Mult3|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~4_combout & (!\Add35~9 )) # (!\Mult3|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~4_combout & ((\Add35~9 ) # (GND)))))
+// \Add35~11 = CARRY((\first_red_pos_x[5]~input_o & (!\Mult3|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~4_combout & !\Add35~9 )) # (!\first_red_pos_x[5]~input_o & ((!\Add35~9 ) #
+// (!\Mult3|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~4_combout ))))
+
+ .dataa(\first_red_pos_x[5]~input_o ),
+ .datab(\Mult3|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~4_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add35~9 ),
+ .combout(\Add35~10_combout ),
+ .cout(\Add35~11 ));
+// synopsys translate_off
+defparam \Add35~10 .lut_mask = 16'h9617;
+defparam \Add35~10 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y8_N30
+cycloneiii_lcell_comb \Mult3|mult_core|romout[2][3] (
+// Equation(s):
+// \Mult3|mult_core|romout[2][3]~combout = \Add0~18_combout $ (((!\Add0~16_combout & !\Add0~20_combout )))
+
+ .dataa(\Add0~16_combout ),
+ .datab(\Add0~20_combout ),
+ .datac(\Add0~18_combout ),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\Mult3|mult_core|romout[2][3]~combout ),
+ .cout());
+// synopsys translate_off
+defparam \Mult3|mult_core|romout[2][3] .lut_mask = 16'hE1E1;
+defparam \Mult3|mult_core|romout[2][3] .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y8_N6
+cycloneiii_lcell_comb \Mult3|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~6 (
+// Equation(s):
+// \Mult3|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~6_combout = (\Mult3|mult_core|padder|adder[0]|auto_generated|op_1~14_combout & ((\Mult3|mult_core|romout[2][3]~combout &
+// (\Mult3|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~5 & VCC)) # (!\Mult3|mult_core|romout[2][3]~combout & (!\Mult3|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~5 )))) #
+// (!\Mult3|mult_core|padder|adder[0]|auto_generated|op_1~14_combout & ((\Mult3|mult_core|romout[2][3]~combout & (!\Mult3|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~5 )) # (!\Mult3|mult_core|romout[2][3]~combout &
+// ((\Mult3|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~5 ) # (GND)))))
+// \Mult3|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~7 = CARRY((\Mult3|mult_core|padder|adder[0]|auto_generated|op_1~14_combout & (!\Mult3|mult_core|romout[2][3]~combout & !\Mult3|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~5
+// )) # (!\Mult3|mult_core|padder|adder[0]|auto_generated|op_1~14_combout & ((!\Mult3|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~5 ) # (!\Mult3|mult_core|romout[2][3]~combout ))))
+
+ .dataa(\Mult3|mult_core|padder|adder[0]|auto_generated|op_1~14_combout ),
+ .datab(\Mult3|mult_core|romout[2][3]~combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Mult3|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~5 ),
+ .combout(\Mult3|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~6_combout ),
+ .cout(\Mult3|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~7 ));
+// synopsys translate_off
+defparam \Mult3|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~6 .lut_mask = 16'h9617;
+defparam \Mult3|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y4_N24
+cycloneiii_lcell_comb \Add35~12 (
+// Equation(s):
+// \Add35~12_combout = ((\Mult3|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~6_combout $ (\first_red_pos_x[6]~input_o $ (!\Add35~11 )))) # (GND)
+// \Add35~13 = CARRY((\Mult3|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~6_combout & ((\first_red_pos_x[6]~input_o ) # (!\Add35~11 ))) # (!\Mult3|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~6_combout &
+// (\first_red_pos_x[6]~input_o & !\Add35~11 )))
+
+ .dataa(\Mult3|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~6_combout ),
+ .datab(\first_red_pos_x[6]~input_o ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add35~11 ),
+ .combout(\Add35~12_combout ),
+ .cout(\Add35~13 ));
+// synopsys translate_off
+defparam \Add35~12 .lut_mask = 16'h698E;
+defparam \Add35~12 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X19_Y12_N10
+cycloneiii_lcell_comb \Mult6|mult_core|romout[1][8]~1 (
+// Equation(s):
+// \Mult6|mult_core|romout[1][8]~1_combout = (((!\Add0~10_combout & !\Add0~8_combout )) # (!\Add0~12_combout )) # (!\Add0~14_combout )
+
+ .dataa(\Add0~10_combout ),
+ .datab(\Add0~8_combout ),
+ .datac(\Add0~14_combout ),
+ .datad(\Add0~12_combout ),
+ .cin(gnd),
+ .combout(\Mult6|mult_core|romout[1][8]~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \Mult6|mult_core|romout[1][8]~1 .lut_mask = 16'h1FFF;
+defparam \Mult6|mult_core|romout[1][8]~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y8_N8
+cycloneiii_lcell_comb \Mult3|mult_core|romout[1][7]~2 (
+// Equation(s):
+// \Mult3|mult_core|romout[1][7]~2_combout = (\Add0~14_combout & (\Add0~12_combout & ((\Add0~8_combout ) # (\Add0~10_combout )))) # (!\Add0~14_combout & (((!\Add0~12_combout ) # (!\Add0~10_combout )) # (!\Add0~8_combout )))
+
+ .dataa(\Add0~14_combout ),
+ .datab(\Add0~8_combout ),
+ .datac(\Add0~10_combout ),
+ .datad(\Add0~12_combout ),
+ .cin(gnd),
+ .combout(\Mult3|mult_core|romout[1][7]~2_combout ),
+ .cout());
+// synopsys translate_off
+defparam \Mult3|mult_core|romout[1][7]~2 .lut_mask = 16'hBD55;
+defparam \Mult3|mult_core|romout[1][7]~2 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y8_N28
+cycloneiii_lcell_comb \Mult3|mult_core|padder|adder[0]|auto_generated|op_1~16 (
+// Equation(s):
+// \Mult3|mult_core|padder|adder[0]|auto_generated|op_1~16_combout = \Mult3|mult_core|padder|adder[0]|auto_generated|op_1~15 $ (\Mult6|mult_core|romout[1][8]~1_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\Mult6|mult_core|romout[1][8]~1_combout ),
+ .cin(\Mult3|mult_core|padder|adder[0]|auto_generated|op_1~15 ),
+ .combout(\Mult3|mult_core|padder|adder[0]|auto_generated|op_1~16_combout ),
+ .cout());
+// synopsys translate_off
+defparam \Mult3|mult_core|padder|adder[0]|auto_generated|op_1~16 .lut_mask = 16'h0FF0;
+defparam \Mult3|mult_core|padder|adder[0]|auto_generated|op_1~16 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y8_N8
+cycloneiii_lcell_comb \Mult3|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~8 (
+// Equation(s):
+// \Mult3|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~8_combout = ((\Mult3|mult_core|padder|adder[1]|auto_generated|op_1~0_combout $ (\Mult3|mult_core|padder|adder[0]|auto_generated|op_1~16_combout $
+// (!\Mult3|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~7 )))) # (GND)
+// \Mult3|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~9 = CARRY((\Mult3|mult_core|padder|adder[1]|auto_generated|op_1~0_combout & ((\Mult3|mult_core|padder|adder[0]|auto_generated|op_1~16_combout ) #
+// (!\Mult3|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~7 ))) # (!\Mult3|mult_core|padder|adder[1]|auto_generated|op_1~0_combout & (\Mult3|mult_core|padder|adder[0]|auto_generated|op_1~16_combout &
+// !\Mult3|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~7 )))
+
+ .dataa(\Mult3|mult_core|padder|adder[1]|auto_generated|op_1~0_combout ),
+ .datab(\Mult3|mult_core|padder|adder[0]|auto_generated|op_1~16_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Mult3|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~7 ),
+ .combout(\Mult3|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~8_combout ),
+ .cout(\Mult3|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~9 ));
+// synopsys translate_off
+defparam \Mult3|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~8 .lut_mask = 16'h698E;
+defparam \Mult3|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~8 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y4_N26
+cycloneiii_lcell_comb \Add35~14 (
+// Equation(s):
+// \Add35~14_combout = (\first_red_pos_x[7]~input_o & ((\Mult3|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~8_combout & (\Add35~13 & VCC)) # (!\Mult3|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~8_combout & (!\Add35~13 )))) #
+// (!\first_red_pos_x[7]~input_o & ((\Mult3|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~8_combout & (!\Add35~13 )) # (!\Mult3|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~8_combout & ((\Add35~13 ) # (GND)))))
+// \Add35~15 = CARRY((\first_red_pos_x[7]~input_o & (!\Mult3|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~8_combout & !\Add35~13 )) # (!\first_red_pos_x[7]~input_o & ((!\Add35~13 ) #
+// (!\Mult3|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~8_combout ))))
+
+ .dataa(\first_red_pos_x[7]~input_o ),
+ .datab(\Mult3|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~8_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add35~13 ),
+ .combout(\Add35~14_combout ),
+ .cout(\Add35~15 ));
+// synopsys translate_off
+defparam \Add35~14 .lut_mask = 16'h9617;
+defparam \Add35~14 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y8_N18
+cycloneiii_lcell_comb \Mult3|mult_core|romout[2][5]~4 (
+// Equation(s):
+// \Mult3|mult_core|romout[2][5]~4_combout = (\Add0~18_combout ) # ((!\Add0~16_combout & !\Add0~20_combout ))
+
+ .dataa(\Add0~16_combout ),
+ .datab(\Add0~20_combout ),
+ .datac(\Add0~18_combout ),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\Mult3|mult_core|romout[2][5]~4_combout ),
+ .cout());
+// synopsys translate_off
+defparam \Mult3|mult_core|romout[2][5]~4 .lut_mask = 16'hF1F1;
+defparam \Mult3|mult_core|romout[2][5]~4 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y8_N16
+cycloneiii_lcell_comb \Mult3|mult_core|romout[2][4]~3 (
+// Equation(s):
+// \Mult3|mult_core|romout[2][4]~3_combout = (\Add0~16_combout ) # ((!\Add0~20_combout & !\Add0~18_combout ))
+
+ .dataa(\Add0~16_combout ),
+ .datab(\Add0~20_combout ),
+ .datac(\Add0~18_combout ),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\Mult3|mult_core|romout[2][4]~3_combout ),
+ .cout());
+// synopsys translate_off
+defparam \Mult3|mult_core|romout[2][4]~3 .lut_mask = 16'hABAB;
+defparam \Mult3|mult_core|romout[2][4]~3 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y8_N10
+cycloneiii_lcell_comb \Mult3|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~10 (
+// Equation(s):
+// \Mult3|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~10_combout = (\Mult3|mult_core|padder|adder[1]|auto_generated|op_1~2_combout & (!\Mult3|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~9 )) #
+// (!\Mult3|mult_core|padder|adder[1]|auto_generated|op_1~2_combout & ((\Mult3|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~9 ) # (GND)))
+// \Mult3|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~11 = CARRY((!\Mult3|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~9 ) # (!\Mult3|mult_core|padder|adder[1]|auto_generated|op_1~2_combout ))
+
+ .dataa(gnd),
+ .datab(\Mult3|mult_core|padder|adder[1]|auto_generated|op_1~2_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Mult3|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~9 ),
+ .combout(\Mult3|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~10_combout ),
+ .cout(\Mult3|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~11 ));
+// synopsys translate_off
+defparam \Mult3|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~10 .lut_mask = 16'h3C3F;
+defparam \Mult3|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~10 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y4_N28
+cycloneiii_lcell_comb \Add35~16 (
+// Equation(s):
+// \Add35~16_combout = ((\first_red_pos_x[8]~input_o $ (\Mult3|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~10_combout $ (!\Add35~15 )))) # (GND)
+// \Add35~17 = CARRY((\first_red_pos_x[8]~input_o & ((\Mult3|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~10_combout ) # (!\Add35~15 ))) # (!\first_red_pos_x[8]~input_o &
+// (\Mult3|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~10_combout & !\Add35~15 )))
+
+ .dataa(\first_red_pos_x[8]~input_o ),
+ .datab(\Mult3|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~10_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add35~15 ),
+ .combout(\Add35~16_combout ),
+ .cout(\Add35~17 ));
+// synopsys translate_off
+defparam \Add35~16 .lut_mask = 16'h698E;
+defparam \Add35~16 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y8_N12
+cycloneiii_lcell_comb \Mult3|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~12 (
+// Equation(s):
+// \Mult3|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~12_combout = \Mult3|mult_core|padder|adder[1]|auto_generated|op_1~4_combout $ (!\Mult3|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~11 )
+
+ .dataa(\Mult3|mult_core|padder|adder[1]|auto_generated|op_1~4_combout ),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .cin(\Mult3|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~11 ),
+ .combout(\Mult3|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~12_combout ),
+ .cout());
+// synopsys translate_off
+defparam \Mult3|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~12 .lut_mask = 16'hA5A5;
+defparam \Mult3|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~12 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y4_N30
+cycloneiii_lcell_comb \Add35~18 (
+// Equation(s):
+// \Add35~18_combout = \first_red_pos_x[9]~input_o $ (\Add35~17 $ (\Mult3|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~12_combout ))
+
+ .dataa(gnd),
+ .datab(\first_red_pos_x[9]~input_o ),
+ .datac(gnd),
+ .datad(\Mult3|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~12_combout ),
+ .cin(\Add35~17 ),
+ .combout(\Add35~18_combout ),
+ .cout());
+// synopsys translate_off
+defparam \Add35~18 .lut_mask = 16'hC33C;
+defparam \Add35~18 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X23_Y12_N0
+cycloneiii_lcell_comb \Mult4|mult_core|romout[0][5] (
+// Equation(s):
+// \Mult4|mult_core|romout[0][5]~combout = (\Add0~6_combout & ((\Add0~4_combout & (\Add0~2_combout & !\Add0~0_combout )) # (!\Add0~4_combout & (\Add0~2_combout $ (!\Add0~0_combout ))))) # (!\Add0~6_combout & ((\Add0~4_combout & (!\Add0~2_combout &
+// \Add0~0_combout )) # (!\Add0~4_combout & (\Add0~2_combout & !\Add0~0_combout ))))
+
+ .dataa(\Add0~6_combout ),
+ .datab(\Add0~4_combout ),
+ .datac(\Add0~2_combout ),
+ .datad(\Add0~0_combout ),
+ .cin(gnd),
+ .combout(\Mult4|mult_core|romout[0][5]~combout ),
+ .cout());
+// synopsys translate_off
+defparam \Mult4|mult_core|romout[0][5] .lut_mask = 16'h2492;
+defparam \Mult4|mult_core|romout[0][5] .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y21_N6
+cycloneiii_lcell_comb \Mult4|mult_core|padder|adder[0]|auto_generated|op_1~0 (
+// Equation(s):
+// \Mult4|mult_core|padder|adder[0]|auto_generated|op_1~0_combout = (\Add0~8_combout & (\Mult4|mult_core|romout[0][5]~combout $ (VCC))) # (!\Add0~8_combout & (\Mult4|mult_core|romout[0][5]~combout & VCC))
+// \Mult4|mult_core|padder|adder[0]|auto_generated|op_1~1 = CARRY((\Add0~8_combout & \Mult4|mult_core|romout[0][5]~combout ))
+
+ .dataa(\Add0~8_combout ),
+ .datab(\Mult4|mult_core|romout[0][5]~combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(\Mult4|mult_core|padder|adder[0]|auto_generated|op_1~0_combout ),
+ .cout(\Mult4|mult_core|padder|adder[0]|auto_generated|op_1~1 ));
+// synopsys translate_off
+defparam \Mult4|mult_core|padder|adder[0]|auto_generated|op_1~0 .lut_mask = 16'h6688;
+defparam \Mult4|mult_core|padder|adder[0]|auto_generated|op_1~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y21_N0
+cycloneiii_lcell_comb \Add36~0 (
+// Equation(s):
+// \Add36~0_combout = (\first_red_pos_x[0]~input_o & (\Mult4|mult_core|padder|adder[0]|auto_generated|op_1~0_combout $ (VCC))) # (!\first_red_pos_x[0]~input_o & (\Mult4|mult_core|padder|adder[0]|auto_generated|op_1~0_combout & VCC))
+// \Add36~1 = CARRY((\first_red_pos_x[0]~input_o & \Mult4|mult_core|padder|adder[0]|auto_generated|op_1~0_combout ))
+
+ .dataa(\first_red_pos_x[0]~input_o ),
+ .datab(\Mult4|mult_core|padder|adder[0]|auto_generated|op_1~0_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(\Add36~0_combout ),
+ .cout(\Add36~1 ));
+// synopsys translate_off
+defparam \Add36~0 .lut_mask = 16'h6688;
+defparam \Add36~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y21_N8
+cycloneiii_lcell_comb \Mult4|mult_core|padder|adder[0]|auto_generated|op_1~2 (
+// Equation(s):
+// \Mult4|mult_core|padder|adder[0]|auto_generated|op_1~2_combout = (\Mult0|mult_core|romout[0][5]~1_combout & ((\Mult0|mult_core|romout[1][1]~0_combout & (!\Mult4|mult_core|padder|adder[0]|auto_generated|op_1~1 )) #
+// (!\Mult0|mult_core|romout[1][1]~0_combout & ((\Mult4|mult_core|padder|adder[0]|auto_generated|op_1~1 ) # (GND))))) # (!\Mult0|mult_core|romout[0][5]~1_combout & ((\Mult0|mult_core|romout[1][1]~0_combout &
+// (\Mult4|mult_core|padder|adder[0]|auto_generated|op_1~1 & VCC)) # (!\Mult0|mult_core|romout[1][1]~0_combout & (!\Mult4|mult_core|padder|adder[0]|auto_generated|op_1~1 ))))
+// \Mult4|mult_core|padder|adder[0]|auto_generated|op_1~3 = CARRY((\Mult0|mult_core|romout[0][5]~1_combout & ((!\Mult4|mult_core|padder|adder[0]|auto_generated|op_1~1 ) # (!\Mult0|mult_core|romout[1][1]~0_combout ))) #
+// (!\Mult0|mult_core|romout[0][5]~1_combout & (!\Mult0|mult_core|romout[1][1]~0_combout & !\Mult4|mult_core|padder|adder[0]|auto_generated|op_1~1 )))
+
+ .dataa(\Mult0|mult_core|romout[0][5]~1_combout ),
+ .datab(\Mult0|mult_core|romout[1][1]~0_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Mult4|mult_core|padder|adder[0]|auto_generated|op_1~1 ),
+ .combout(\Mult4|mult_core|padder|adder[0]|auto_generated|op_1~2_combout ),
+ .cout(\Mult4|mult_core|padder|adder[0]|auto_generated|op_1~3 ));
+// synopsys translate_off
+defparam \Mult4|mult_core|padder|adder[0]|auto_generated|op_1~2 .lut_mask = 16'h692B;
+defparam \Mult4|mult_core|padder|adder[0]|auto_generated|op_1~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y21_N2
+cycloneiii_lcell_comb \Add36~2 (
+// Equation(s):
+// \Add36~2_combout = (\first_red_pos_x[1]~input_o & ((\Mult4|mult_core|padder|adder[0]|auto_generated|op_1~2_combout & (\Add36~1 & VCC)) # (!\Mult4|mult_core|padder|adder[0]|auto_generated|op_1~2_combout & (!\Add36~1 )))) #
+// (!\first_red_pos_x[1]~input_o & ((\Mult4|mult_core|padder|adder[0]|auto_generated|op_1~2_combout & (!\Add36~1 )) # (!\Mult4|mult_core|padder|adder[0]|auto_generated|op_1~2_combout & ((\Add36~1 ) # (GND)))))
+// \Add36~3 = CARRY((\first_red_pos_x[1]~input_o & (!\Mult4|mult_core|padder|adder[0]|auto_generated|op_1~2_combout & !\Add36~1 )) # (!\first_red_pos_x[1]~input_o & ((!\Add36~1 ) # (!\Mult4|mult_core|padder|adder[0]|auto_generated|op_1~2_combout ))))
+
+ .dataa(\first_red_pos_x[1]~input_o ),
+ .datab(\Mult4|mult_core|padder|adder[0]|auto_generated|op_1~2_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add36~1 ),
+ .combout(\Add36~2_combout ),
+ .cout(\Add36~3 ));
+// synopsys translate_off
+defparam \Add36~2 .lut_mask = 16'h9617;
+defparam \Add36~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y21_N10
+cycloneiii_lcell_comb \Mult4|mult_core|padder|adder[0]|auto_generated|op_1~4 (
+// Equation(s):
+// \Mult4|mult_core|padder|adder[0]|auto_generated|op_1~4_combout = ((\Mult0|mult_core|romout[1][2]~combout $ (\Mult0|mult_core|romout[0][6]~combout $ (!\Mult4|mult_core|padder|adder[0]|auto_generated|op_1~3 )))) # (GND)
+// \Mult4|mult_core|padder|adder[0]|auto_generated|op_1~5 = CARRY((\Mult0|mult_core|romout[1][2]~combout & ((\Mult0|mult_core|romout[0][6]~combout ) # (!\Mult4|mult_core|padder|adder[0]|auto_generated|op_1~3 ))) # (!\Mult0|mult_core|romout[1][2]~combout &
+// (\Mult0|mult_core|romout[0][6]~combout & !\Mult4|mult_core|padder|adder[0]|auto_generated|op_1~3 )))
+
+ .dataa(\Mult0|mult_core|romout[1][2]~combout ),
+ .datab(\Mult0|mult_core|romout[0][6]~combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Mult4|mult_core|padder|adder[0]|auto_generated|op_1~3 ),
+ .combout(\Mult4|mult_core|padder|adder[0]|auto_generated|op_1~4_combout ),
+ .cout(\Mult4|mult_core|padder|adder[0]|auto_generated|op_1~5 ));
+// synopsys translate_off
+defparam \Mult4|mult_core|padder|adder[0]|auto_generated|op_1~4 .lut_mask = 16'h698E;
+defparam \Mult4|mult_core|padder|adder[0]|auto_generated|op_1~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y21_N4
+cycloneiii_lcell_comb \Add36~4 (
+// Equation(s):
+// \Add36~4_combout = ((\first_red_pos_x[2]~input_o $ (\Mult4|mult_core|padder|adder[0]|auto_generated|op_1~4_combout $ (!\Add36~3 )))) # (GND)
+// \Add36~5 = CARRY((\first_red_pos_x[2]~input_o & ((\Mult4|mult_core|padder|adder[0]|auto_generated|op_1~4_combout ) # (!\Add36~3 ))) # (!\first_red_pos_x[2]~input_o & (\Mult4|mult_core|padder|adder[0]|auto_generated|op_1~4_combout & !\Add36~3 )))
+
+ .dataa(\first_red_pos_x[2]~input_o ),
+ .datab(\Mult4|mult_core|padder|adder[0]|auto_generated|op_1~4_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add36~3 ),
+ .combout(\Add36~4_combout ),
+ .cout(\Add36~5 ));
+// synopsys translate_off
+defparam \Add36~4 .lut_mask = 16'h698E;
+defparam \Add36~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y21_N12
+cycloneiii_lcell_comb \Mult4|mult_core|padder|adder[0]|auto_generated|op_1~6 (
+// Equation(s):
+// \Mult4|mult_core|padder|adder[0]|auto_generated|op_1~6_combout = (\Mult0|mult_core|_~0_combout & ((\Mult0|mult_core|romout[1][3]~combout & (\Mult4|mult_core|padder|adder[0]|auto_generated|op_1~5 & VCC)) # (!\Mult0|mult_core|romout[1][3]~combout &
+// (!\Mult4|mult_core|padder|adder[0]|auto_generated|op_1~5 )))) # (!\Mult0|mult_core|_~0_combout & ((\Mult0|mult_core|romout[1][3]~combout & (!\Mult4|mult_core|padder|adder[0]|auto_generated|op_1~5 )) # (!\Mult0|mult_core|romout[1][3]~combout &
+// ((\Mult4|mult_core|padder|adder[0]|auto_generated|op_1~5 ) # (GND)))))
+// \Mult4|mult_core|padder|adder[0]|auto_generated|op_1~7 = CARRY((\Mult0|mult_core|_~0_combout & (!\Mult0|mult_core|romout[1][3]~combout & !\Mult4|mult_core|padder|adder[0]|auto_generated|op_1~5 )) # (!\Mult0|mult_core|_~0_combout &
+// ((!\Mult4|mult_core|padder|adder[0]|auto_generated|op_1~5 ) # (!\Mult0|mult_core|romout[1][3]~combout ))))
+
+ .dataa(\Mult0|mult_core|_~0_combout ),
+ .datab(\Mult0|mult_core|romout[1][3]~combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Mult4|mult_core|padder|adder[0]|auto_generated|op_1~5 ),
+ .combout(\Mult4|mult_core|padder|adder[0]|auto_generated|op_1~6_combout ),
+ .cout(\Mult4|mult_core|padder|adder[0]|auto_generated|op_1~7 ));
+// synopsys translate_off
+defparam \Mult4|mult_core|padder|adder[0]|auto_generated|op_1~6 .lut_mask = 16'h9617;
+defparam \Mult4|mult_core|padder|adder[0]|auto_generated|op_1~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y21_N6
+cycloneiii_lcell_comb \Add36~6 (
+// Equation(s):
+// \Add36~6_combout = (\first_red_pos_x[3]~input_o & ((\Mult4|mult_core|padder|adder[0]|auto_generated|op_1~6_combout & (\Add36~5 & VCC)) # (!\Mult4|mult_core|padder|adder[0]|auto_generated|op_1~6_combout & (!\Add36~5 )))) #
+// (!\first_red_pos_x[3]~input_o & ((\Mult4|mult_core|padder|adder[0]|auto_generated|op_1~6_combout & (!\Add36~5 )) # (!\Mult4|mult_core|padder|adder[0]|auto_generated|op_1~6_combout & ((\Add36~5 ) # (GND)))))
+// \Add36~7 = CARRY((\first_red_pos_x[3]~input_o & (!\Mult4|mult_core|padder|adder[0]|auto_generated|op_1~6_combout & !\Add36~5 )) # (!\first_red_pos_x[3]~input_o & ((!\Add36~5 ) # (!\Mult4|mult_core|padder|adder[0]|auto_generated|op_1~6_combout ))))
+
+ .dataa(\first_red_pos_x[3]~input_o ),
+ .datab(\Mult4|mult_core|padder|adder[0]|auto_generated|op_1~6_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add36~5 ),
+ .combout(\Add36~6_combout ),
+ .cout(\Add36~7 ));
+// synopsys translate_off
+defparam \Add36~6 .lut_mask = 16'h9617;
+defparam \Add36~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y21_N20
+cycloneiii_lcell_comb \Mult4|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~0 (
+// Equation(s):
+// \Mult4|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~0_combout = (\Add0~16_combout & (\Mult4|mult_core|padder|adder[0]|auto_generated|op_1~8_combout $ (VCC))) # (!\Add0~16_combout &
+// (\Mult4|mult_core|padder|adder[0]|auto_generated|op_1~8_combout & VCC))
+// \Mult4|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~1 = CARRY((\Add0~16_combout & \Mult4|mult_core|padder|adder[0]|auto_generated|op_1~8_combout ))
+
+ .dataa(\Add0~16_combout ),
+ .datab(\Mult4|mult_core|padder|adder[0]|auto_generated|op_1~8_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(\Mult4|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~0_combout ),
+ .cout(\Mult4|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~1 ));
+// synopsys translate_off
+defparam \Mult4|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~0 .lut_mask = 16'h6688;
+defparam \Mult4|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y21_N8
+cycloneiii_lcell_comb \Add36~8 (
+// Equation(s):
+// \Add36~8_combout = ((\first_red_pos_x[4]~input_o $ (\Mult4|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~0_combout $ (!\Add36~7 )))) # (GND)
+// \Add36~9 = CARRY((\first_red_pos_x[4]~input_o & ((\Mult4|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~0_combout ) # (!\Add36~7 ))) # (!\first_red_pos_x[4]~input_o &
+// (\Mult4|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~0_combout & !\Add36~7 )))
+
+ .dataa(\first_red_pos_x[4]~input_o ),
+ .datab(\Mult4|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~0_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add36~7 ),
+ .combout(\Add36~8_combout ),
+ .cout(\Add36~9 ));
+// synopsys translate_off
+defparam \Add36~8 .lut_mask = 16'h698E;
+defparam \Add36~8 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y21_N22
+cycloneiii_lcell_comb \Mult4|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~2 (
+// Equation(s):
+// \Mult4|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~2_combout = (\Mult4|mult_core|padder|adder[0]|auto_generated|op_1~10_combout & ((\Mult0|mult_core|romout[2][1]~combout &
+// (\Mult4|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~1 & VCC)) # (!\Mult0|mult_core|romout[2][1]~combout & (!\Mult4|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~1 )))) #
+// (!\Mult4|mult_core|padder|adder[0]|auto_generated|op_1~10_combout & ((\Mult0|mult_core|romout[2][1]~combout & (!\Mult4|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~1 )) # (!\Mult0|mult_core|romout[2][1]~combout &
+// ((\Mult4|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~1 ) # (GND)))))
+// \Mult4|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~3 = CARRY((\Mult4|mult_core|padder|adder[0]|auto_generated|op_1~10_combout & (!\Mult0|mult_core|romout[2][1]~combout & !\Mult4|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~1
+// )) # (!\Mult4|mult_core|padder|adder[0]|auto_generated|op_1~10_combout & ((!\Mult4|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~1 ) # (!\Mult0|mult_core|romout[2][1]~combout ))))
+
+ .dataa(\Mult4|mult_core|padder|adder[0]|auto_generated|op_1~10_combout ),
+ .datab(\Mult0|mult_core|romout[2][1]~combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Mult4|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~1 ),
+ .combout(\Mult4|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~2_combout ),
+ .cout(\Mult4|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~3 ));
+// synopsys translate_off
+defparam \Mult4|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~2 .lut_mask = 16'h9617;
+defparam \Mult4|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y21_N10
+cycloneiii_lcell_comb \Add36~10 (
+// Equation(s):
+// \Add36~10_combout = (\Mult4|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~2_combout & ((\first_red_pos_x[5]~input_o & (\Add36~9 & VCC)) # (!\first_red_pos_x[5]~input_o & (!\Add36~9 )))) #
+// (!\Mult4|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~2_combout & ((\first_red_pos_x[5]~input_o & (!\Add36~9 )) # (!\first_red_pos_x[5]~input_o & ((\Add36~9 ) # (GND)))))
+// \Add36~11 = CARRY((\Mult4|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~2_combout & (!\first_red_pos_x[5]~input_o & !\Add36~9 )) # (!\Mult4|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~2_combout & ((!\Add36~9 ) #
+// (!\first_red_pos_x[5]~input_o ))))
+
+ .dataa(\Mult4|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~2_combout ),
+ .datab(\first_red_pos_x[5]~input_o ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add36~9 ),
+ .combout(\Add36~10_combout ),
+ .cout(\Add36~11 ));
+// synopsys translate_off
+defparam \Add36~10 .lut_mask = 16'h9617;
+defparam \Add36~10 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X26_Y16_N0
+cycloneiii_lcell_comb \Mult0|mult_core|romout[2][2] (
+// Equation(s):
+// \Mult0|mult_core|romout[2][2]~combout = \Add0~20_combout $ (((\Add0~16_combout ) # (!\Add0~18_combout )))
+
+ .dataa(\Add0~18_combout ),
+ .datab(gnd),
+ .datac(\Add0~20_combout ),
+ .datad(\Add0~16_combout ),
+ .cin(gnd),
+ .combout(\Mult0|mult_core|romout[2][2]~combout ),
+ .cout());
+// synopsys translate_off
+defparam \Mult0|mult_core|romout[2][2] .lut_mask = 16'h0FA5;
+defparam \Mult0|mult_core|romout[2][2] .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y21_N24
+cycloneiii_lcell_comb \Mult4|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~4 (
+// Equation(s):
+// \Mult4|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~4_combout = ((\Mult4|mult_core|padder|adder[0]|auto_generated|op_1~12_combout $ (\Mult0|mult_core|romout[2][2]~combout $
+// (!\Mult4|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~3 )))) # (GND)
+// \Mult4|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~5 = CARRY((\Mult4|mult_core|padder|adder[0]|auto_generated|op_1~12_combout & ((\Mult0|mult_core|romout[2][2]~combout ) #
+// (!\Mult4|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~3 ))) # (!\Mult4|mult_core|padder|adder[0]|auto_generated|op_1~12_combout & (\Mult0|mult_core|romout[2][2]~combout & !\Mult4|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~3
+// )))
+
+ .dataa(\Mult4|mult_core|padder|adder[0]|auto_generated|op_1~12_combout ),
+ .datab(\Mult0|mult_core|romout[2][2]~combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Mult4|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~3 ),
+ .combout(\Mult4|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~4_combout ),
+ .cout(\Mult4|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~5 ));
+// synopsys translate_off
+defparam \Mult4|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~4 .lut_mask = 16'h698E;
+defparam \Mult4|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y21_N12
+cycloneiii_lcell_comb \Add36~12 (
+// Equation(s):
+// \Add36~12_combout = ((\first_red_pos_x[6]~input_o $ (\Mult4|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~4_combout $ (!\Add36~11 )))) # (GND)
+// \Add36~13 = CARRY((\first_red_pos_x[6]~input_o & ((\Mult4|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~4_combout ) # (!\Add36~11 ))) # (!\first_red_pos_x[6]~input_o &
+// (\Mult4|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~4_combout & !\Add36~11 )))
+
+ .dataa(\first_red_pos_x[6]~input_o ),
+ .datab(\Mult4|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~4_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add36~11 ),
+ .combout(\Add36~12_combout ),
+ .cout(\Add36~13 ));
+// synopsys translate_off
+defparam \Add36~12 .lut_mask = 16'h698E;
+defparam \Add36~12 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X26_Y16_N16
+cycloneiii_lcell_comb \Mult0|mult_core|romout[2][3]~4 (
+// Equation(s):
+// \Mult0|mult_core|romout[2][3]~4_combout = (\Add0~18_combout & ((\Add0~20_combout ) # (\Add0~16_combout ))) # (!\Add0~18_combout & ((!\Add0~16_combout )))
+
+ .dataa(\Add0~18_combout ),
+ .datab(gnd),
+ .datac(\Add0~20_combout ),
+ .datad(\Add0~16_combout ),
+ .cin(gnd),
+ .combout(\Mult0|mult_core|romout[2][3]~4_combout ),
+ .cout());
+// synopsys translate_off
+defparam \Mult0|mult_core|romout[2][3]~4 .lut_mask = 16'hAAF5;
+defparam \Mult0|mult_core|romout[2][3]~4 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y21_N26
+cycloneiii_lcell_comb \Mult4|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~6 (
+// Equation(s):
+// \Mult4|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~6_combout = (\Mult4|mult_core|padder|adder[0]|auto_generated|op_1~14_combout & ((\Mult0|mult_core|romout[2][3]~4_combout &
+// (!\Mult4|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~5 )) # (!\Mult0|mult_core|romout[2][3]~4_combout & (\Mult4|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~5 & VCC)))) #
+// (!\Mult4|mult_core|padder|adder[0]|auto_generated|op_1~14_combout & ((\Mult0|mult_core|romout[2][3]~4_combout & ((\Mult4|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~5 ) # (GND))) # (!\Mult0|mult_core|romout[2][3]~4_combout &
+// (!\Mult4|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~5 ))))
+// \Mult4|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~7 = CARRY((\Mult4|mult_core|padder|adder[0]|auto_generated|op_1~14_combout & (\Mult0|mult_core|romout[2][3]~4_combout & !\Mult4|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~5
+// )) # (!\Mult4|mult_core|padder|adder[0]|auto_generated|op_1~14_combout & ((\Mult0|mult_core|romout[2][3]~4_combout ) # (!\Mult4|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~5 ))))
+
+ .dataa(\Mult4|mult_core|padder|adder[0]|auto_generated|op_1~14_combout ),
+ .datab(\Mult0|mult_core|romout[2][3]~4_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Mult4|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~5 ),
+ .combout(\Mult4|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~6_combout ),
+ .cout(\Mult4|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~7 ));
+// synopsys translate_off
+defparam \Mult4|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~6 .lut_mask = 16'h694D;
+defparam \Mult4|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y21_N14
+cycloneiii_lcell_comb \Add36~14 (
+// Equation(s):
+// \Add36~14_combout = (\first_red_pos_x[7]~input_o & ((\Mult4|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~6_combout & (\Add36~13 & VCC)) # (!\Mult4|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~6_combout & (!\Add36~13 )))) #
+// (!\first_red_pos_x[7]~input_o & ((\Mult4|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~6_combout & (!\Add36~13 )) # (!\Mult4|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~6_combout & ((\Add36~13 ) # (GND)))))
+// \Add36~15 = CARRY((\first_red_pos_x[7]~input_o & (!\Mult4|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~6_combout & !\Add36~13 )) # (!\first_red_pos_x[7]~input_o & ((!\Add36~13 ) #
+// (!\Mult4|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~6_combout ))))
+
+ .dataa(\first_red_pos_x[7]~input_o ),
+ .datab(\Mult4|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~6_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add36~13 ),
+ .combout(\Add36~14_combout ),
+ .cout(\Add36~15 ));
+// synopsys translate_off
+defparam \Add36~14 .lut_mask = 16'h9617;
+defparam \Add36~14 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y25_N28
+cycloneiii_lcell_comb \Mult4|mult_core|padder|adder[1]|auto_generated|op_1~0 (
+// Equation(s):
+// \Mult4|mult_core|padder|adder[1]|auto_generated|op_1~0_combout = (\Add0~20_combout & (\Mult0|mult_core|_~1_combout & VCC)) # (!\Add0~20_combout & (\Mult0|mult_core|_~1_combout $ (VCC)))
+// \Mult4|mult_core|padder|adder[1]|auto_generated|op_1~1 = CARRY((!\Add0~20_combout & \Mult0|mult_core|_~1_combout ))
+
+ .dataa(\Add0~20_combout ),
+ .datab(\Mult0|mult_core|_~1_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(\Mult4|mult_core|padder|adder[1]|auto_generated|op_1~0_combout ),
+ .cout(\Mult4|mult_core|padder|adder[1]|auto_generated|op_1~1 ));
+// synopsys translate_off
+defparam \Mult4|mult_core|padder|adder[1]|auto_generated|op_1~0 .lut_mask = 16'h9944;
+defparam \Mult4|mult_core|padder|adder[1]|auto_generated|op_1~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y21_N28
+cycloneiii_lcell_comb \Mult4|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~8 (
+// Equation(s):
+// \Mult4|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~8_combout = (\Mult4|mult_core|padder|adder[1]|auto_generated|op_1~0_combout & (\Mult4|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~7 $ (GND))) #
+// (!\Mult4|mult_core|padder|adder[1]|auto_generated|op_1~0_combout & (!\Mult4|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~7 & VCC))
+// \Mult4|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~9 = CARRY((\Mult4|mult_core|padder|adder[1]|auto_generated|op_1~0_combout & !\Mult4|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~7 ))
+
+ .dataa(gnd),
+ .datab(\Mult4|mult_core|padder|adder[1]|auto_generated|op_1~0_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Mult4|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~7 ),
+ .combout(\Mult4|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~8_combout ),
+ .cout(\Mult4|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~9 ));
+// synopsys translate_off
+defparam \Mult4|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~8 .lut_mask = 16'hC30C;
+defparam \Mult4|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~8 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y21_N16
+cycloneiii_lcell_comb \Add36~16 (
+// Equation(s):
+// \Add36~16_combout = ((\first_red_pos_x[8]~input_o $ (\Mult4|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~8_combout $ (!\Add36~15 )))) # (GND)
+// \Add36~17 = CARRY((\first_red_pos_x[8]~input_o & ((\Mult4|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~8_combout ) # (!\Add36~15 ))) # (!\first_red_pos_x[8]~input_o &
+// (\Mult4|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~8_combout & !\Add36~15 )))
+
+ .dataa(\first_red_pos_x[8]~input_o ),
+ .datab(\Mult4|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~8_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add36~15 ),
+ .combout(\Add36~16_combout ),
+ .cout(\Add36~17 ));
+// synopsys translate_off
+defparam \Add36~16 .lut_mask = 16'h698E;
+defparam \Add36~16 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y25_N30
+cycloneiii_lcell_comb \Mult4|mult_core|padder|adder[1]|auto_generated|op_1~2 (
+// Equation(s):
+// \Mult4|mult_core|padder|adder[1]|auto_generated|op_1~2_combout = \Mult4|mult_core|padder|adder[1]|auto_generated|op_1~1 $ (((\Mult0|mult_core|_~2_combout ) # (\Mult0|mult_core|_~3_combout )))
+
+ .dataa(\Mult0|mult_core|_~2_combout ),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\Mult0|mult_core|_~3_combout ),
+ .cin(\Mult4|mult_core|padder|adder[1]|auto_generated|op_1~1 ),
+ .combout(\Mult4|mult_core|padder|adder[1]|auto_generated|op_1~2_combout ),
+ .cout());
+// synopsys translate_off
+defparam \Mult4|mult_core|padder|adder[1]|auto_generated|op_1~2 .lut_mask = 16'h0F5A;
+defparam \Mult4|mult_core|padder|adder[1]|auto_generated|op_1~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y21_N30
+cycloneiii_lcell_comb \Mult4|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~10 (
+// Equation(s):
+// \Mult4|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~10_combout = \Mult4|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~9 $ (\Mult4|mult_core|padder|adder[1]|auto_generated|op_1~2_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\Mult4|mult_core|padder|adder[1]|auto_generated|op_1~2_combout ),
+ .cin(\Mult4|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~9 ),
+ .combout(\Mult4|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~10_combout ),
+ .cout());
+// synopsys translate_off
+defparam \Mult4|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~10 .lut_mask = 16'h0FF0;
+defparam \Mult4|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~10 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X33_Y21_N18
+cycloneiii_lcell_comb \Add36~18 (
+// Equation(s):
+// \Add36~18_combout = \Mult4|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~10_combout $ (\Add36~17 $ (\first_red_pos_x[9]~input_o ))
+
+ .dataa(\Mult4|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~10_combout ),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\first_red_pos_x[9]~input_o ),
+ .cin(\Add36~17 ),
+ .combout(\Add36~18_combout ),
+ .cout());
+// synopsys translate_off
+defparam \Add36~18 .lut_mask = 16'hA55A;
+defparam \Add36~18 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y15_N28
+cycloneiii_lcell_comb \Mult5|mult_core|romout[0][5] (
+// Equation(s):
+// \Mult5|mult_core|romout[0][5]~combout = (\Add0~0_combout & (\Add0~4_combout $ (((\Add0~2_combout & \Add0~6_combout ))))) # (!\Add0~0_combout & (!\Add0~4_combout & ((\Add0~2_combout ) # (\Add0~6_combout ))))
+
+ .dataa(\Add0~2_combout ),
+ .datab(\Add0~4_combout ),
+ .datac(\Add0~0_combout ),
+ .datad(\Add0~6_combout ),
+ .cin(gnd),
+ .combout(\Mult5|mult_core|romout[0][5]~combout ),
+ .cout());
+// synopsys translate_off
+defparam \Mult5|mult_core|romout[0][5] .lut_mask = 16'h63C2;
+defparam \Mult5|mult_core|romout[0][5] .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y15_N30
+cycloneiii_lcell_comb \Mult5|mult_core|romout[0][4]~0 (
+// Equation(s):
+// \Mult5|mult_core|romout[0][4]~0_combout = (\Add0~0_combout & (\Add0~2_combout $ (((!\Add0~6_combout ))))) # (!\Add0~0_combout & (!\Add0~2_combout & ((\Add0~4_combout ) # (\Add0~6_combout ))))
+
+ .dataa(\Add0~2_combout ),
+ .datab(\Add0~4_combout ),
+ .datac(\Add0~0_combout ),
+ .datad(\Add0~6_combout ),
+ .cin(gnd),
+ .combout(\Mult5|mult_core|romout[0][4]~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \Mult5|mult_core|romout[0][4]~0 .lut_mask = 16'hA554;
+defparam \Mult5|mult_core|romout[0][4]~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y14_N0
+cycloneiii_lcell_comb \Mult5|mult_core|padder|adder[0]|auto_generated|op_1~1 (
+// Equation(s):
+// \Mult5|mult_core|padder|adder[0]|auto_generated|op_1~1_cout = CARRY((\Add0~8_combout & \Mult5|mult_core|romout[0][4]~0_combout ))
+
+ .dataa(\Add0~8_combout ),
+ .datab(\Mult5|mult_core|romout[0][4]~0_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(),
+ .cout(\Mult5|mult_core|padder|adder[0]|auto_generated|op_1~1_cout ));
+// synopsys translate_off
+defparam \Mult5|mult_core|padder|adder[0]|auto_generated|op_1~1 .lut_mask = 16'h0088;
+defparam \Mult5|mult_core|padder|adder[0]|auto_generated|op_1~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y14_N2
+cycloneiii_lcell_comb \Mult5|mult_core|padder|adder[0]|auto_generated|op_1~2 (
+// Equation(s):
+// \Mult5|mult_core|padder|adder[0]|auto_generated|op_1~2_combout = (\Mult0|mult_core|romout[1][1]~0_combout & ((\Mult5|mult_core|romout[0][5]~combout & (\Mult5|mult_core|padder|adder[0]|auto_generated|op_1~1_cout & VCC)) #
+// (!\Mult5|mult_core|romout[0][5]~combout & (!\Mult5|mult_core|padder|adder[0]|auto_generated|op_1~1_cout )))) # (!\Mult0|mult_core|romout[1][1]~0_combout & ((\Mult5|mult_core|romout[0][5]~combout &
+// (!\Mult5|mult_core|padder|adder[0]|auto_generated|op_1~1_cout )) # (!\Mult5|mult_core|romout[0][5]~combout & ((\Mult5|mult_core|padder|adder[0]|auto_generated|op_1~1_cout ) # (GND)))))
+// \Mult5|mult_core|padder|adder[0]|auto_generated|op_1~3 = CARRY((\Mult0|mult_core|romout[1][1]~0_combout & (!\Mult5|mult_core|romout[0][5]~combout & !\Mult5|mult_core|padder|adder[0]|auto_generated|op_1~1_cout )) #
+// (!\Mult0|mult_core|romout[1][1]~0_combout & ((!\Mult5|mult_core|padder|adder[0]|auto_generated|op_1~1_cout ) # (!\Mult5|mult_core|romout[0][5]~combout ))))
+
+ .dataa(\Mult0|mult_core|romout[1][1]~0_combout ),
+ .datab(\Mult5|mult_core|romout[0][5]~combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Mult5|mult_core|padder|adder[0]|auto_generated|op_1~1_cout ),
+ .combout(\Mult5|mult_core|padder|adder[0]|auto_generated|op_1~2_combout ),
+ .cout(\Mult5|mult_core|padder|adder[0]|auto_generated|op_1~3 ));
+// synopsys translate_off
+defparam \Mult5|mult_core|padder|adder[0]|auto_generated|op_1~2 .lut_mask = 16'h9617;
+defparam \Mult5|mult_core|padder|adder[0]|auto_generated|op_1~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y14_N2
+cycloneiii_lcell_comb \Add37~0 (
+// Equation(s):
+// \Add37~0_combout = (\Mult5|mult_core|padder|adder[0]|auto_generated|op_1~2_combout & (\first_red_pos_x[0]~input_o $ (VCC))) # (!\Mult5|mult_core|padder|adder[0]|auto_generated|op_1~2_combout & (\first_red_pos_x[0]~input_o & VCC))
+// \Add37~1 = CARRY((\Mult5|mult_core|padder|adder[0]|auto_generated|op_1~2_combout & \first_red_pos_x[0]~input_o ))
+
+ .dataa(\Mult5|mult_core|padder|adder[0]|auto_generated|op_1~2_combout ),
+ .datab(\first_red_pos_x[0]~input_o ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(\Add37~0_combout ),
+ .cout(\Add37~1 ));
+// synopsys translate_off
+defparam \Add37~0 .lut_mask = 16'h6688;
+defparam \Add37~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y14_N28
+cycloneiii_lcell_comb \Mult5|mult_core|romout[1][2] (
+// Equation(s):
+// \Mult5|mult_core|romout[1][2]~combout = \Add0~12_combout $ (((\Add0~8_combout ) # (\Add0~10_combout )))
+
+ .dataa(\Add0~8_combout ),
+ .datab(\Add0~10_combout ),
+ .datac(gnd),
+ .datad(\Add0~12_combout ),
+ .cin(gnd),
+ .combout(\Mult5|mult_core|romout[1][2]~combout ),
+ .cout());
+// synopsys translate_off
+defparam \Mult5|mult_core|romout[1][2] .lut_mask = 16'h11EE;
+defparam \Mult5|mult_core|romout[1][2] .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y14_N4
+cycloneiii_lcell_comb \Mult5|mult_core|padder|adder[0]|auto_generated|op_1~4 (
+// Equation(s):
+// \Mult5|mult_core|padder|adder[0]|auto_generated|op_1~4_combout = ((\Mult5|mult_core|romout[0][6]~combout $ (\Mult5|mult_core|romout[1][2]~combout $ (!\Mult5|mult_core|padder|adder[0]|auto_generated|op_1~3 )))) # (GND)
+// \Mult5|mult_core|padder|adder[0]|auto_generated|op_1~5 = CARRY((\Mult5|mult_core|romout[0][6]~combout & ((\Mult5|mult_core|romout[1][2]~combout ) # (!\Mult5|mult_core|padder|adder[0]|auto_generated|op_1~3 ))) # (!\Mult5|mult_core|romout[0][6]~combout &
+// (\Mult5|mult_core|romout[1][2]~combout & !\Mult5|mult_core|padder|adder[0]|auto_generated|op_1~3 )))
+
+ .dataa(\Mult5|mult_core|romout[0][6]~combout ),
+ .datab(\Mult5|mult_core|romout[1][2]~combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Mult5|mult_core|padder|adder[0]|auto_generated|op_1~3 ),
+ .combout(\Mult5|mult_core|padder|adder[0]|auto_generated|op_1~4_combout ),
+ .cout(\Mult5|mult_core|padder|adder[0]|auto_generated|op_1~5 ));
+// synopsys translate_off
+defparam \Mult5|mult_core|padder|adder[0]|auto_generated|op_1~4 .lut_mask = 16'h698E;
+defparam \Mult5|mult_core|padder|adder[0]|auto_generated|op_1~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y14_N4
+cycloneiii_lcell_comb \Add37~2 (
+// Equation(s):
+// \Add37~2_combout = (\Mult5|mult_core|padder|adder[0]|auto_generated|op_1~4_combout & ((\first_red_pos_x[1]~input_o & (\Add37~1 & VCC)) # (!\first_red_pos_x[1]~input_o & (!\Add37~1 )))) #
+// (!\Mult5|mult_core|padder|adder[0]|auto_generated|op_1~4_combout & ((\first_red_pos_x[1]~input_o & (!\Add37~1 )) # (!\first_red_pos_x[1]~input_o & ((\Add37~1 ) # (GND)))))
+// \Add37~3 = CARRY((\Mult5|mult_core|padder|adder[0]|auto_generated|op_1~4_combout & (!\first_red_pos_x[1]~input_o & !\Add37~1 )) # (!\Mult5|mult_core|padder|adder[0]|auto_generated|op_1~4_combout & ((!\Add37~1 ) # (!\first_red_pos_x[1]~input_o ))))
+
+ .dataa(\Mult5|mult_core|padder|adder[0]|auto_generated|op_1~4_combout ),
+ .datab(\first_red_pos_x[1]~input_o ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add37~1 ),
+ .combout(\Add37~2_combout ),
+ .cout(\Add37~3 ));
+// synopsys translate_off
+defparam \Add37~2 .lut_mask = 16'h9617;
+defparam \Add37~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y14_N6
+cycloneiii_lcell_comb \Mult5|mult_core|padder|adder[0]|auto_generated|op_1~6 (
+// Equation(s):
+// \Mult5|mult_core|padder|adder[0]|auto_generated|op_1~6_combout = (\Mult5|mult_core|romout[1][3]~combout & ((\Mult0|mult_core|romout[0][6]~combout & (\Mult5|mult_core|padder|adder[0]|auto_generated|op_1~5 & VCC)) #
+// (!\Mult0|mult_core|romout[0][6]~combout & (!\Mult5|mult_core|padder|adder[0]|auto_generated|op_1~5 )))) # (!\Mult5|mult_core|romout[1][3]~combout & ((\Mult0|mult_core|romout[0][6]~combout & (!\Mult5|mult_core|padder|adder[0]|auto_generated|op_1~5 )) #
+// (!\Mult0|mult_core|romout[0][6]~combout & ((\Mult5|mult_core|padder|adder[0]|auto_generated|op_1~5 ) # (GND)))))
+// \Mult5|mult_core|padder|adder[0]|auto_generated|op_1~7 = CARRY((\Mult5|mult_core|romout[1][3]~combout & (!\Mult0|mult_core|romout[0][6]~combout & !\Mult5|mult_core|padder|adder[0]|auto_generated|op_1~5 )) # (!\Mult5|mult_core|romout[1][3]~combout &
+// ((!\Mult5|mult_core|padder|adder[0]|auto_generated|op_1~5 ) # (!\Mult0|mult_core|romout[0][6]~combout ))))
+
+ .dataa(\Mult5|mult_core|romout[1][3]~combout ),
+ .datab(\Mult0|mult_core|romout[0][6]~combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Mult5|mult_core|padder|adder[0]|auto_generated|op_1~5 ),
+ .combout(\Mult5|mult_core|padder|adder[0]|auto_generated|op_1~6_combout ),
+ .cout(\Mult5|mult_core|padder|adder[0]|auto_generated|op_1~7 ));
+// synopsys translate_off
+defparam \Mult5|mult_core|padder|adder[0]|auto_generated|op_1~6 .lut_mask = 16'h9617;
+defparam \Mult5|mult_core|padder|adder[0]|auto_generated|op_1~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y14_N6
+cycloneiii_lcell_comb \Add37~4 (
+// Equation(s):
+// \Add37~4_combout = ((\first_red_pos_x[2]~input_o $ (\Mult5|mult_core|padder|adder[0]|auto_generated|op_1~6_combout $ (!\Add37~3 )))) # (GND)
+// \Add37~5 = CARRY((\first_red_pos_x[2]~input_o & ((\Mult5|mult_core|padder|adder[0]|auto_generated|op_1~6_combout ) # (!\Add37~3 ))) # (!\first_red_pos_x[2]~input_o & (\Mult5|mult_core|padder|adder[0]|auto_generated|op_1~6_combout & !\Add37~3 )))
+
+ .dataa(\first_red_pos_x[2]~input_o ),
+ .datab(\Mult5|mult_core|padder|adder[0]|auto_generated|op_1~6_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add37~3 ),
+ .combout(\Add37~4_combout ),
+ .cout(\Add37~5 ));
+// synopsys translate_off
+defparam \Add37~4 .lut_mask = 16'h698E;
+defparam \Add37~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y14_N16
+cycloneiii_lcell_comb \Mult5|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~0 (
+// Equation(s):
+// \Mult5|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~0_combout = (\Mult5|mult_core|padder|adder[0]|auto_generated|op_1~8_combout & (\Add0~16_combout $ (VCC))) # (!\Mult5|mult_core|padder|adder[0]|auto_generated|op_1~8_combout &
+// (\Add0~16_combout & VCC))
+// \Mult5|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~1 = CARRY((\Mult5|mult_core|padder|adder[0]|auto_generated|op_1~8_combout & \Add0~16_combout ))
+
+ .dataa(\Mult5|mult_core|padder|adder[0]|auto_generated|op_1~8_combout ),
+ .datab(\Add0~16_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(\Mult5|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~0_combout ),
+ .cout(\Mult5|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~1 ));
+// synopsys translate_off
+defparam \Mult5|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~0 .lut_mask = 16'h6688;
+defparam \Mult5|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y14_N8
+cycloneiii_lcell_comb \Add37~6 (
+// Equation(s):
+// \Add37~6_combout = (\Mult5|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~0_combout & ((\first_red_pos_x[3]~input_o & (\Add37~5 & VCC)) # (!\first_red_pos_x[3]~input_o & (!\Add37~5 )))) #
+// (!\Mult5|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~0_combout & ((\first_red_pos_x[3]~input_o & (!\Add37~5 )) # (!\first_red_pos_x[3]~input_o & ((\Add37~5 ) # (GND)))))
+// \Add37~7 = CARRY((\Mult5|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~0_combout & (!\first_red_pos_x[3]~input_o & !\Add37~5 )) # (!\Mult5|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~0_combout & ((!\Add37~5 ) #
+// (!\first_red_pos_x[3]~input_o ))))
+
+ .dataa(\Mult5|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~0_combout ),
+ .datab(\first_red_pos_x[3]~input_o ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add37~5 ),
+ .combout(\Add37~6_combout ),
+ .cout(\Add37~7 ));
+// synopsys translate_off
+defparam \Add37~6 .lut_mask = 16'h9617;
+defparam \Add37~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y14_N18
+cycloneiii_lcell_comb \Mult5|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~2 (
+// Equation(s):
+// \Mult5|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~2_combout = (\Mult5|mult_core|padder|adder[0]|auto_generated|op_1~10_combout & ((\Mult0|mult_core|romout[2][1]~combout &
+// (\Mult5|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~1 & VCC)) # (!\Mult0|mult_core|romout[2][1]~combout & (!\Mult5|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~1 )))) #
+// (!\Mult5|mult_core|padder|adder[0]|auto_generated|op_1~10_combout & ((\Mult0|mult_core|romout[2][1]~combout & (!\Mult5|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~1 )) # (!\Mult0|mult_core|romout[2][1]~combout &
+// ((\Mult5|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~1 ) # (GND)))))
+// \Mult5|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~3 = CARRY((\Mult5|mult_core|padder|adder[0]|auto_generated|op_1~10_combout & (!\Mult0|mult_core|romout[2][1]~combout & !\Mult5|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~1
+// )) # (!\Mult5|mult_core|padder|adder[0]|auto_generated|op_1~10_combout & ((!\Mult5|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~1 ) # (!\Mult0|mult_core|romout[2][1]~combout ))))
+
+ .dataa(\Mult5|mult_core|padder|adder[0]|auto_generated|op_1~10_combout ),
+ .datab(\Mult0|mult_core|romout[2][1]~combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Mult5|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~1 ),
+ .combout(\Mult5|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~2_combout ),
+ .cout(\Mult5|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~3 ));
+// synopsys translate_off
+defparam \Mult5|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~2 .lut_mask = 16'h9617;
+defparam \Mult5|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y14_N10
+cycloneiii_lcell_comb \Add37~8 (
+// Equation(s):
+// \Add37~8_combout = ((\first_red_pos_x[4]~input_o $ (\Mult5|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~2_combout $ (!\Add37~7 )))) # (GND)
+// \Add37~9 = CARRY((\first_red_pos_x[4]~input_o & ((\Mult5|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~2_combout ) # (!\Add37~7 ))) # (!\first_red_pos_x[4]~input_o &
+// (\Mult5|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~2_combout & !\Add37~7 )))
+
+ .dataa(\first_red_pos_x[4]~input_o ),
+ .datab(\Mult5|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~2_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add37~7 ),
+ .combout(\Add37~8_combout ),
+ .cout(\Add37~9 ));
+// synopsys translate_off
+defparam \Add37~8 .lut_mask = 16'h698E;
+defparam \Add37~8 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y14_N2
+cycloneiii_lcell_comb \Mult5|mult_core|romout[2][2] (
+// Equation(s):
+// \Mult5|mult_core|romout[2][2]~combout = \Add0~20_combout $ (((!\Add0~18_combout & !\Add0~16_combout )))
+
+ .dataa(gnd),
+ .datab(\Add0~18_combout ),
+ .datac(\Add0~20_combout ),
+ .datad(\Add0~16_combout ),
+ .cin(gnd),
+ .combout(\Mult5|mult_core|romout[2][2]~combout ),
+ .cout());
+// synopsys translate_off
+defparam \Mult5|mult_core|romout[2][2] .lut_mask = 16'hF0C3;
+defparam \Mult5|mult_core|romout[2][2] .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y14_N20
+cycloneiii_lcell_comb \Mult5|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~4 (
+// Equation(s):
+// \Mult5|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~4_combout = ((\Mult5|mult_core|padder|adder[0]|auto_generated|op_1~12_combout $ (\Mult5|mult_core|romout[2][2]~combout $
+// (!\Mult5|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~3 )))) # (GND)
+// \Mult5|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~5 = CARRY((\Mult5|mult_core|padder|adder[0]|auto_generated|op_1~12_combout & ((\Mult5|mult_core|romout[2][2]~combout ) #
+// (!\Mult5|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~3 ))) # (!\Mult5|mult_core|padder|adder[0]|auto_generated|op_1~12_combout & (\Mult5|mult_core|romout[2][2]~combout & !\Mult5|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~3
+// )))
+
+ .dataa(\Mult5|mult_core|padder|adder[0]|auto_generated|op_1~12_combout ),
+ .datab(\Mult5|mult_core|romout[2][2]~combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Mult5|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~3 ),
+ .combout(\Mult5|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~4_combout ),
+ .cout(\Mult5|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~5 ));
+// synopsys translate_off
+defparam \Mult5|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~4 .lut_mask = 16'h698E;
+defparam \Mult5|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y14_N12
+cycloneiii_lcell_comb \Add37~10 (
+// Equation(s):
+// \Add37~10_combout = (\first_red_pos_x[5]~input_o & ((\Mult5|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~4_combout & (\Add37~9 & VCC)) # (!\Mult5|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~4_combout & (!\Add37~9 )))) #
+// (!\first_red_pos_x[5]~input_o & ((\Mult5|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~4_combout & (!\Add37~9 )) # (!\Mult5|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~4_combout & ((\Add37~9 ) # (GND)))))
+// \Add37~11 = CARRY((\first_red_pos_x[5]~input_o & (!\Mult5|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~4_combout & !\Add37~9 )) # (!\first_red_pos_x[5]~input_o & ((!\Add37~9 ) #
+// (!\Mult5|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~4_combout ))))
+
+ .dataa(\first_red_pos_x[5]~input_o ),
+ .datab(\Mult5|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~4_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add37~9 ),
+ .combout(\Add37~10_combout ),
+ .cout(\Add37~11 ));
+// synopsys translate_off
+defparam \Add37~10 .lut_mask = 16'h9617;
+defparam \Add37~10 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y14_N18
+cycloneiii_lcell_comb \Mult0|mult_core|romout[1][6] (
+// Equation(s):
+// \Mult0|mult_core|romout[1][6]~combout = (\Add0~14_combout & ((!\Add0~12_combout ))) # (!\Add0~14_combout & (\Add0~10_combout & \Add0~12_combout ))
+
+ .dataa(\Add0~14_combout ),
+ .datab(\Add0~10_combout ),
+ .datac(gnd),
+ .datad(\Add0~12_combout ),
+ .cin(gnd),
+ .combout(\Mult0|mult_core|romout[1][6]~combout ),
+ .cout());
+// synopsys translate_off
+defparam \Mult0|mult_core|romout[1][6] .lut_mask = 16'h44AA;
+defparam \Mult0|mult_core|romout[1][6] .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y14_N20
+cycloneiii_lcell_comb \Mult5|mult_core|romout[1][6] (
+// Equation(s):
+// \Mult5|mult_core|romout[1][6]~combout = (\Add0~10_combout & ((\Add0~14_combout ) # ((\Add0~8_combout & !\Add0~12_combout )))) # (!\Add0~10_combout & ((\Add0~14_combout & (\Add0~8_combout & !\Add0~12_combout )) # (!\Add0~14_combout &
+// ((\Add0~12_combout )))))
+
+ .dataa(\Add0~8_combout ),
+ .datab(\Add0~10_combout ),
+ .datac(\Add0~14_combout ),
+ .datad(\Add0~12_combout ),
+ .cin(gnd),
+ .combout(\Mult5|mult_core|romout[1][6]~combout ),
+ .cout());
+// synopsys translate_off
+defparam \Mult5|mult_core|romout[1][6] .lut_mask = 16'hC3E8;
+defparam \Mult5|mult_core|romout[1][6] .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y14_N24
+cycloneiii_lcell_comb \Mult5|mult_core|romout[1][4] (
+// Equation(s):
+// \Mult5|mult_core|romout[1][4]~combout = (\Add0~8_combout & (\Add0~10_combout $ ((!\Add0~14_combout )))) # (!\Add0~8_combout & (!\Add0~10_combout & ((\Add0~14_combout ) # (\Add0~12_combout ))))
+
+ .dataa(\Add0~8_combout ),
+ .datab(\Add0~10_combout ),
+ .datac(\Add0~14_combout ),
+ .datad(\Add0~12_combout ),
+ .cin(gnd),
+ .combout(\Mult5|mult_core|romout[1][4]~combout ),
+ .cout());
+// synopsys translate_off
+defparam \Mult5|mult_core|romout[1][4] .lut_mask = 16'h9392;
+defparam \Mult5|mult_core|romout[1][4] .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y14_N22
+cycloneiii_lcell_comb \Mult5|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~6 (
+// Equation(s):
+// \Mult5|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~6_combout = (\Mult5|mult_core|romout[2][3]~combout & ((\Mult5|mult_core|padder|adder[0]|auto_generated|op_1~14_combout &
+// (\Mult5|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~5 & VCC)) # (!\Mult5|mult_core|padder|adder[0]|auto_generated|op_1~14_combout & (!\Mult5|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~5 )))) #
+// (!\Mult5|mult_core|romout[2][3]~combout & ((\Mult5|mult_core|padder|adder[0]|auto_generated|op_1~14_combout & (!\Mult5|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~5 )) # (!\Mult5|mult_core|padder|adder[0]|auto_generated|op_1~14_combout &
+// ((\Mult5|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~5 ) # (GND)))))
+// \Mult5|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~7 = CARRY((\Mult5|mult_core|romout[2][3]~combout & (!\Mult5|mult_core|padder|adder[0]|auto_generated|op_1~14_combout & !\Mult5|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~5
+// )) # (!\Mult5|mult_core|romout[2][3]~combout & ((!\Mult5|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~5 ) # (!\Mult5|mult_core|padder|adder[0]|auto_generated|op_1~14_combout ))))
+
+ .dataa(\Mult5|mult_core|romout[2][3]~combout ),
+ .datab(\Mult5|mult_core|padder|adder[0]|auto_generated|op_1~14_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Mult5|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~5 ),
+ .combout(\Mult5|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~6_combout ),
+ .cout(\Mult5|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~7 ));
+// synopsys translate_off
+defparam \Mult5|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~6 .lut_mask = 16'h9617;
+defparam \Mult5|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y14_N14
+cycloneiii_lcell_comb \Add37~12 (
+// Equation(s):
+// \Add37~12_combout = ((\first_red_pos_x[6]~input_o $ (\Mult5|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~6_combout $ (!\Add37~11 )))) # (GND)
+// \Add37~13 = CARRY((\first_red_pos_x[6]~input_o & ((\Mult5|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~6_combout ) # (!\Add37~11 ))) # (!\first_red_pos_x[6]~input_o &
+// (\Mult5|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~6_combout & !\Add37~11 )))
+
+ .dataa(\first_red_pos_x[6]~input_o ),
+ .datab(\Mult5|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~6_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add37~11 ),
+ .combout(\Add37~12_combout ),
+ .cout(\Add37~13 ));
+// synopsys translate_off
+defparam \Add37~12 .lut_mask = 16'h698E;
+defparam \Add37~12 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X24_Y14_N8
+cycloneiii_lcell_comb \Mult5|mult_core|padder|adder[1]|auto_generated|op_1~0 (
+// Equation(s):
+// \Mult5|mult_core|padder|adder[1]|auto_generated|op_1~0_combout = (\Mult5|mult_core|romout[2][4]~combout & (\Add0~20_combout $ (GND))) # (!\Mult5|mult_core|romout[2][4]~combout & (!\Add0~20_combout & VCC))
+// \Mult5|mult_core|padder|adder[1]|auto_generated|op_1~1 = CARRY((\Mult5|mult_core|romout[2][4]~combout & !\Add0~20_combout ))
+
+ .dataa(\Mult5|mult_core|romout[2][4]~combout ),
+ .datab(\Add0~20_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(\Mult5|mult_core|padder|adder[1]|auto_generated|op_1~0_combout ),
+ .cout(\Mult5|mult_core|padder|adder[1]|auto_generated|op_1~1 ));
+// synopsys translate_off
+defparam \Mult5|mult_core|padder|adder[1]|auto_generated|op_1~0 .lut_mask = 16'h9922;
+defparam \Mult5|mult_core|padder|adder[1]|auto_generated|op_1~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y14_N24
+cycloneiii_lcell_comb \Mult5|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~8 (
+// Equation(s):
+// \Mult5|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~8_combout = ((\Mult5|mult_core|padder|adder[0]|auto_generated|op_1~16_combout $ (\Mult5|mult_core|padder|adder[1]|auto_generated|op_1~0_combout $
+// (!\Mult5|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~7 )))) # (GND)
+// \Mult5|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~9 = CARRY((\Mult5|mult_core|padder|adder[0]|auto_generated|op_1~16_combout & ((\Mult5|mult_core|padder|adder[1]|auto_generated|op_1~0_combout ) #
+// (!\Mult5|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~7 ))) # (!\Mult5|mult_core|padder|adder[0]|auto_generated|op_1~16_combout & (\Mult5|mult_core|padder|adder[1]|auto_generated|op_1~0_combout &
+// !\Mult5|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~7 )))
+
+ .dataa(\Mult5|mult_core|padder|adder[0]|auto_generated|op_1~16_combout ),
+ .datab(\Mult5|mult_core|padder|adder[1]|auto_generated|op_1~0_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Mult5|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~7 ),
+ .combout(\Mult5|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~8_combout ),
+ .cout(\Mult5|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~9 ));
+// synopsys translate_off
+defparam \Mult5|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~8 .lut_mask = 16'h698E;
+defparam \Mult5|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~8 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y14_N16
+cycloneiii_lcell_comb \Add37~14 (
+// Equation(s):
+// \Add37~14_combout = (\first_red_pos_x[7]~input_o & ((\Mult5|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~8_combout & (\Add37~13 & VCC)) # (!\Mult5|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~8_combout & (!\Add37~13 )))) #
+// (!\first_red_pos_x[7]~input_o & ((\Mult5|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~8_combout & (!\Add37~13 )) # (!\Mult5|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~8_combout & ((\Add37~13 ) # (GND)))))
+// \Add37~15 = CARRY((\first_red_pos_x[7]~input_o & (!\Mult5|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~8_combout & !\Add37~13 )) # (!\first_red_pos_x[7]~input_o & ((!\Add37~13 ) #
+// (!\Mult5|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~8_combout ))))
+
+ .dataa(\first_red_pos_x[7]~input_o ),
+ .datab(\Mult5|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~8_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add37~13 ),
+ .combout(\Add37~14_combout ),
+ .cout(\Add37~15 ));
+// synopsys translate_off
+defparam \Add37~14 .lut_mask = 16'h9617;
+defparam \Add37~14 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X24_Y14_N4
+cycloneiii_lcell_comb \Mult0|mult_core|_~8 (
+// Equation(s):
+// \Mult0|mult_core|_~8_combout = (\Add0~20_combout & (\Add0~18_combout & !\Add0~16_combout ))
+
+ .dataa(gnd),
+ .datab(\Add0~20_combout ),
+ .datac(\Add0~18_combout ),
+ .datad(\Add0~16_combout ),
+ .cin(gnd),
+ .combout(\Mult0|mult_core|_~8_combout ),
+ .cout());
+// synopsys translate_off
+defparam \Mult0|mult_core|_~8 .lut_mask = 16'h00C0;
+defparam \Mult0|mult_core|_~8 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X24_Y14_N10
+cycloneiii_lcell_comb \Mult5|mult_core|padder|adder[1]|auto_generated|op_1~2 (
+// Equation(s):
+// \Mult5|mult_core|padder|adder[1]|auto_generated|op_1~2_combout = (\Mult0|mult_core|_~9_combout & (((!\Mult5|mult_core|padder|adder[1]|auto_generated|op_1~1 )))) # (!\Mult0|mult_core|_~9_combout & ((\Mult0|mult_core|_~8_combout &
+// (!\Mult5|mult_core|padder|adder[1]|auto_generated|op_1~1 )) # (!\Mult0|mult_core|_~8_combout & ((\Mult5|mult_core|padder|adder[1]|auto_generated|op_1~1 ) # (GND)))))
+// \Mult5|mult_core|padder|adder[1]|auto_generated|op_1~3 = CARRY(((!\Mult0|mult_core|_~9_combout & !\Mult0|mult_core|_~8_combout )) # (!\Mult5|mult_core|padder|adder[1]|auto_generated|op_1~1 ))
+
+ .dataa(\Mult0|mult_core|_~9_combout ),
+ .datab(\Mult0|mult_core|_~8_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Mult5|mult_core|padder|adder[1]|auto_generated|op_1~1 ),
+ .combout(\Mult5|mult_core|padder|adder[1]|auto_generated|op_1~2_combout ),
+ .cout(\Mult5|mult_core|padder|adder[1]|auto_generated|op_1~3 ));
+// synopsys translate_off
+defparam \Mult5|mult_core|padder|adder[1]|auto_generated|op_1~2 .lut_mask = 16'h1E1F;
+defparam \Mult5|mult_core|padder|adder[1]|auto_generated|op_1~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y14_N26
+cycloneiii_lcell_comb \Mult5|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~10 (
+// Equation(s):
+// \Mult5|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~10_combout = (\Mult5|mult_core|padder|adder[1]|auto_generated|op_1~2_combout & (!\Mult5|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~9 )) #
+// (!\Mult5|mult_core|padder|adder[1]|auto_generated|op_1~2_combout & ((\Mult5|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~9 ) # (GND)))
+// \Mult5|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~11 = CARRY((!\Mult5|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~9 ) # (!\Mult5|mult_core|padder|adder[1]|auto_generated|op_1~2_combout ))
+
+ .dataa(gnd),
+ .datab(\Mult5|mult_core|padder|adder[1]|auto_generated|op_1~2_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Mult5|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~9 ),
+ .combout(\Mult5|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~10_combout ),
+ .cout(\Mult5|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~11 ));
+// synopsys translate_off
+defparam \Mult5|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~10 .lut_mask = 16'h3C3F;
+defparam \Mult5|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~10 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y14_N18
+cycloneiii_lcell_comb \Add37~16 (
+// Equation(s):
+// \Add37~16_combout = ((\Mult5|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~10_combout $ (\first_red_pos_x[8]~input_o $ (!\Add37~15 )))) # (GND)
+// \Add37~17 = CARRY((\Mult5|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~10_combout & ((\first_red_pos_x[8]~input_o ) # (!\Add37~15 ))) # (!\Mult5|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~10_combout &
+// (\first_red_pos_x[8]~input_o & !\Add37~15 )))
+
+ .dataa(\Mult5|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~10_combout ),
+ .datab(\first_red_pos_x[8]~input_o ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add37~15 ),
+ .combout(\Add37~16_combout ),
+ .cout(\Add37~17 ));
+// synopsys translate_off
+defparam \Add37~16 .lut_mask = 16'h698E;
+defparam \Add37~16 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X24_Y14_N0
+cycloneiii_lcell_comb \Mult2|mult_core|romout[2][3] (
+// Equation(s):
+// \Mult2|mult_core|romout[2][3]~combout = (\Add0~18_combout & ((\Add0~16_combout ) # (!\Add0~20_combout )))
+
+ .dataa(gnd),
+ .datab(\Add0~20_combout ),
+ .datac(\Add0~18_combout ),
+ .datad(\Add0~16_combout ),
+ .cin(gnd),
+ .combout(\Mult2|mult_core|romout[2][3]~combout ),
+ .cout());
+// synopsys translate_off
+defparam \Mult2|mult_core|romout[2][3] .lut_mask = 16'hF030;
+defparam \Mult2|mult_core|romout[2][3] .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X24_Y14_N12
+cycloneiii_lcell_comb \Mult5|mult_core|padder|adder[1]|auto_generated|op_1~4 (
+// Equation(s):
+// \Mult5|mult_core|padder|adder[1]|auto_generated|op_1~4_combout = \Mult5|mult_core|padder|adder[1]|auto_generated|op_1~3 $ (!\Mult2|mult_core|romout[2][3]~combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\Mult2|mult_core|romout[2][3]~combout ),
+ .cin(\Mult5|mult_core|padder|adder[1]|auto_generated|op_1~3 ),
+ .combout(\Mult5|mult_core|padder|adder[1]|auto_generated|op_1~4_combout ),
+ .cout());
+// synopsys translate_off
+defparam \Mult5|mult_core|padder|adder[1]|auto_generated|op_1~4 .lut_mask = 16'hF00F;
+defparam \Mult5|mult_core|padder|adder[1]|auto_generated|op_1~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y14_N28
+cycloneiii_lcell_comb \Mult5|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~12 (
+// Equation(s):
+// \Mult5|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~12_combout = \Mult5|mult_core|padder|adder[1]|auto_generated|op_1~4_combout $ (!\Mult5|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~11 )
+
+ .dataa(gnd),
+ .datab(\Mult5|mult_core|padder|adder[1]|auto_generated|op_1~4_combout ),
+ .datac(gnd),
+ .datad(gnd),
+ .cin(\Mult5|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~11 ),
+ .combout(\Mult5|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~12_combout ),
+ .cout());
+// synopsys translate_off
+defparam \Mult5|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~12 .lut_mask = 16'hC3C3;
+defparam \Mult5|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~12 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X29_Y14_N20
+cycloneiii_lcell_comb \Add37~18 (
+// Equation(s):
+// \Add37~18_combout = \first_red_pos_x[9]~input_o $ (\Add37~17 $ (\Mult5|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~12_combout ))
+
+ .dataa(gnd),
+ .datab(\first_red_pos_x[9]~input_o ),
+ .datac(gnd),
+ .datad(\Mult5|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~12_combout ),
+ .cin(\Add37~17 ),
+ .combout(\Add37~18_combout ),
+ .cout());
+// synopsys translate_off
+defparam \Add37~18 .lut_mask = 16'hC33C;
+defparam \Add37~18 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y26_N8
+cycloneiii_lcell_comb \Add38~1 (
+// Equation(s):
+// \Add38~1_cout = CARRY((\Add0~2_combout & \Add0~0_combout ))
+
+ .dataa(\Add0~2_combout ),
+ .datab(\Add0~0_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(),
+ .cout(\Add38~1_cout ));
+// synopsys translate_off
+defparam \Add38~1 .lut_mask = 16'h0088;
+defparam \Add38~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y26_N10
+cycloneiii_lcell_comb \Add38~2 (
+// Equation(s):
+// \Add38~2_combout = (\Add0~4_combout & ((\Add0~2_combout & (\Add38~1_cout & VCC)) # (!\Add0~2_combout & (!\Add38~1_cout )))) # (!\Add0~4_combout & ((\Add0~2_combout & (!\Add38~1_cout )) # (!\Add0~2_combout & ((\Add38~1_cout ) # (GND)))))
+// \Add38~3 = CARRY((\Add0~4_combout & (!\Add0~2_combout & !\Add38~1_cout )) # (!\Add0~4_combout & ((!\Add38~1_cout ) # (!\Add0~2_combout ))))
+
+ .dataa(\Add0~4_combout ),
+ .datab(\Add0~2_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add38~1_cout ),
+ .combout(\Add38~2_combout ),
+ .cout(\Add38~3 ));
+// synopsys translate_off
+defparam \Add38~2 .lut_mask = 16'h9617;
+defparam \Add38~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y26_N0
+cycloneiii_lcell_comb \Add39~0 (
+// Equation(s):
+// \Add39~0_combout = (\Add38~2_combout & (\first_red_pos_x[0]~input_o $ (VCC))) # (!\Add38~2_combout & (\first_red_pos_x[0]~input_o & VCC))
+// \Add39~1 = CARRY((\Add38~2_combout & \first_red_pos_x[0]~input_o ))
+
+ .dataa(\Add38~2_combout ),
+ .datab(\first_red_pos_x[0]~input_o ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(\Add39~0_combout ),
+ .cout(\Add39~1 ));
+// synopsys translate_off
+defparam \Add39~0 .lut_mask = 16'h6688;
+defparam \Add39~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y26_N12
+cycloneiii_lcell_comb \Add38~4 (
+// Equation(s):
+// \Add38~4_combout = ((\Add0~4_combout $ (\Add0~6_combout $ (!\Add38~3 )))) # (GND)
+// \Add38~5 = CARRY((\Add0~4_combout & ((\Add0~6_combout ) # (!\Add38~3 ))) # (!\Add0~4_combout & (\Add0~6_combout & !\Add38~3 )))
+
+ .dataa(\Add0~4_combout ),
+ .datab(\Add0~6_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add38~3 ),
+ .combout(\Add38~4_combout ),
+ .cout(\Add38~5 ));
+// synopsys translate_off
+defparam \Add38~4 .lut_mask = 16'h698E;
+defparam \Add38~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y26_N2
+cycloneiii_lcell_comb \Add39~2 (
+// Equation(s):
+// \Add39~2_combout = (\Add38~4_combout & ((\first_red_pos_x[1]~input_o & (\Add39~1 & VCC)) # (!\first_red_pos_x[1]~input_o & (!\Add39~1 )))) # (!\Add38~4_combout & ((\first_red_pos_x[1]~input_o & (!\Add39~1 )) # (!\first_red_pos_x[1]~input_o &
+// ((\Add39~1 ) # (GND)))))
+// \Add39~3 = CARRY((\Add38~4_combout & (!\first_red_pos_x[1]~input_o & !\Add39~1 )) # (!\Add38~4_combout & ((!\Add39~1 ) # (!\first_red_pos_x[1]~input_o ))))
+
+ .dataa(\Add38~4_combout ),
+ .datab(\first_red_pos_x[1]~input_o ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add39~1 ),
+ .combout(\Add39~2_combout ),
+ .cout(\Add39~3 ));
+// synopsys translate_off
+defparam \Add39~2 .lut_mask = 16'h9617;
+defparam \Add39~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y26_N14
+cycloneiii_lcell_comb \Add38~6 (
+// Equation(s):
+// \Add38~6_combout = (\Add0~8_combout & ((\Add0~6_combout & (\Add38~5 & VCC)) # (!\Add0~6_combout & (!\Add38~5 )))) # (!\Add0~8_combout & ((\Add0~6_combout & (!\Add38~5 )) # (!\Add0~6_combout & ((\Add38~5 ) # (GND)))))
+// \Add38~7 = CARRY((\Add0~8_combout & (!\Add0~6_combout & !\Add38~5 )) # (!\Add0~8_combout & ((!\Add38~5 ) # (!\Add0~6_combout ))))
+
+ .dataa(\Add0~8_combout ),
+ .datab(\Add0~6_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add38~5 ),
+ .combout(\Add38~6_combout ),
+ .cout(\Add38~7 ));
+// synopsys translate_off
+defparam \Add38~6 .lut_mask = 16'h9617;
+defparam \Add38~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y26_N4
+cycloneiii_lcell_comb \Add39~4 (
+// Equation(s):
+// \Add39~4_combout = ((\Add38~6_combout $ (\first_red_pos_x[2]~input_o $ (!\Add39~3 )))) # (GND)
+// \Add39~5 = CARRY((\Add38~6_combout & ((\first_red_pos_x[2]~input_o ) # (!\Add39~3 ))) # (!\Add38~6_combout & (\first_red_pos_x[2]~input_o & !\Add39~3 )))
+
+ .dataa(\Add38~6_combout ),
+ .datab(\first_red_pos_x[2]~input_o ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add39~3 ),
+ .combout(\Add39~4_combout ),
+ .cout(\Add39~5 ));
+// synopsys translate_off
+defparam \Add39~4 .lut_mask = 16'h698E;
+defparam \Add39~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y26_N16
+cycloneiii_lcell_comb \Add38~8 (
+// Equation(s):
+// \Add38~8_combout = ((\Add0~10_combout $ (\Add0~8_combout $ (!\Add38~7 )))) # (GND)
+// \Add38~9 = CARRY((\Add0~10_combout & ((\Add0~8_combout ) # (!\Add38~7 ))) # (!\Add0~10_combout & (\Add0~8_combout & !\Add38~7 )))
+
+ .dataa(\Add0~10_combout ),
+ .datab(\Add0~8_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add38~7 ),
+ .combout(\Add38~8_combout ),
+ .cout(\Add38~9 ));
+// synopsys translate_off
+defparam \Add38~8 .lut_mask = 16'h698E;
+defparam \Add38~8 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y26_N6
+cycloneiii_lcell_comb \Add39~6 (
+// Equation(s):
+// \Add39~6_combout = (\Add38~8_combout & ((\first_red_pos_x[3]~input_o & (\Add39~5 & VCC)) # (!\first_red_pos_x[3]~input_o & (!\Add39~5 )))) # (!\Add38~8_combout & ((\first_red_pos_x[3]~input_o & (!\Add39~5 )) # (!\first_red_pos_x[3]~input_o &
+// ((\Add39~5 ) # (GND)))))
+// \Add39~7 = CARRY((\Add38~8_combout & (!\first_red_pos_x[3]~input_o & !\Add39~5 )) # (!\Add38~8_combout & ((!\Add39~5 ) # (!\first_red_pos_x[3]~input_o ))))
+
+ .dataa(\Add38~8_combout ),
+ .datab(\first_red_pos_x[3]~input_o ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add39~5 ),
+ .combout(\Add39~6_combout ),
+ .cout(\Add39~7 ));
+// synopsys translate_off
+defparam \Add39~6 .lut_mask = 16'h9617;
+defparam \Add39~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y26_N18
+cycloneiii_lcell_comb \Add38~10 (
+// Equation(s):
+// \Add38~10_combout = (\Add0~12_combout & ((\Add0~10_combout & (\Add38~9 & VCC)) # (!\Add0~10_combout & (!\Add38~9 )))) # (!\Add0~12_combout & ((\Add0~10_combout & (!\Add38~9 )) # (!\Add0~10_combout & ((\Add38~9 ) # (GND)))))
+// \Add38~11 = CARRY((\Add0~12_combout & (!\Add0~10_combout & !\Add38~9 )) # (!\Add0~12_combout & ((!\Add38~9 ) # (!\Add0~10_combout ))))
+
+ .dataa(\Add0~12_combout ),
+ .datab(\Add0~10_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add38~9 ),
+ .combout(\Add38~10_combout ),
+ .cout(\Add38~11 ));
+// synopsys translate_off
+defparam \Add38~10 .lut_mask = 16'h9617;
+defparam \Add38~10 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y26_N8
+cycloneiii_lcell_comb \Add39~8 (
+// Equation(s):
+// \Add39~8_combout = ((\first_red_pos_x[4]~input_o $ (\Add38~10_combout $ (!\Add39~7 )))) # (GND)
+// \Add39~9 = CARRY((\first_red_pos_x[4]~input_o & ((\Add38~10_combout ) # (!\Add39~7 ))) # (!\first_red_pos_x[4]~input_o & (\Add38~10_combout & !\Add39~7 )))
+
+ .dataa(\first_red_pos_x[4]~input_o ),
+ .datab(\Add38~10_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add39~7 ),
+ .combout(\Add39~8_combout ),
+ .cout(\Add39~9 ));
+// synopsys translate_off
+defparam \Add39~8 .lut_mask = 16'h698E;
+defparam \Add39~8 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y26_N20
+cycloneiii_lcell_comb \Add38~12 (
+// Equation(s):
+// \Add38~12_combout = ((\Add0~12_combout $ (\Add0~14_combout $ (!\Add38~11 )))) # (GND)
+// \Add38~13 = CARRY((\Add0~12_combout & ((\Add0~14_combout ) # (!\Add38~11 ))) # (!\Add0~12_combout & (\Add0~14_combout & !\Add38~11 )))
+
+ .dataa(\Add0~12_combout ),
+ .datab(\Add0~14_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add38~11 ),
+ .combout(\Add38~12_combout ),
+ .cout(\Add38~13 ));
+// synopsys translate_off
+defparam \Add38~12 .lut_mask = 16'h698E;
+defparam \Add38~12 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y26_N10
+cycloneiii_lcell_comb \Add39~10 (
+// Equation(s):
+// \Add39~10_combout = (\first_red_pos_x[5]~input_o & ((\Add38~12_combout & (\Add39~9 & VCC)) # (!\Add38~12_combout & (!\Add39~9 )))) # (!\first_red_pos_x[5]~input_o & ((\Add38~12_combout & (!\Add39~9 )) # (!\Add38~12_combout & ((\Add39~9 ) #
+// (GND)))))
+// \Add39~11 = CARRY((\first_red_pos_x[5]~input_o & (!\Add38~12_combout & !\Add39~9 )) # (!\first_red_pos_x[5]~input_o & ((!\Add39~9 ) # (!\Add38~12_combout ))))
+
+ .dataa(\first_red_pos_x[5]~input_o ),
+ .datab(\Add38~12_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add39~9 ),
+ .combout(\Add39~10_combout ),
+ .cout(\Add39~11 ));
+// synopsys translate_off
+defparam \Add39~10 .lut_mask = 16'h9617;
+defparam \Add39~10 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y26_N22
+cycloneiii_lcell_comb \Add38~14 (
+// Equation(s):
+// \Add38~14_combout = (\Add0~16_combout & ((\Add0~14_combout & (\Add38~13 & VCC)) # (!\Add0~14_combout & (!\Add38~13 )))) # (!\Add0~16_combout & ((\Add0~14_combout & (!\Add38~13 )) # (!\Add0~14_combout & ((\Add38~13 ) # (GND)))))
+// \Add38~15 = CARRY((\Add0~16_combout & (!\Add0~14_combout & !\Add38~13 )) # (!\Add0~16_combout & ((!\Add38~13 ) # (!\Add0~14_combout ))))
+
+ .dataa(\Add0~16_combout ),
+ .datab(\Add0~14_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add38~13 ),
+ .combout(\Add38~14_combout ),
+ .cout(\Add38~15 ));
+// synopsys translate_off
+defparam \Add38~14 .lut_mask = 16'h9617;
+defparam \Add38~14 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y26_N12
+cycloneiii_lcell_comb \Add39~12 (
+// Equation(s):
+// \Add39~12_combout = ((\first_red_pos_x[6]~input_o $ (\Add38~14_combout $ (!\Add39~11 )))) # (GND)
+// \Add39~13 = CARRY((\first_red_pos_x[6]~input_o & ((\Add38~14_combout ) # (!\Add39~11 ))) # (!\first_red_pos_x[6]~input_o & (\Add38~14_combout & !\Add39~11 )))
+
+ .dataa(\first_red_pos_x[6]~input_o ),
+ .datab(\Add38~14_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add39~11 ),
+ .combout(\Add39~12_combout ),
+ .cout(\Add39~13 ));
+// synopsys translate_off
+defparam \Add39~12 .lut_mask = 16'h698E;
+defparam \Add39~12 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y26_N24
+cycloneiii_lcell_comb \Add38~16 (
+// Equation(s):
+// \Add38~16_combout = ((\Add0~16_combout $ (\Add0~18_combout $ (!\Add38~15 )))) # (GND)
+// \Add38~17 = CARRY((\Add0~16_combout & ((\Add0~18_combout ) # (!\Add38~15 ))) # (!\Add0~16_combout & (\Add0~18_combout & !\Add38~15 )))
+
+ .dataa(\Add0~16_combout ),
+ .datab(\Add0~18_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add38~15 ),
+ .combout(\Add38~16_combout ),
+ .cout(\Add38~17 ));
+// synopsys translate_off
+defparam \Add38~16 .lut_mask = 16'h698E;
+defparam \Add38~16 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y26_N14
+cycloneiii_lcell_comb \Add39~14 (
+// Equation(s):
+// \Add39~14_combout = (\Add38~16_combout & ((\first_red_pos_x[7]~input_o & (\Add39~13 & VCC)) # (!\first_red_pos_x[7]~input_o & (!\Add39~13 )))) # (!\Add38~16_combout & ((\first_red_pos_x[7]~input_o & (!\Add39~13 )) # (!\first_red_pos_x[7]~input_o &
+// ((\Add39~13 ) # (GND)))))
+// \Add39~15 = CARRY((\Add38~16_combout & (!\first_red_pos_x[7]~input_o & !\Add39~13 )) # (!\Add38~16_combout & ((!\Add39~13 ) # (!\first_red_pos_x[7]~input_o ))))
+
+ .dataa(\Add38~16_combout ),
+ .datab(\first_red_pos_x[7]~input_o ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add39~13 ),
+ .combout(\Add39~14_combout ),
+ .cout(\Add39~15 ));
+// synopsys translate_off
+defparam \Add39~14 .lut_mask = 16'h9617;
+defparam \Add39~14 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y26_N26
+cycloneiii_lcell_comb \Add38~18 (
+// Equation(s):
+// \Add38~18_combout = (\Add0~20_combout & ((\Add0~18_combout & (!\Add38~17 )) # (!\Add0~18_combout & ((\Add38~17 ) # (GND))))) # (!\Add0~20_combout & ((\Add0~18_combout & (\Add38~17 & VCC)) # (!\Add0~18_combout & (!\Add38~17 ))))
+// \Add38~19 = CARRY((\Add0~20_combout & ((!\Add38~17 ) # (!\Add0~18_combout ))) # (!\Add0~20_combout & (!\Add0~18_combout & !\Add38~17 )))
+
+ .dataa(\Add0~20_combout ),
+ .datab(\Add0~18_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add38~17 ),
+ .combout(\Add38~18_combout ),
+ .cout(\Add38~19 ));
+// synopsys translate_off
+defparam \Add38~18 .lut_mask = 16'h692B;
+defparam \Add38~18 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y26_N16
+cycloneiii_lcell_comb \Add39~16 (
+// Equation(s):
+// \Add39~16_combout = ((\Add38~18_combout $ (\first_red_pos_x[8]~input_o $ (!\Add39~15 )))) # (GND)
+// \Add39~17 = CARRY((\Add38~18_combout & ((\first_red_pos_x[8]~input_o ) # (!\Add39~15 ))) # (!\Add38~18_combout & (\first_red_pos_x[8]~input_o & !\Add39~15 )))
+
+ .dataa(\Add38~18_combout ),
+ .datab(\first_red_pos_x[8]~input_o ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add39~15 ),
+ .combout(\Add39~16_combout ),
+ .cout(\Add39~17 ));
+// synopsys translate_off
+defparam \Add39~16 .lut_mask = 16'h698E;
+defparam \Add39~16 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X31_Y26_N28
+cycloneiii_lcell_comb \Add38~20 (
+// Equation(s):
+// \Add38~20_combout = !\Add38~19
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .cin(\Add38~19 ),
+ .combout(\Add38~20_combout ),
+ .cout());
+// synopsys translate_off
+defparam \Add38~20 .lut_mask = 16'h0F0F;
+defparam \Add38~20 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X32_Y26_N18
+cycloneiii_lcell_comb \Add39~18 (
+// Equation(s):
+// \Add39~18_combout = \first_red_pos_x[9]~input_o $ (\Add39~17 $ (\Add38~20_combout ))
+
+ .dataa(gnd),
+ .datab(\first_red_pos_x[9]~input_o ),
+ .datac(gnd),
+ .datad(\Add38~20_combout ),
+ .cin(\Add39~17 ),
+ .combout(\Add39~18_combout ),
+ .cout());
+// synopsys translate_off
+defparam \Add39~18 .lut_mask = 16'hC33C;
+defparam \Add39~18 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X17_Y15_N4
+cycloneiii_lcell_comb \Mult6|mult_core|padder|adder[0]|auto_generated|op_1~1 (
+// Equation(s):
+// \Mult6|mult_core|padder|adder[0]|auto_generated|op_1~1_cout = CARRY((\Mult6|mult_core|romout[0][4]~combout & \Add0~8_combout ))
+
+ .dataa(\Mult6|mult_core|romout[0][4]~combout ),
+ .datab(\Add0~8_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(),
+ .cout(\Mult6|mult_core|padder|adder[0]|auto_generated|op_1~1_cout ));
+// synopsys translate_off
+defparam \Mult6|mult_core|padder|adder[0]|auto_generated|op_1~1 .lut_mask = 16'h0088;
+defparam \Mult6|mult_core|padder|adder[0]|auto_generated|op_1~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X17_Y15_N6
+cycloneiii_lcell_comb \Mult6|mult_core|padder|adder[0]|auto_generated|op_1~2 (
+// Equation(s):
+// \Mult6|mult_core|padder|adder[0]|auto_generated|op_1~2_combout = (\Mult6|mult_core|romout[0][5]~combout & ((\Add0~10_combout & (\Mult6|mult_core|padder|adder[0]|auto_generated|op_1~1_cout & VCC)) # (!\Add0~10_combout &
+// (!\Mult6|mult_core|padder|adder[0]|auto_generated|op_1~1_cout )))) # (!\Mult6|mult_core|romout[0][5]~combout & ((\Add0~10_combout & (!\Mult6|mult_core|padder|adder[0]|auto_generated|op_1~1_cout )) # (!\Add0~10_combout &
+// ((\Mult6|mult_core|padder|adder[0]|auto_generated|op_1~1_cout ) # (GND)))))
+// \Mult6|mult_core|padder|adder[0]|auto_generated|op_1~3 = CARRY((\Mult6|mult_core|romout[0][5]~combout & (!\Add0~10_combout & !\Mult6|mult_core|padder|adder[0]|auto_generated|op_1~1_cout )) # (!\Mult6|mult_core|romout[0][5]~combout &
+// ((!\Mult6|mult_core|padder|adder[0]|auto_generated|op_1~1_cout ) # (!\Add0~10_combout ))))
+
+ .dataa(\Mult6|mult_core|romout[0][5]~combout ),
+ .datab(\Add0~10_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Mult6|mult_core|padder|adder[0]|auto_generated|op_1~1_cout ),
+ .combout(\Mult6|mult_core|padder|adder[0]|auto_generated|op_1~2_combout ),
+ .cout(\Mult6|mult_core|padder|adder[0]|auto_generated|op_1~3 ));
+// synopsys translate_off
+defparam \Mult6|mult_core|padder|adder[0]|auto_generated|op_1~2 .lut_mask = 16'h9617;
+defparam \Mult6|mult_core|padder|adder[0]|auto_generated|op_1~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X15_Y15_N0
+cycloneiii_lcell_comb \Add40~0 (
+// Equation(s):
+// \Add40~0_combout = (\Mult6|mult_core|padder|adder[0]|auto_generated|op_1~2_combout & (\first_red_pos_x[0]~input_o $ (VCC))) # (!\Mult6|mult_core|padder|adder[0]|auto_generated|op_1~2_combout & (\first_red_pos_x[0]~input_o & VCC))
+// \Add40~1 = CARRY((\Mult6|mult_core|padder|adder[0]|auto_generated|op_1~2_combout & \first_red_pos_x[0]~input_o ))
+
+ .dataa(\Mult6|mult_core|padder|adder[0]|auto_generated|op_1~2_combout ),
+ .datab(\first_red_pos_x[0]~input_o ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(\Add40~0_combout ),
+ .cout(\Add40~1 ));
+// synopsys translate_off
+defparam \Add40~0 .lut_mask = 16'h6688;
+defparam \Add40~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X17_Y15_N8
+cycloneiii_lcell_comb \Mult6|mult_core|padder|adder[0]|auto_generated|op_1~4 (
+// Equation(s):
+// \Mult6|mult_core|padder|adder[0]|auto_generated|op_1~4_combout = ((\Mult6|mult_core|romout[0][6]~2_combout $ (\Add0~12_combout $ (!\Mult6|mult_core|padder|adder[0]|auto_generated|op_1~3 )))) # (GND)
+// \Mult6|mult_core|padder|adder[0]|auto_generated|op_1~5 = CARRY((\Mult6|mult_core|romout[0][6]~2_combout & ((\Add0~12_combout ) # (!\Mult6|mult_core|padder|adder[0]|auto_generated|op_1~3 ))) # (!\Mult6|mult_core|romout[0][6]~2_combout &
+// (\Add0~12_combout & !\Mult6|mult_core|padder|adder[0]|auto_generated|op_1~3 )))
+
+ .dataa(\Mult6|mult_core|romout[0][6]~2_combout ),
+ .datab(\Add0~12_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Mult6|mult_core|padder|adder[0]|auto_generated|op_1~3 ),
+ .combout(\Mult6|mult_core|padder|adder[0]|auto_generated|op_1~4_combout ),
+ .cout(\Mult6|mult_core|padder|adder[0]|auto_generated|op_1~5 ));
+// synopsys translate_off
+defparam \Mult6|mult_core|padder|adder[0]|auto_generated|op_1~4 .lut_mask = 16'h698E;
+defparam \Mult6|mult_core|padder|adder[0]|auto_generated|op_1~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X15_Y15_N2
+cycloneiii_lcell_comb \Add40~2 (
+// Equation(s):
+// \Add40~2_combout = (\first_red_pos_x[1]~input_o & ((\Mult6|mult_core|padder|adder[0]|auto_generated|op_1~4_combout & (\Add40~1 & VCC)) # (!\Mult6|mult_core|padder|adder[0]|auto_generated|op_1~4_combout & (!\Add40~1 )))) #
+// (!\first_red_pos_x[1]~input_o & ((\Mult6|mult_core|padder|adder[0]|auto_generated|op_1~4_combout & (!\Add40~1 )) # (!\Mult6|mult_core|padder|adder[0]|auto_generated|op_1~4_combout & ((\Add40~1 ) # (GND)))))
+// \Add40~3 = CARRY((\first_red_pos_x[1]~input_o & (!\Mult6|mult_core|padder|adder[0]|auto_generated|op_1~4_combout & !\Add40~1 )) # (!\first_red_pos_x[1]~input_o & ((!\Add40~1 ) # (!\Mult6|mult_core|padder|adder[0]|auto_generated|op_1~4_combout ))))
+
+ .dataa(\first_red_pos_x[1]~input_o ),
+ .datab(\Mult6|mult_core|padder|adder[0]|auto_generated|op_1~4_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add40~1 ),
+ .combout(\Add40~2_combout ),
+ .cout(\Add40~3 ));
+// synopsys translate_off
+defparam \Add40~2 .lut_mask = 16'h9617;
+defparam \Add40~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X17_Y15_N0
+cycloneiii_lcell_comb \Mult6|mult_core|romout[1][3]~3 (
+// Equation(s):
+// \Mult6|mult_core|romout[1][3]~3_combout = \Add0~14_combout $ (\Add0~8_combout )
+
+ .dataa(\Add0~14_combout ),
+ .datab(gnd),
+ .datac(\Add0~8_combout ),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\Mult6|mult_core|romout[1][3]~3_combout ),
+ .cout());
+// synopsys translate_off
+defparam \Mult6|mult_core|romout[1][3]~3 .lut_mask = 16'h5A5A;
+defparam \Mult6|mult_core|romout[1][3]~3 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X17_Y15_N10
+cycloneiii_lcell_comb \Mult6|mult_core|padder|adder[0]|auto_generated|op_1~6 (
+// Equation(s):
+// \Mult6|mult_core|padder|adder[0]|auto_generated|op_1~6_combout = (\Mult6|mult_core|romout[0][7]~combout & ((\Mult6|mult_core|romout[1][3]~3_combout & (\Mult6|mult_core|padder|adder[0]|auto_generated|op_1~5 & VCC)) #
+// (!\Mult6|mult_core|romout[1][3]~3_combout & (!\Mult6|mult_core|padder|adder[0]|auto_generated|op_1~5 )))) # (!\Mult6|mult_core|romout[0][7]~combout & ((\Mult6|mult_core|romout[1][3]~3_combout & (!\Mult6|mult_core|padder|adder[0]|auto_generated|op_1~5
+// )) # (!\Mult6|mult_core|romout[1][3]~3_combout & ((\Mult6|mult_core|padder|adder[0]|auto_generated|op_1~5 ) # (GND)))))
+// \Mult6|mult_core|padder|adder[0]|auto_generated|op_1~7 = CARRY((\Mult6|mult_core|romout[0][7]~combout & (!\Mult6|mult_core|romout[1][3]~3_combout & !\Mult6|mult_core|padder|adder[0]|auto_generated|op_1~5 )) # (!\Mult6|mult_core|romout[0][7]~combout &
+// ((!\Mult6|mult_core|padder|adder[0]|auto_generated|op_1~5 ) # (!\Mult6|mult_core|romout[1][3]~3_combout ))))
+
+ .dataa(\Mult6|mult_core|romout[0][7]~combout ),
+ .datab(\Mult6|mult_core|romout[1][3]~3_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Mult6|mult_core|padder|adder[0]|auto_generated|op_1~5 ),
+ .combout(\Mult6|mult_core|padder|adder[0]|auto_generated|op_1~6_combout ),
+ .cout(\Mult6|mult_core|padder|adder[0]|auto_generated|op_1~7 ));
+// synopsys translate_off
+defparam \Mult6|mult_core|padder|adder[0]|auto_generated|op_1~6 .lut_mask = 16'h9617;
+defparam \Mult6|mult_core|padder|adder[0]|auto_generated|op_1~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X15_Y15_N4
+cycloneiii_lcell_comb \Add40~4 (
+// Equation(s):
+// \Add40~4_combout = ((\first_red_pos_x[2]~input_o $ (\Mult6|mult_core|padder|adder[0]|auto_generated|op_1~6_combout $ (!\Add40~3 )))) # (GND)
+// \Add40~5 = CARRY((\first_red_pos_x[2]~input_o & ((\Mult6|mult_core|padder|adder[0]|auto_generated|op_1~6_combout ) # (!\Add40~3 ))) # (!\first_red_pos_x[2]~input_o & (\Mult6|mult_core|padder|adder[0]|auto_generated|op_1~6_combout & !\Add40~3 )))
+
+ .dataa(\first_red_pos_x[2]~input_o ),
+ .datab(\Mult6|mult_core|padder|adder[0]|auto_generated|op_1~6_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add40~3 ),
+ .combout(\Add40~4_combout ),
+ .cout(\Add40~5 ));
+// synopsys translate_off
+defparam \Add40~4 .lut_mask = 16'h698E;
+defparam \Add40~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X16_Y15_N6
+cycloneiii_lcell_comb \Mult6|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~0 (
+// Equation(s):
+// \Mult6|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~0_combout = (\Mult6|mult_core|padder|adder[0]|auto_generated|op_1~8_combout & (\Add0~16_combout $ (VCC))) # (!\Mult6|mult_core|padder|adder[0]|auto_generated|op_1~8_combout &
+// (\Add0~16_combout & VCC))
+// \Mult6|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~1 = CARRY((\Mult6|mult_core|padder|adder[0]|auto_generated|op_1~8_combout & \Add0~16_combout ))
+
+ .dataa(\Mult6|mult_core|padder|adder[0]|auto_generated|op_1~8_combout ),
+ .datab(\Add0~16_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(\Mult6|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~0_combout ),
+ .cout(\Mult6|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~1 ));
+// synopsys translate_off
+defparam \Mult6|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~0 .lut_mask = 16'h6688;
+defparam \Mult6|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X15_Y15_N6
+cycloneiii_lcell_comb \Add40~6 (
+// Equation(s):
+// \Add40~6_combout = (\first_red_pos_x[3]~input_o & ((\Mult6|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~0_combout & (\Add40~5 & VCC)) # (!\Mult6|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~0_combout & (!\Add40~5 )))) #
+// (!\first_red_pos_x[3]~input_o & ((\Mult6|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~0_combout & (!\Add40~5 )) # (!\Mult6|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~0_combout & ((\Add40~5 ) # (GND)))))
+// \Add40~7 = CARRY((\first_red_pos_x[3]~input_o & (!\Mult6|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~0_combout & !\Add40~5 )) # (!\first_red_pos_x[3]~input_o & ((!\Add40~5 ) #
+// (!\Mult6|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~0_combout ))))
+
+ .dataa(\first_red_pos_x[3]~input_o ),
+ .datab(\Mult6|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~0_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add40~5 ),
+ .combout(\Add40~6_combout ),
+ .cout(\Add40~7 ));
+// synopsys translate_off
+defparam \Add40~6 .lut_mask = 16'h9617;
+defparam \Add40~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X16_Y15_N8
+cycloneiii_lcell_comb \Mult6|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~2 (
+// Equation(s):
+// \Mult6|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~2_combout = (\Mult6|mult_core|padder|adder[0]|auto_generated|op_1~10_combout & ((\Add0~18_combout & (\Mult6|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~1 & VCC)) #
+// (!\Add0~18_combout & (!\Mult6|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~1 )))) # (!\Mult6|mult_core|padder|adder[0]|auto_generated|op_1~10_combout & ((\Add0~18_combout &
+// (!\Mult6|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~1 )) # (!\Add0~18_combout & ((\Mult6|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~1 ) # (GND)))))
+// \Mult6|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~3 = CARRY((\Mult6|mult_core|padder|adder[0]|auto_generated|op_1~10_combout & (!\Add0~18_combout & !\Mult6|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~1 )) #
+// (!\Mult6|mult_core|padder|adder[0]|auto_generated|op_1~10_combout & ((!\Mult6|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~1 ) # (!\Add0~18_combout ))))
+
+ .dataa(\Mult6|mult_core|padder|adder[0]|auto_generated|op_1~10_combout ),
+ .datab(\Add0~18_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Mult6|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~1 ),
+ .combout(\Mult6|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~2_combout ),
+ .cout(\Mult6|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~3 ));
+// synopsys translate_off
+defparam \Mult6|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~2 .lut_mask = 16'h9617;
+defparam \Mult6|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X15_Y15_N8
+cycloneiii_lcell_comb \Add40~8 (
+// Equation(s):
+// \Add40~8_combout = ((\Mult6|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~2_combout $ (\first_red_pos_x[4]~input_o $ (!\Add40~7 )))) # (GND)
+// \Add40~9 = CARRY((\Mult6|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~2_combout & ((\first_red_pos_x[4]~input_o ) # (!\Add40~7 ))) # (!\Mult6|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~2_combout &
+// (\first_red_pos_x[4]~input_o & !\Add40~7 )))
+
+ .dataa(\Mult6|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~2_combout ),
+ .datab(\first_red_pos_x[4]~input_o ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add40~7 ),
+ .combout(\Add40~8_combout ),
+ .cout(\Add40~9 ));
+// synopsys translate_off
+defparam \Add40~8 .lut_mask = 16'h698E;
+defparam \Add40~8 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X17_Y15_N24
+cycloneiii_lcell_comb \Mult6|mult_core|romout[1][6] (
+// Equation(s):
+// \Mult6|mult_core|romout[1][6]~combout = (\Add0~12_combout & ((\Add0~14_combout & ((\Add0~8_combout ) # (\Add0~10_combout ))) # (!\Add0~14_combout & ((!\Add0~10_combout ))))) # (!\Add0~12_combout & (\Add0~14_combout $ (((\Add0~8_combout &
+// \Add0~10_combout )))))
+
+ .dataa(\Add0~14_combout ),
+ .datab(\Add0~8_combout ),
+ .datac(\Add0~12_combout ),
+ .datad(\Add0~10_combout ),
+ .cin(gnd),
+ .combout(\Mult6|mult_core|romout[1][6]~combout ),
+ .cout());
+// synopsys translate_off
+defparam \Mult6|mult_core|romout[1][6] .lut_mask = 16'hA6DA;
+defparam \Mult6|mult_core|romout[1][6] .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X27_Y15_N6
+cycloneiii_lcell_comb \Mult6|mult_core|romout[0][8]~5 (
+// Equation(s):
+// \Mult6|mult_core|romout[0][8]~5_combout = (\Add0~6_combout & ((\Add0~4_combout ) # ((\Add0~2_combout & \Add0~0_combout ))))
+
+ .dataa(\Add0~2_combout ),
+ .datab(\Add0~4_combout ),
+ .datac(\Add0~0_combout ),
+ .datad(\Add0~6_combout ),
+ .cin(gnd),
+ .combout(\Mult6|mult_core|romout[0][8]~5_combout ),
+ .cout());
+// synopsys translate_off
+defparam \Mult6|mult_core|romout[0][8]~5 .lut_mask = 16'hEC00;
+defparam \Mult6|mult_core|romout[0][8]~5 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X17_Y15_N16
+cycloneiii_lcell_comb \Mult6|mult_core|padder|adder[0]|auto_generated|op_1~12 (
+// Equation(s):
+// \Mult6|mult_core|padder|adder[0]|auto_generated|op_1~12_combout = (\Mult6|mult_core|romout[1][6]~combout & (\Mult6|mult_core|padder|adder[0]|auto_generated|op_1~11 $ (GND))) # (!\Mult6|mult_core|romout[1][6]~combout &
+// (!\Mult6|mult_core|padder|adder[0]|auto_generated|op_1~11 & VCC))
+// \Mult6|mult_core|padder|adder[0]|auto_generated|op_1~13 = CARRY((\Mult6|mult_core|romout[1][6]~combout & !\Mult6|mult_core|padder|adder[0]|auto_generated|op_1~11 ))
+
+ .dataa(gnd),
+ .datab(\Mult6|mult_core|romout[1][6]~combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Mult6|mult_core|padder|adder[0]|auto_generated|op_1~11 ),
+ .combout(\Mult6|mult_core|padder|adder[0]|auto_generated|op_1~12_combout ),
+ .cout(\Mult6|mult_core|padder|adder[0]|auto_generated|op_1~13 ));
+// synopsys translate_off
+defparam \Mult6|mult_core|padder|adder[0]|auto_generated|op_1~12 .lut_mask = 16'hC30C;
+defparam \Mult6|mult_core|padder|adder[0]|auto_generated|op_1~12 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X16_Y15_N10
+cycloneiii_lcell_comb \Mult6|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~4 (
+// Equation(s):
+// \Mult6|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~4_combout = ((\Add0~20_combout $ (\Mult6|mult_core|padder|adder[0]|auto_generated|op_1~12_combout $ (\Mult6|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~3 )))) # (GND)
+// \Mult6|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~5 = CARRY((\Add0~20_combout & (\Mult6|mult_core|padder|adder[0]|auto_generated|op_1~12_combout & !\Mult6|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~3 )) #
+// (!\Add0~20_combout & ((\Mult6|mult_core|padder|adder[0]|auto_generated|op_1~12_combout ) # (!\Mult6|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~3 ))))
+
+ .dataa(\Add0~20_combout ),
+ .datab(\Mult6|mult_core|padder|adder[0]|auto_generated|op_1~12_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Mult6|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~3 ),
+ .combout(\Mult6|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~4_combout ),
+ .cout(\Mult6|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~5 ));
+// synopsys translate_off
+defparam \Mult6|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~4 .lut_mask = 16'h964D;
+defparam \Mult6|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X15_Y15_N10
+cycloneiii_lcell_comb \Add40~10 (
+// Equation(s):
+// \Add40~10_combout = (\first_red_pos_x[5]~input_o & ((\Mult6|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~4_combout & (\Add40~9 & VCC)) # (!\Mult6|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~4_combout & (!\Add40~9 )))) #
+// (!\first_red_pos_x[5]~input_o & ((\Mult6|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~4_combout & (!\Add40~9 )) # (!\Mult6|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~4_combout & ((\Add40~9 ) # (GND)))))
+// \Add40~11 = CARRY((\first_red_pos_x[5]~input_o & (!\Mult6|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~4_combout & !\Add40~9 )) # (!\first_red_pos_x[5]~input_o & ((!\Add40~9 ) #
+// (!\Mult6|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~4_combout ))))
+
+ .dataa(\first_red_pos_x[5]~input_o ),
+ .datab(\Mult6|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~4_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add40~9 ),
+ .combout(\Add40~10_combout ),
+ .cout(\Add40~11 ));
+// synopsys translate_off
+defparam \Add40~10 .lut_mask = 16'h9617;
+defparam \Add40~10 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X17_Y15_N2
+cycloneiii_lcell_comb \Mult6|mult_core|romout[1][7]~6 (
+// Equation(s):
+// \Mult6|mult_core|romout[1][7]~6_combout = (\Add0~14_combout & (!\Add0~12_combout & ((!\Add0~10_combout ) # (!\Add0~8_combout )))) # (!\Add0~14_combout & (((\Add0~12_combout & \Add0~10_combout ))))
+
+ .dataa(\Add0~14_combout ),
+ .datab(\Add0~8_combout ),
+ .datac(\Add0~12_combout ),
+ .datad(\Add0~10_combout ),
+ .cin(gnd),
+ .combout(\Mult6|mult_core|romout[1][7]~6_combout ),
+ .cout());
+// synopsys translate_off
+defparam \Mult6|mult_core|romout[1][7]~6 .lut_mask = 16'h520A;
+defparam \Mult6|mult_core|romout[1][7]~6 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X16_Y15_N12
+cycloneiii_lcell_comb \Mult6|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~6 (
+// Equation(s):
+// \Mult6|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~6_combout = (\Mult1|mult_core|romout[2][2]~combout & ((\Mult6|mult_core|padder|adder[0]|auto_generated|op_1~14_combout &
+// (\Mult6|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~5 & VCC)) # (!\Mult6|mult_core|padder|adder[0]|auto_generated|op_1~14_combout & (!\Mult6|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~5 )))) #
+// (!\Mult1|mult_core|romout[2][2]~combout & ((\Mult6|mult_core|padder|adder[0]|auto_generated|op_1~14_combout & (!\Mult6|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~5 )) # (!\Mult6|mult_core|padder|adder[0]|auto_generated|op_1~14_combout &
+// ((\Mult6|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~5 ) # (GND)))))
+// \Mult6|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~7 = CARRY((\Mult1|mult_core|romout[2][2]~combout & (!\Mult6|mult_core|padder|adder[0]|auto_generated|op_1~14_combout & !\Mult6|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~5
+// )) # (!\Mult1|mult_core|romout[2][2]~combout & ((!\Mult6|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~5 ) # (!\Mult6|mult_core|padder|adder[0]|auto_generated|op_1~14_combout ))))
+
+ .dataa(\Mult1|mult_core|romout[2][2]~combout ),
+ .datab(\Mult6|mult_core|padder|adder[0]|auto_generated|op_1~14_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Mult6|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~5 ),
+ .combout(\Mult6|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~6_combout ),
+ .cout(\Mult6|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~7 ));
+// synopsys translate_off
+defparam \Mult6|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~6 .lut_mask = 16'h9617;
+defparam \Mult6|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X15_Y15_N12
+cycloneiii_lcell_comb \Add40~12 (
+// Equation(s):
+// \Add40~12_combout = ((\first_red_pos_x[6]~input_o $ (\Mult6|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~6_combout $ (!\Add40~11 )))) # (GND)
+// \Add40~13 = CARRY((\first_red_pos_x[6]~input_o & ((\Mult6|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~6_combout ) # (!\Add40~11 ))) # (!\first_red_pos_x[6]~input_o &
+// (\Mult6|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~6_combout & !\Add40~11 )))
+
+ .dataa(\first_red_pos_x[6]~input_o ),
+ .datab(\Mult6|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~6_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add40~11 ),
+ .combout(\Add40~12_combout ),
+ .cout(\Add40~13 ));
+// synopsys translate_off
+defparam \Add40~12 .lut_mask = 16'h698E;
+defparam \Add40~12 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X16_Y15_N0
+cycloneiii_lcell_comb \Mult6|mult_core|romout[2][4] (
+// Equation(s):
+// \Mult6|mult_core|romout[2][4]~combout = \Add0~18_combout $ (((\Add0~20_combout & \Add0~16_combout )))
+
+ .dataa(gnd),
+ .datab(\Add0~18_combout ),
+ .datac(\Add0~20_combout ),
+ .datad(\Add0~16_combout ),
+ .cin(gnd),
+ .combout(\Mult6|mult_core|romout[2][4]~combout ),
+ .cout());
+// synopsys translate_off
+defparam \Mult6|mult_core|romout[2][4] .lut_mask = 16'h3CCC;
+defparam \Mult6|mult_core|romout[2][4] .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X16_Y15_N20
+cycloneiii_lcell_comb \Mult6|mult_core|padder|adder[1]|auto_generated|op_1~0 (
+// Equation(s):
+// \Mult6|mult_core|padder|adder[1]|auto_generated|op_1~0_combout = (\Add0~20_combout & (\Mult6|mult_core|romout[2][4]~combout & VCC)) # (!\Add0~20_combout & (\Mult6|mult_core|romout[2][4]~combout $ (VCC)))
+// \Mult6|mult_core|padder|adder[1]|auto_generated|op_1~1 = CARRY((!\Add0~20_combout & \Mult6|mult_core|romout[2][4]~combout ))
+
+ .dataa(\Add0~20_combout ),
+ .datab(\Mult6|mult_core|romout[2][4]~combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(\Mult6|mult_core|padder|adder[1]|auto_generated|op_1~0_combout ),
+ .cout(\Mult6|mult_core|padder|adder[1]|auto_generated|op_1~1 ));
+// synopsys translate_off
+defparam \Mult6|mult_core|padder|adder[1]|auto_generated|op_1~0 .lut_mask = 16'h9944;
+defparam \Mult6|mult_core|padder|adder[1]|auto_generated|op_1~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X16_Y15_N14
+cycloneiii_lcell_comb \Mult6|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~8 (
+// Equation(s):
+// \Mult6|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~8_combout = ((\Mult6|mult_core|padder|adder[0]|auto_generated|op_1~16_combout $ (\Mult6|mult_core|padder|adder[1]|auto_generated|op_1~0_combout $
+// (!\Mult6|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~7 )))) # (GND)
+// \Mult6|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~9 = CARRY((\Mult6|mult_core|padder|adder[0]|auto_generated|op_1~16_combout & ((\Mult6|mult_core|padder|adder[1]|auto_generated|op_1~0_combout ) #
+// (!\Mult6|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~7 ))) # (!\Mult6|mult_core|padder|adder[0]|auto_generated|op_1~16_combout & (\Mult6|mult_core|padder|adder[1]|auto_generated|op_1~0_combout &
+// !\Mult6|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~7 )))
+
+ .dataa(\Mult6|mult_core|padder|adder[0]|auto_generated|op_1~16_combout ),
+ .datab(\Mult6|mult_core|padder|adder[1]|auto_generated|op_1~0_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Mult6|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~7 ),
+ .combout(\Mult6|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~8_combout ),
+ .cout(\Mult6|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~9 ));
+// synopsys translate_off
+defparam \Mult6|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~8 .lut_mask = 16'h698E;
+defparam \Mult6|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~8 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X15_Y15_N14
+cycloneiii_lcell_comb \Add40~14 (
+// Equation(s):
+// \Add40~14_combout = (\first_red_pos_x[7]~input_o & ((\Mult6|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~8_combout & (\Add40~13 & VCC)) # (!\Mult6|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~8_combout & (!\Add40~13 )))) #
+// (!\first_red_pos_x[7]~input_o & ((\Mult6|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~8_combout & (!\Add40~13 )) # (!\Mult6|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~8_combout & ((\Add40~13 ) # (GND)))))
+// \Add40~15 = CARRY((\first_red_pos_x[7]~input_o & (!\Mult6|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~8_combout & !\Add40~13 )) # (!\first_red_pos_x[7]~input_o & ((!\Add40~13 ) #
+// (!\Mult6|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~8_combout ))))
+
+ .dataa(\first_red_pos_x[7]~input_o ),
+ .datab(\Mult6|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~8_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add40~13 ),
+ .combout(\Add40~14_combout ),
+ .cout(\Add40~15 ));
+// synopsys translate_off
+defparam \Add40~14 .lut_mask = 16'h9617;
+defparam \Add40~14 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X16_Y15_N16
+cycloneiii_lcell_comb \Mult6|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~10 (
+// Equation(s):
+// \Mult6|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~10_combout = (\Mult6|mult_core|padder|adder[1]|auto_generated|op_1~2_combout & (!\Mult6|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~9 )) #
+// (!\Mult6|mult_core|padder|adder[1]|auto_generated|op_1~2_combout & ((\Mult6|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~9 ) # (GND)))
+// \Mult6|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~11 = CARRY((!\Mult6|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~9 ) # (!\Mult6|mult_core|padder|adder[1]|auto_generated|op_1~2_combout ))
+
+ .dataa(\Mult6|mult_core|padder|adder[1]|auto_generated|op_1~2_combout ),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Mult6|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~9 ),
+ .combout(\Mult6|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~10_combout ),
+ .cout(\Mult6|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~11 ));
+// synopsys translate_off
+defparam \Mult6|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~10 .lut_mask = 16'h5A5F;
+defparam \Mult6|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~10 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X15_Y15_N16
+cycloneiii_lcell_comb \Add40~16 (
+// Equation(s):
+// \Add40~16_combout = ((\first_red_pos_x[8]~input_o $ (\Mult6|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~10_combout $ (!\Add40~15 )))) # (GND)
+// \Add40~17 = CARRY((\first_red_pos_x[8]~input_o & ((\Mult6|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~10_combout ) # (!\Add40~15 ))) # (!\first_red_pos_x[8]~input_o &
+// (\Mult6|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~10_combout & !\Add40~15 )))
+
+ .dataa(\first_red_pos_x[8]~input_o ),
+ .datab(\Mult6|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~10_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add40~15 ),
+ .combout(\Add40~16_combout ),
+ .cout(\Add40~17 ));
+// synopsys translate_off
+defparam \Add40~16 .lut_mask = 16'h698E;
+defparam \Add40~16 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X16_Y15_N28
+cycloneiii_lcell_comb \Mult6|mult_core|romout[2][6] (
+// Equation(s):
+// \Mult6|mult_core|romout[2][6]~combout = (\Add0~18_combout & ((\Add0~16_combout ) # (!\Add0~20_combout ))) # (!\Add0~18_combout & (!\Add0~20_combout & \Add0~16_combout ))
+
+ .dataa(gnd),
+ .datab(\Add0~18_combout ),
+ .datac(\Add0~20_combout ),
+ .datad(\Add0~16_combout ),
+ .cin(gnd),
+ .combout(\Mult6|mult_core|romout[2][6]~combout ),
+ .cout());
+// synopsys translate_off
+defparam \Mult6|mult_core|romout[2][6] .lut_mask = 16'hCF0C;
+defparam \Mult6|mult_core|romout[2][6] .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X16_Y15_N26
+cycloneiii_lcell_comb \Mult6|mult_core|romout[2][5] (
+// Equation(s):
+// \Mult6|mult_core|romout[2][5]~combout = (\Add0~18_combout & (\Add0~20_combout $ (\Add0~16_combout ))) # (!\Add0~18_combout & (!\Add0~20_combout & !\Add0~16_combout ))
+
+ .dataa(gnd),
+ .datab(\Add0~18_combout ),
+ .datac(\Add0~20_combout ),
+ .datad(\Add0~16_combout ),
+ .cin(gnd),
+ .combout(\Mult6|mult_core|romout[2][5]~combout ),
+ .cout());
+// synopsys translate_off
+defparam \Mult6|mult_core|romout[2][5] .lut_mask = 16'h0CC3;
+defparam \Mult6|mult_core|romout[2][5] .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X16_Y15_N24
+cycloneiii_lcell_comb \Mult6|mult_core|padder|adder[1]|auto_generated|op_1~4 (
+// Equation(s):
+// \Mult6|mult_core|padder|adder[1]|auto_generated|op_1~4_combout = \Add0~20_combout $ (\Mult6|mult_core|romout[2][6]~combout $ (\Mult6|mult_core|padder|adder[1]|auto_generated|op_1~3 ))
+
+ .dataa(\Add0~20_combout ),
+ .datab(\Mult6|mult_core|romout[2][6]~combout ),
+ .datac(gnd),
+ .datad(gnd),
+ .cin(\Mult6|mult_core|padder|adder[1]|auto_generated|op_1~3 ),
+ .combout(\Mult6|mult_core|padder|adder[1]|auto_generated|op_1~4_combout ),
+ .cout());
+// synopsys translate_off
+defparam \Mult6|mult_core|padder|adder[1]|auto_generated|op_1~4 .lut_mask = 16'h9696;
+defparam \Mult6|mult_core|padder|adder[1]|auto_generated|op_1~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X16_Y15_N18
+cycloneiii_lcell_comb \Mult6|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~12 (
+// Equation(s):
+// \Mult6|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~12_combout = \Mult6|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~11 $ (!\Mult6|mult_core|padder|adder[1]|auto_generated|op_1~4_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\Mult6|mult_core|padder|adder[1]|auto_generated|op_1~4_combout ),
+ .cin(\Mult6|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~11 ),
+ .combout(\Mult6|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~12_combout ),
+ .cout());
+// synopsys translate_off
+defparam \Mult6|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~12 .lut_mask = 16'hF00F;
+defparam \Mult6|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~12 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X15_Y15_N18
+cycloneiii_lcell_comb \Add40~18 (
+// Equation(s):
+// \Add40~18_combout = \first_red_pos_x[9]~input_o $ (\Add40~17 $ (\Mult6|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~12_combout ))
+
+ .dataa(\first_red_pos_x[9]~input_o ),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\Mult6|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~12_combout ),
+ .cin(\Add40~17 ),
+ .combout(\Add40~18_combout ),
+ .cout());
+// synopsys translate_off
+defparam \Add40~18 .lut_mask = 16'hA55A;
+defparam \Add40~18 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X15_Y12_N16
+cycloneiii_lcell_comb \Mult7|mult_core|padder|adder[0]|auto_generated|op_1~0 (
+// Equation(s):
+// \Mult7|mult_core|padder|adder[0]|auto_generated|op_1~0_combout = (\Add0~8_combout & (\Mult7|mult_core|romout[0][5]~combout $ (VCC))) # (!\Add0~8_combout & (\Mult7|mult_core|romout[0][5]~combout & VCC))
+// \Mult7|mult_core|padder|adder[0]|auto_generated|op_1~1 = CARRY((\Add0~8_combout & \Mult7|mult_core|romout[0][5]~combout ))
+
+ .dataa(\Add0~8_combout ),
+ .datab(\Mult7|mult_core|romout[0][5]~combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(\Mult7|mult_core|padder|adder[0]|auto_generated|op_1~0_combout ),
+ .cout(\Mult7|mult_core|padder|adder[0]|auto_generated|op_1~1 ));
+// synopsys translate_off
+defparam \Mult7|mult_core|padder|adder[0]|auto_generated|op_1~0 .lut_mask = 16'h6688;
+defparam \Mult7|mult_core|padder|adder[0]|auto_generated|op_1~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X14_Y12_N12
+cycloneiii_lcell_comb \Add41~0 (
+// Equation(s):
+// \Add41~0_combout = (\first_red_pos_x[0]~input_o & (\Mult7|mult_core|padder|adder[0]|auto_generated|op_1~0_combout $ (VCC))) # (!\first_red_pos_x[0]~input_o & (\Mult7|mult_core|padder|adder[0]|auto_generated|op_1~0_combout & VCC))
+// \Add41~1 = CARRY((\first_red_pos_x[0]~input_o & \Mult7|mult_core|padder|adder[0]|auto_generated|op_1~0_combout ))
+
+ .dataa(\first_red_pos_x[0]~input_o ),
+ .datab(\Mult7|mult_core|padder|adder[0]|auto_generated|op_1~0_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(\Add41~0_combout ),
+ .cout(\Add41~1 ));
+// synopsys translate_off
+defparam \Add41~0 .lut_mask = 16'h6688;
+defparam \Add41~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X15_Y12_N18
+cycloneiii_lcell_comb \Mult7|mult_core|padder|adder[0]|auto_generated|op_1~2 (
+// Equation(s):
+// \Mult7|mult_core|padder|adder[0]|auto_generated|op_1~2_combout = (\Add0~10_combout & ((\Mult1|mult_core|romout[0][5]~combout & (\Mult7|mult_core|padder|adder[0]|auto_generated|op_1~1 & VCC)) # (!\Mult1|mult_core|romout[0][5]~combout &
+// (!\Mult7|mult_core|padder|adder[0]|auto_generated|op_1~1 )))) # (!\Add0~10_combout & ((\Mult1|mult_core|romout[0][5]~combout & (!\Mult7|mult_core|padder|adder[0]|auto_generated|op_1~1 )) # (!\Mult1|mult_core|romout[0][5]~combout &
+// ((\Mult7|mult_core|padder|adder[0]|auto_generated|op_1~1 ) # (GND)))))
+// \Mult7|mult_core|padder|adder[0]|auto_generated|op_1~3 = CARRY((\Add0~10_combout & (!\Mult1|mult_core|romout[0][5]~combout & !\Mult7|mult_core|padder|adder[0]|auto_generated|op_1~1 )) # (!\Add0~10_combout &
+// ((!\Mult7|mult_core|padder|adder[0]|auto_generated|op_1~1 ) # (!\Mult1|mult_core|romout[0][5]~combout ))))
+
+ .dataa(\Add0~10_combout ),
+ .datab(\Mult1|mult_core|romout[0][5]~combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Mult7|mult_core|padder|adder[0]|auto_generated|op_1~1 ),
+ .combout(\Mult7|mult_core|padder|adder[0]|auto_generated|op_1~2_combout ),
+ .cout(\Mult7|mult_core|padder|adder[0]|auto_generated|op_1~3 ));
+// synopsys translate_off
+defparam \Mult7|mult_core|padder|adder[0]|auto_generated|op_1~2 .lut_mask = 16'h9617;
+defparam \Mult7|mult_core|padder|adder[0]|auto_generated|op_1~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X14_Y12_N14
+cycloneiii_lcell_comb \Add41~2 (
+// Equation(s):
+// \Add41~2_combout = (\first_red_pos_x[1]~input_o & ((\Mult7|mult_core|padder|adder[0]|auto_generated|op_1~2_combout & (\Add41~1 & VCC)) # (!\Mult7|mult_core|padder|adder[0]|auto_generated|op_1~2_combout & (!\Add41~1 )))) #
+// (!\first_red_pos_x[1]~input_o & ((\Mult7|mult_core|padder|adder[0]|auto_generated|op_1~2_combout & (!\Add41~1 )) # (!\Mult7|mult_core|padder|adder[0]|auto_generated|op_1~2_combout & ((\Add41~1 ) # (GND)))))
+// \Add41~3 = CARRY((\first_red_pos_x[1]~input_o & (!\Mult7|mult_core|padder|adder[0]|auto_generated|op_1~2_combout & !\Add41~1 )) # (!\first_red_pos_x[1]~input_o & ((!\Add41~1 ) # (!\Mult7|mult_core|padder|adder[0]|auto_generated|op_1~2_combout ))))
+
+ .dataa(\first_red_pos_x[1]~input_o ),
+ .datab(\Mult7|mult_core|padder|adder[0]|auto_generated|op_1~2_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add41~1 ),
+ .combout(\Add41~2_combout ),
+ .cout(\Add41~3 ));
+// synopsys translate_off
+defparam \Add41~2 .lut_mask = 16'h9617;
+defparam \Add41~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X15_Y12_N20
+cycloneiii_lcell_comb \Mult7|mult_core|padder|adder[0]|auto_generated|op_1~4 (
+// Equation(s):
+// \Mult7|mult_core|padder|adder[0]|auto_generated|op_1~4_combout = ((\Mult1|mult_core|romout[1][2]~0_combout $ (\Mult1|mult_core|romout[0][6]~combout $ (!\Mult7|mult_core|padder|adder[0]|auto_generated|op_1~3 )))) # (GND)
+// \Mult7|mult_core|padder|adder[0]|auto_generated|op_1~5 = CARRY((\Mult1|mult_core|romout[1][2]~0_combout & ((\Mult1|mult_core|romout[0][6]~combout ) # (!\Mult7|mult_core|padder|adder[0]|auto_generated|op_1~3 ))) #
+// (!\Mult1|mult_core|romout[1][2]~0_combout & (\Mult1|mult_core|romout[0][6]~combout & !\Mult7|mult_core|padder|adder[0]|auto_generated|op_1~3 )))
+
+ .dataa(\Mult1|mult_core|romout[1][2]~0_combout ),
+ .datab(\Mult1|mult_core|romout[0][6]~combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Mult7|mult_core|padder|adder[0]|auto_generated|op_1~3 ),
+ .combout(\Mult7|mult_core|padder|adder[0]|auto_generated|op_1~4_combout ),
+ .cout(\Mult7|mult_core|padder|adder[0]|auto_generated|op_1~5 ));
+// synopsys translate_off
+defparam \Mult7|mult_core|padder|adder[0]|auto_generated|op_1~4 .lut_mask = 16'h698E;
+defparam \Mult7|mult_core|padder|adder[0]|auto_generated|op_1~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X14_Y12_N16
+cycloneiii_lcell_comb \Add41~4 (
+// Equation(s):
+// \Add41~4_combout = ((\Mult7|mult_core|padder|adder[0]|auto_generated|op_1~4_combout $ (\first_red_pos_x[2]~input_o $ (!\Add41~3 )))) # (GND)
+// \Add41~5 = CARRY((\Mult7|mult_core|padder|adder[0]|auto_generated|op_1~4_combout & ((\first_red_pos_x[2]~input_o ) # (!\Add41~3 ))) # (!\Mult7|mult_core|padder|adder[0]|auto_generated|op_1~4_combout & (\first_red_pos_x[2]~input_o & !\Add41~3 )))
+
+ .dataa(\Mult7|mult_core|padder|adder[0]|auto_generated|op_1~4_combout ),
+ .datab(\first_red_pos_x[2]~input_o ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add41~3 ),
+ .combout(\Add41~4_combout ),
+ .cout(\Add41~5 ));
+// synopsys translate_off
+defparam \Add41~4 .lut_mask = 16'h698E;
+defparam \Add41~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X15_Y12_N22
+cycloneiii_lcell_comb \Mult7|mult_core|padder|adder[0]|auto_generated|op_1~6 (
+// Equation(s):
+// \Mult7|mult_core|padder|adder[0]|auto_generated|op_1~6_combout = (\Mult1|mult_core|romout[1][3]~combout & ((\Mult1|mult_core|romout[0][7]~1_combout & (\Mult7|mult_core|padder|adder[0]|auto_generated|op_1~5 & VCC)) #
+// (!\Mult1|mult_core|romout[0][7]~1_combout & (!\Mult7|mult_core|padder|adder[0]|auto_generated|op_1~5 )))) # (!\Mult1|mult_core|romout[1][3]~combout & ((\Mult1|mult_core|romout[0][7]~1_combout & (!\Mult7|mult_core|padder|adder[0]|auto_generated|op_1~5
+// )) # (!\Mult1|mult_core|romout[0][7]~1_combout & ((\Mult7|mult_core|padder|adder[0]|auto_generated|op_1~5 ) # (GND)))))
+// \Mult7|mult_core|padder|adder[0]|auto_generated|op_1~7 = CARRY((\Mult1|mult_core|romout[1][3]~combout & (!\Mult1|mult_core|romout[0][7]~1_combout & !\Mult7|mult_core|padder|adder[0]|auto_generated|op_1~5 )) # (!\Mult1|mult_core|romout[1][3]~combout &
+// ((!\Mult7|mult_core|padder|adder[0]|auto_generated|op_1~5 ) # (!\Mult1|mult_core|romout[0][7]~1_combout ))))
+
+ .dataa(\Mult1|mult_core|romout[1][3]~combout ),
+ .datab(\Mult1|mult_core|romout[0][7]~1_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Mult7|mult_core|padder|adder[0]|auto_generated|op_1~5 ),
+ .combout(\Mult7|mult_core|padder|adder[0]|auto_generated|op_1~6_combout ),
+ .cout(\Mult7|mult_core|padder|adder[0]|auto_generated|op_1~7 ));
+// synopsys translate_off
+defparam \Mult7|mult_core|padder|adder[0]|auto_generated|op_1~6 .lut_mask = 16'h9617;
+defparam \Mult7|mult_core|padder|adder[0]|auto_generated|op_1~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X14_Y12_N18
+cycloneiii_lcell_comb \Add41~6 (
+// Equation(s):
+// \Add41~6_combout = (\first_red_pos_x[3]~input_o & ((\Mult7|mult_core|padder|adder[0]|auto_generated|op_1~6_combout & (\Add41~5 & VCC)) # (!\Mult7|mult_core|padder|adder[0]|auto_generated|op_1~6_combout & (!\Add41~5 )))) #
+// (!\first_red_pos_x[3]~input_o & ((\Mult7|mult_core|padder|adder[0]|auto_generated|op_1~6_combout & (!\Add41~5 )) # (!\Mult7|mult_core|padder|adder[0]|auto_generated|op_1~6_combout & ((\Add41~5 ) # (GND)))))
+// \Add41~7 = CARRY((\first_red_pos_x[3]~input_o & (!\Mult7|mult_core|padder|adder[0]|auto_generated|op_1~6_combout & !\Add41~5 )) # (!\first_red_pos_x[3]~input_o & ((!\Add41~5 ) # (!\Mult7|mult_core|padder|adder[0]|auto_generated|op_1~6_combout ))))
+
+ .dataa(\first_red_pos_x[3]~input_o ),
+ .datab(\Mult7|mult_core|padder|adder[0]|auto_generated|op_1~6_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add41~5 ),
+ .combout(\Add41~6_combout ),
+ .cout(\Add41~7 ));
+// synopsys translate_off
+defparam \Add41~6 .lut_mask = 16'h9617;
+defparam \Add41~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X15_Y12_N24
+cycloneiii_lcell_comb \Mult7|mult_core|padder|adder[0]|auto_generated|op_1~8 (
+// Equation(s):
+// \Mult7|mult_core|padder|adder[0]|auto_generated|op_1~8_combout = (\Mult1|mult_core|romout[1][4]~2_combout & (\Mult7|mult_core|padder|adder[0]|auto_generated|op_1~7 $ (GND))) # (!\Mult1|mult_core|romout[1][4]~2_combout &
+// (!\Mult7|mult_core|padder|adder[0]|auto_generated|op_1~7 & VCC))
+// \Mult7|mult_core|padder|adder[0]|auto_generated|op_1~9 = CARRY((\Mult1|mult_core|romout[1][4]~2_combout & !\Mult7|mult_core|padder|adder[0]|auto_generated|op_1~7 ))
+
+ .dataa(gnd),
+ .datab(\Mult1|mult_core|romout[1][4]~2_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Mult7|mult_core|padder|adder[0]|auto_generated|op_1~7 ),
+ .combout(\Mult7|mult_core|padder|adder[0]|auto_generated|op_1~8_combout ),
+ .cout(\Mult7|mult_core|padder|adder[0]|auto_generated|op_1~9 ));
+// synopsys translate_off
+defparam \Mult7|mult_core|padder|adder[0]|auto_generated|op_1~8 .lut_mask = 16'hC30C;
+defparam \Mult7|mult_core|padder|adder[0]|auto_generated|op_1~8 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X14_Y12_N0
+cycloneiii_lcell_comb \Mult7|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~0 (
+// Equation(s):
+// \Mult7|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~0_combout = (\Add0~16_combout & (\Mult7|mult_core|padder|adder[0]|auto_generated|op_1~8_combout $ (VCC))) # (!\Add0~16_combout &
+// (\Mult7|mult_core|padder|adder[0]|auto_generated|op_1~8_combout & VCC))
+// \Mult7|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~1 = CARRY((\Add0~16_combout & \Mult7|mult_core|padder|adder[0]|auto_generated|op_1~8_combout ))
+
+ .dataa(\Add0~16_combout ),
+ .datab(\Mult7|mult_core|padder|adder[0]|auto_generated|op_1~8_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(\Mult7|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~0_combout ),
+ .cout(\Mult7|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~1 ));
+// synopsys translate_off
+defparam \Mult7|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~0 .lut_mask = 16'h6688;
+defparam \Mult7|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X14_Y12_N20
+cycloneiii_lcell_comb \Add41~8 (
+// Equation(s):
+// \Add41~8_combout = ((\first_red_pos_x[4]~input_o $ (\Mult7|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~0_combout $ (!\Add41~7 )))) # (GND)
+// \Add41~9 = CARRY((\first_red_pos_x[4]~input_o & ((\Mult7|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~0_combout ) # (!\Add41~7 ))) # (!\first_red_pos_x[4]~input_o &
+// (\Mult7|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~0_combout & !\Add41~7 )))
+
+ .dataa(\first_red_pos_x[4]~input_o ),
+ .datab(\Mult7|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~0_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add41~7 ),
+ .combout(\Add41~8_combout ),
+ .cout(\Add41~9 ));
+// synopsys translate_off
+defparam \Add41~8 .lut_mask = 16'h698E;
+defparam \Add41~8 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X15_Y12_N26
+cycloneiii_lcell_comb \Mult7|mult_core|padder|adder[0]|auto_generated|op_1~10 (
+// Equation(s):
+// \Mult7|mult_core|padder|adder[0]|auto_generated|op_1~10_combout = (\Mult1|mult_core|romout[1][5]~3_combout & (!\Mult7|mult_core|padder|adder[0]|auto_generated|op_1~9 )) # (!\Mult1|mult_core|romout[1][5]~3_combout &
+// ((\Mult7|mult_core|padder|adder[0]|auto_generated|op_1~9 ) # (GND)))
+// \Mult7|mult_core|padder|adder[0]|auto_generated|op_1~11 = CARRY((!\Mult7|mult_core|padder|adder[0]|auto_generated|op_1~9 ) # (!\Mult1|mult_core|romout[1][5]~3_combout ))
+
+ .dataa(gnd),
+ .datab(\Mult1|mult_core|romout[1][5]~3_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Mult7|mult_core|padder|adder[0]|auto_generated|op_1~9 ),
+ .combout(\Mult7|mult_core|padder|adder[0]|auto_generated|op_1~10_combout ),
+ .cout(\Mult7|mult_core|padder|adder[0]|auto_generated|op_1~11 ));
+// synopsys translate_off
+defparam \Mult7|mult_core|padder|adder[0]|auto_generated|op_1~10 .lut_mask = 16'h3C3F;
+defparam \Mult7|mult_core|padder|adder[0]|auto_generated|op_1~10 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X14_Y12_N2
+cycloneiii_lcell_comb \Mult7|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~2 (
+// Equation(s):
+// \Mult7|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~2_combout = (\Add0~18_combout & ((\Mult7|mult_core|padder|adder[0]|auto_generated|op_1~10_combout & (\Mult7|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~1 & VCC)) #
+// (!\Mult7|mult_core|padder|adder[0]|auto_generated|op_1~10_combout & (!\Mult7|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~1 )))) # (!\Add0~18_combout & ((\Mult7|mult_core|padder|adder[0]|auto_generated|op_1~10_combout &
+// (!\Mult7|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~1 )) # (!\Mult7|mult_core|padder|adder[0]|auto_generated|op_1~10_combout & ((\Mult7|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~1 ) # (GND)))))
+// \Mult7|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~3 = CARRY((\Add0~18_combout & (!\Mult7|mult_core|padder|adder[0]|auto_generated|op_1~10_combout & !\Mult7|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~1 )) #
+// (!\Add0~18_combout & ((!\Mult7|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~1 ) # (!\Mult7|mult_core|padder|adder[0]|auto_generated|op_1~10_combout ))))
+
+ .dataa(\Add0~18_combout ),
+ .datab(\Mult7|mult_core|padder|adder[0]|auto_generated|op_1~10_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Mult7|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~1 ),
+ .combout(\Mult7|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~2_combout ),
+ .cout(\Mult7|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~3 ));
+// synopsys translate_off
+defparam \Mult7|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~2 .lut_mask = 16'h9617;
+defparam \Mult7|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X14_Y12_N22
+cycloneiii_lcell_comb \Add41~10 (
+// Equation(s):
+// \Add41~10_combout = (\first_red_pos_x[5]~input_o & ((\Mult7|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~2_combout & (\Add41~9 & VCC)) # (!\Mult7|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~2_combout & (!\Add41~9 )))) #
+// (!\first_red_pos_x[5]~input_o & ((\Mult7|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~2_combout & (!\Add41~9 )) # (!\Mult7|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~2_combout & ((\Add41~9 ) # (GND)))))
+// \Add41~11 = CARRY((\first_red_pos_x[5]~input_o & (!\Mult7|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~2_combout & !\Add41~9 )) # (!\first_red_pos_x[5]~input_o & ((!\Add41~9 ) #
+// (!\Mult7|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~2_combout ))))
+
+ .dataa(\first_red_pos_x[5]~input_o ),
+ .datab(\Mult7|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~2_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add41~9 ),
+ .combout(\Add41~10_combout ),
+ .cout(\Add41~11 ));
+// synopsys translate_off
+defparam \Add41~10 .lut_mask = 16'h9617;
+defparam \Add41~10 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X15_Y12_N28
+cycloneiii_lcell_comb \Mult7|mult_core|padder|adder[0]|auto_generated|op_1~12 (
+// Equation(s):
+// \Mult7|mult_core|padder|adder[0]|auto_generated|op_1~12_combout = (\Mult1|mult_core|romout[1][6]~combout & (\Mult7|mult_core|padder|adder[0]|auto_generated|op_1~11 $ (GND))) # (!\Mult1|mult_core|romout[1][6]~combout &
+// (!\Mult7|mult_core|padder|adder[0]|auto_generated|op_1~11 & VCC))
+// \Mult7|mult_core|padder|adder[0]|auto_generated|op_1~13 = CARRY((\Mult1|mult_core|romout[1][6]~combout & !\Mult7|mult_core|padder|adder[0]|auto_generated|op_1~11 ))
+
+ .dataa(\Mult1|mult_core|romout[1][6]~combout ),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Mult7|mult_core|padder|adder[0]|auto_generated|op_1~11 ),
+ .combout(\Mult7|mult_core|padder|adder[0]|auto_generated|op_1~12_combout ),
+ .cout(\Mult7|mult_core|padder|adder[0]|auto_generated|op_1~13 ));
+// synopsys translate_off
+defparam \Mult7|mult_core|padder|adder[0]|auto_generated|op_1~12 .lut_mask = 16'hA50A;
+defparam \Mult7|mult_core|padder|adder[0]|auto_generated|op_1~12 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X14_Y12_N4
+cycloneiii_lcell_comb \Mult7|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~4 (
+// Equation(s):
+// \Mult7|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~4_combout = ((\Mult1|mult_core|romout[2][2]~combout $ (\Mult7|mult_core|padder|adder[0]|auto_generated|op_1~12_combout $
+// (!\Mult7|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~3 )))) # (GND)
+// \Mult7|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~5 = CARRY((\Mult1|mult_core|romout[2][2]~combout & ((\Mult7|mult_core|padder|adder[0]|auto_generated|op_1~12_combout ) #
+// (!\Mult7|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~3 ))) # (!\Mult1|mult_core|romout[2][2]~combout & (\Mult7|mult_core|padder|adder[0]|auto_generated|op_1~12_combout & !\Mult7|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~3
+// )))
+
+ .dataa(\Mult1|mult_core|romout[2][2]~combout ),
+ .datab(\Mult7|mult_core|padder|adder[0]|auto_generated|op_1~12_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Mult7|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~3 ),
+ .combout(\Mult7|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~4_combout ),
+ .cout(\Mult7|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~5 ));
+// synopsys translate_off
+defparam \Mult7|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~4 .lut_mask = 16'h698E;
+defparam \Mult7|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X14_Y12_N24
+cycloneiii_lcell_comb \Add41~12 (
+// Equation(s):
+// \Add41~12_combout = ((\first_red_pos_x[6]~input_o $ (\Mult7|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~4_combout $ (!\Add41~11 )))) # (GND)
+// \Add41~13 = CARRY((\first_red_pos_x[6]~input_o & ((\Mult7|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~4_combout ) # (!\Add41~11 ))) # (!\first_red_pos_x[6]~input_o &
+// (\Mult7|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~4_combout & !\Add41~11 )))
+
+ .dataa(\first_red_pos_x[6]~input_o ),
+ .datab(\Mult7|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~4_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add41~11 ),
+ .combout(\Add41~12_combout ),
+ .cout(\Add41~13 ));
+// synopsys translate_off
+defparam \Add41~12 .lut_mask = 16'h698E;
+defparam \Add41~12 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X15_Y12_N30
+cycloneiii_lcell_comb \Mult7|mult_core|padder|adder[0]|auto_generated|op_1~14 (
+// Equation(s):
+// \Mult7|mult_core|padder|adder[0]|auto_generated|op_1~14_combout = \Mult1|mult_core|romout[1][7]~4_combout $ (\Mult7|mult_core|padder|adder[0]|auto_generated|op_1~13 )
+
+ .dataa(\Mult1|mult_core|romout[1][7]~4_combout ),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .cin(\Mult7|mult_core|padder|adder[0]|auto_generated|op_1~13 ),
+ .combout(\Mult7|mult_core|padder|adder[0]|auto_generated|op_1~14_combout ),
+ .cout());
+// synopsys translate_off
+defparam \Mult7|mult_core|padder|adder[0]|auto_generated|op_1~14 .lut_mask = 16'h5A5A;
+defparam \Mult7|mult_core|padder|adder[0]|auto_generated|op_1~14 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X14_Y12_N6
+cycloneiii_lcell_comb \Mult7|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~6 (
+// Equation(s):
+// \Mult7|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~6_combout = (\Mult1|mult_core|romout[2][3]~5_combout & ((\Mult7|mult_core|padder|adder[0]|auto_generated|op_1~14_combout &
+// (\Mult7|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~5 & VCC)) # (!\Mult7|mult_core|padder|adder[0]|auto_generated|op_1~14_combout & (!\Mult7|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~5 )))) #
+// (!\Mult1|mult_core|romout[2][3]~5_combout & ((\Mult7|mult_core|padder|adder[0]|auto_generated|op_1~14_combout & (!\Mult7|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~5 )) # (!\Mult7|mult_core|padder|adder[0]|auto_generated|op_1~14_combout
+// & ((\Mult7|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~5 ) # (GND)))))
+// \Mult7|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~7 = CARRY((\Mult1|mult_core|romout[2][3]~5_combout & (!\Mult7|mult_core|padder|adder[0]|auto_generated|op_1~14_combout &
+// !\Mult7|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~5 )) # (!\Mult1|mult_core|romout[2][3]~5_combout & ((!\Mult7|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~5 ) #
+// (!\Mult7|mult_core|padder|adder[0]|auto_generated|op_1~14_combout ))))
+
+ .dataa(\Mult1|mult_core|romout[2][3]~5_combout ),
+ .datab(\Mult7|mult_core|padder|adder[0]|auto_generated|op_1~14_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Mult7|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~5 ),
+ .combout(\Mult7|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~6_combout ),
+ .cout(\Mult7|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~7 ));
+// synopsys translate_off
+defparam \Mult7|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~6 .lut_mask = 16'h9617;
+defparam \Mult7|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X14_Y12_N26
+cycloneiii_lcell_comb \Add41~14 (
+// Equation(s):
+// \Add41~14_combout = (\Mult7|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~6_combout & ((\first_red_pos_x[7]~input_o & (\Add41~13 & VCC)) # (!\first_red_pos_x[7]~input_o & (!\Add41~13 )))) #
+// (!\Mult7|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~6_combout & ((\first_red_pos_x[7]~input_o & (!\Add41~13 )) # (!\first_red_pos_x[7]~input_o & ((\Add41~13 ) # (GND)))))
+// \Add41~15 = CARRY((\Mult7|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~6_combout & (!\first_red_pos_x[7]~input_o & !\Add41~13 )) # (!\Mult7|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~6_combout & ((!\Add41~13 ) #
+// (!\first_red_pos_x[7]~input_o ))))
+
+ .dataa(\Mult7|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~6_combout ),
+ .datab(\first_red_pos_x[7]~input_o ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add41~13 ),
+ .combout(\Add41~14_combout ),
+ .cout(\Add41~15 ));
+// synopsys translate_off
+defparam \Add41~14 .lut_mask = 16'h9617;
+defparam \Add41~14 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X15_Y13_N14
+cycloneiii_lcell_comb \Mult7|mult_core|padder|adder[1]|auto_generated|op_1~0 (
+// Equation(s):
+// \Mult7|mult_core|padder|adder[1]|auto_generated|op_1~0_combout = (\Mult1|mult_core|romout[2][4]~combout & (\Add0~20_combout $ (GND))) # (!\Mult1|mult_core|romout[2][4]~combout & (!\Add0~20_combout & VCC))
+// \Mult7|mult_core|padder|adder[1]|auto_generated|op_1~1 = CARRY((\Mult1|mult_core|romout[2][4]~combout & !\Add0~20_combout ))
+
+ .dataa(\Mult1|mult_core|romout[2][4]~combout ),
+ .datab(\Add0~20_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(\Mult7|mult_core|padder|adder[1]|auto_generated|op_1~0_combout ),
+ .cout(\Mult7|mult_core|padder|adder[1]|auto_generated|op_1~1 ));
+// synopsys translate_off
+defparam \Mult7|mult_core|padder|adder[1]|auto_generated|op_1~0 .lut_mask = 16'h9922;
+defparam \Mult7|mult_core|padder|adder[1]|auto_generated|op_1~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X14_Y12_N8
+cycloneiii_lcell_comb \Mult7|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~8 (
+// Equation(s):
+// \Mult7|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~8_combout = (\Mult7|mult_core|padder|adder[1]|auto_generated|op_1~0_combout & (\Mult7|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~7 $ (GND))) #
+// (!\Mult7|mult_core|padder|adder[1]|auto_generated|op_1~0_combout & (!\Mult7|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~7 & VCC))
+// \Mult7|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~9 = CARRY((\Mult7|mult_core|padder|adder[1]|auto_generated|op_1~0_combout & !\Mult7|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~7 ))
+
+ .dataa(gnd),
+ .datab(\Mult7|mult_core|padder|adder[1]|auto_generated|op_1~0_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Mult7|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~7 ),
+ .combout(\Mult7|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~8_combout ),
+ .cout(\Mult7|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~9 ));
+// synopsys translate_off
+defparam \Mult7|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~8 .lut_mask = 16'hC30C;
+defparam \Mult7|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~8 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X14_Y12_N28
+cycloneiii_lcell_comb \Add41~16 (
+// Equation(s):
+// \Add41~16_combout = ((\first_red_pos_x[8]~input_o $ (\Mult7|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~8_combout $ (!\Add41~15 )))) # (GND)
+// \Add41~17 = CARRY((\first_red_pos_x[8]~input_o & ((\Mult7|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~8_combout ) # (!\Add41~15 ))) # (!\first_red_pos_x[8]~input_o &
+// (\Mult7|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~8_combout & !\Add41~15 )))
+
+ .dataa(\first_red_pos_x[8]~input_o ),
+ .datab(\Mult7|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~8_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add41~15 ),
+ .combout(\Add41~16_combout ),
+ .cout(\Add41~17 ));
+// synopsys translate_off
+defparam \Add41~16 .lut_mask = 16'h698E;
+defparam \Add41~16 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X15_Y13_N16
+cycloneiii_lcell_comb \Mult7|mult_core|padder|adder[1]|auto_generated|op_1~2 (
+// Equation(s):
+// \Mult7|mult_core|padder|adder[1]|auto_generated|op_1~2_combout = \Mult1|mult_core|romout[2][5]~combout $ (\Add0~20_combout $ (!\Mult7|mult_core|padder|adder[1]|auto_generated|op_1~1 ))
+
+ .dataa(\Mult1|mult_core|romout[2][5]~combout ),
+ .datab(\Add0~20_combout ),
+ .datac(gnd),
+ .datad(gnd),
+ .cin(\Mult7|mult_core|padder|adder[1]|auto_generated|op_1~1 ),
+ .combout(\Mult7|mult_core|padder|adder[1]|auto_generated|op_1~2_combout ),
+ .cout());
+// synopsys translate_off
+defparam \Mult7|mult_core|padder|adder[1]|auto_generated|op_1~2 .lut_mask = 16'h6969;
+defparam \Mult7|mult_core|padder|adder[1]|auto_generated|op_1~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X14_Y12_N10
+cycloneiii_lcell_comb \Mult7|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~10 (
+// Equation(s):
+// \Mult7|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~10_combout = \Mult7|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~9 $ (\Mult7|mult_core|padder|adder[1]|auto_generated|op_1~2_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\Mult7|mult_core|padder|adder[1]|auto_generated|op_1~2_combout ),
+ .cin(\Mult7|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~9 ),
+ .combout(\Mult7|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~10_combout ),
+ .cout());
+// synopsys translate_off
+defparam \Mult7|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~10 .lut_mask = 16'h0FF0;
+defparam \Mult7|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~10 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X14_Y12_N30
+cycloneiii_lcell_comb \Add41~18 (
+// Equation(s):
+// \Add41~18_combout = \first_red_pos_x[9]~input_o $ (\Add41~17 $ (\Mult7|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~10_combout ))
+
+ .dataa(gnd),
+ .datab(\first_red_pos_x[9]~input_o ),
+ .datac(gnd),
+ .datad(\Mult7|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~10_combout ),
+ .cin(\Add41~17 ),
+ .combout(\Add41~18_combout ),
+ .cout());
+// synopsys translate_off
+defparam \Add41~18 .lut_mask = 16'hC33C;
+defparam \Add41~18 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X20_Y12_N0
+cycloneiii_lcell_comb \Mult8|mult_core|padder|adder[0]|auto_generated|op_1~1 (
+// Equation(s):
+// \Mult8|mult_core|padder|adder[0]|auto_generated|op_1~1_cout = CARRY((\Mult8|mult_core|romout[0][4]~combout & \Add0~8_combout ))
+
+ .dataa(\Mult8|mult_core|romout[0][4]~combout ),
+ .datab(\Add0~8_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(),
+ .cout(\Mult8|mult_core|padder|adder[0]|auto_generated|op_1~1_cout ));
+// synopsys translate_off
+defparam \Mult8|mult_core|padder|adder[0]|auto_generated|op_1~1 .lut_mask = 16'h0088;
+defparam \Mult8|mult_core|padder|adder[0]|auto_generated|op_1~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X20_Y12_N2
+cycloneiii_lcell_comb \Mult8|mult_core|padder|adder[0]|auto_generated|op_1~2 (
+// Equation(s):
+// \Mult8|mult_core|padder|adder[0]|auto_generated|op_1~2_combout = (\Mult8|mult_core|romout[0][5]~combout & ((\Mult0|mult_core|romout[1][1]~0_combout & (\Mult8|mult_core|padder|adder[0]|auto_generated|op_1~1_cout & VCC)) #
+// (!\Mult0|mult_core|romout[1][1]~0_combout & (!\Mult8|mult_core|padder|adder[0]|auto_generated|op_1~1_cout )))) # (!\Mult8|mult_core|romout[0][5]~combout & ((\Mult0|mult_core|romout[1][1]~0_combout &
+// (!\Mult8|mult_core|padder|adder[0]|auto_generated|op_1~1_cout )) # (!\Mult0|mult_core|romout[1][1]~0_combout & ((\Mult8|mult_core|padder|adder[0]|auto_generated|op_1~1_cout ) # (GND)))))
+// \Mult8|mult_core|padder|adder[0]|auto_generated|op_1~3 = CARRY((\Mult8|mult_core|romout[0][5]~combout & (!\Mult0|mult_core|romout[1][1]~0_combout & !\Mult8|mult_core|padder|adder[0]|auto_generated|op_1~1_cout )) #
+// (!\Mult8|mult_core|romout[0][5]~combout & ((!\Mult8|mult_core|padder|adder[0]|auto_generated|op_1~1_cout ) # (!\Mult0|mult_core|romout[1][1]~0_combout ))))
+
+ .dataa(\Mult8|mult_core|romout[0][5]~combout ),
+ .datab(\Mult0|mult_core|romout[1][1]~0_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Mult8|mult_core|padder|adder[0]|auto_generated|op_1~1_cout ),
+ .combout(\Mult8|mult_core|padder|adder[0]|auto_generated|op_1~2_combout ),
+ .cout(\Mult8|mult_core|padder|adder[0]|auto_generated|op_1~3 ));
+// synopsys translate_off
+defparam \Mult8|mult_core|padder|adder[0]|auto_generated|op_1~2 .lut_mask = 16'h9617;
+defparam \Mult8|mult_core|padder|adder[0]|auto_generated|op_1~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X20_Y8_N2
+cycloneiii_lcell_comb \Add42~0 (
+// Equation(s):
+// \Add42~0_combout = (\first_red_pos_x[0]~input_o & (\Mult8|mult_core|padder|adder[0]|auto_generated|op_1~2_combout $ (VCC))) # (!\first_red_pos_x[0]~input_o & (\Mult8|mult_core|padder|adder[0]|auto_generated|op_1~2_combout & VCC))
+// \Add42~1 = CARRY((\first_red_pos_x[0]~input_o & \Mult8|mult_core|padder|adder[0]|auto_generated|op_1~2_combout ))
+
+ .dataa(\first_red_pos_x[0]~input_o ),
+ .datab(\Mult8|mult_core|padder|adder[0]|auto_generated|op_1~2_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(\Add42~0_combout ),
+ .cout(\Add42~1 ));
+// synopsys translate_off
+defparam \Add42~0 .lut_mask = 16'h6688;
+defparam \Add42~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X23_Y12_N2
+cycloneiii_lcell_comb \Mult8|mult_core|romout[0][6] (
+// Equation(s):
+// \Mult8|mult_core|romout[0][6]~combout = (\Add0~6_combout & (((\Add0~4_combout & !\Add0~0_combout )) # (!\Add0~2_combout ))) # (!\Add0~6_combout & ((\Add0~4_combout & (!\Add0~2_combout & !\Add0~0_combout )) # (!\Add0~4_combout & (\Add0~2_combout &
+// \Add0~0_combout ))))
+
+ .dataa(\Add0~6_combout ),
+ .datab(\Add0~4_combout ),
+ .datac(\Add0~2_combout ),
+ .datad(\Add0~0_combout ),
+ .cin(gnd),
+ .combout(\Mult8|mult_core|romout[0][6]~combout ),
+ .cout());
+// synopsys translate_off
+defparam \Mult8|mult_core|romout[0][6] .lut_mask = 16'h1A8E;
+defparam \Mult8|mult_core|romout[0][6] .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X20_Y12_N4
+cycloneiii_lcell_comb \Mult8|mult_core|padder|adder[0]|auto_generated|op_1~4 (
+// Equation(s):
+// \Mult8|mult_core|padder|adder[0]|auto_generated|op_1~4_combout = ((\Mult0|mult_core|romout[1][2]~combout $ (\Mult8|mult_core|romout[0][6]~combout $ (!\Mult8|mult_core|padder|adder[0]|auto_generated|op_1~3 )))) # (GND)
+// \Mult8|mult_core|padder|adder[0]|auto_generated|op_1~5 = CARRY((\Mult0|mult_core|romout[1][2]~combout & ((\Mult8|mult_core|romout[0][6]~combout ) # (!\Mult8|mult_core|padder|adder[0]|auto_generated|op_1~3 ))) # (!\Mult0|mult_core|romout[1][2]~combout &
+// (\Mult8|mult_core|romout[0][6]~combout & !\Mult8|mult_core|padder|adder[0]|auto_generated|op_1~3 )))
+
+ .dataa(\Mult0|mult_core|romout[1][2]~combout ),
+ .datab(\Mult8|mult_core|romout[0][6]~combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Mult8|mult_core|padder|adder[0]|auto_generated|op_1~3 ),
+ .combout(\Mult8|mult_core|padder|adder[0]|auto_generated|op_1~4_combout ),
+ .cout(\Mult8|mult_core|padder|adder[0]|auto_generated|op_1~5 ));
+// synopsys translate_off
+defparam \Mult8|mult_core|padder|adder[0]|auto_generated|op_1~4 .lut_mask = 16'h698E;
+defparam \Mult8|mult_core|padder|adder[0]|auto_generated|op_1~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X20_Y8_N4
+cycloneiii_lcell_comb \Add42~2 (
+// Equation(s):
+// \Add42~2_combout = (\first_red_pos_x[1]~input_o & ((\Mult8|mult_core|padder|adder[0]|auto_generated|op_1~4_combout & (\Add42~1 & VCC)) # (!\Mult8|mult_core|padder|adder[0]|auto_generated|op_1~4_combout & (!\Add42~1 )))) #
+// (!\first_red_pos_x[1]~input_o & ((\Mult8|mult_core|padder|adder[0]|auto_generated|op_1~4_combout & (!\Add42~1 )) # (!\Mult8|mult_core|padder|adder[0]|auto_generated|op_1~4_combout & ((\Add42~1 ) # (GND)))))
+// \Add42~3 = CARRY((\first_red_pos_x[1]~input_o & (!\Mult8|mult_core|padder|adder[0]|auto_generated|op_1~4_combout & !\Add42~1 )) # (!\first_red_pos_x[1]~input_o & ((!\Add42~1 ) # (!\Mult8|mult_core|padder|adder[0]|auto_generated|op_1~4_combout ))))
+
+ .dataa(\first_red_pos_x[1]~input_o ),
+ .datab(\Mult8|mult_core|padder|adder[0]|auto_generated|op_1~4_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add42~1 ),
+ .combout(\Add42~2_combout ),
+ .cout(\Add42~3 ));
+// synopsys translate_off
+defparam \Add42~2 .lut_mask = 16'h9617;
+defparam \Add42~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X20_Y12_N6
+cycloneiii_lcell_comb \Mult8|mult_core|padder|adder[0]|auto_generated|op_1~6 (
+// Equation(s):
+// \Mult8|mult_core|padder|adder[0]|auto_generated|op_1~6_combout = (\Mult0|mult_core|romout[1][3]~combout & ((\Mult1|mult_core|romout[0][6]~combout & (\Mult8|mult_core|padder|adder[0]|auto_generated|op_1~5 & VCC)) #
+// (!\Mult1|mult_core|romout[0][6]~combout & (!\Mult8|mult_core|padder|adder[0]|auto_generated|op_1~5 )))) # (!\Mult0|mult_core|romout[1][3]~combout & ((\Mult1|mult_core|romout[0][6]~combout & (!\Mult8|mult_core|padder|adder[0]|auto_generated|op_1~5 )) #
+// (!\Mult1|mult_core|romout[0][6]~combout & ((\Mult8|mult_core|padder|adder[0]|auto_generated|op_1~5 ) # (GND)))))
+// \Mult8|mult_core|padder|adder[0]|auto_generated|op_1~7 = CARRY((\Mult0|mult_core|romout[1][3]~combout & (!\Mult1|mult_core|romout[0][6]~combout & !\Mult8|mult_core|padder|adder[0]|auto_generated|op_1~5 )) # (!\Mult0|mult_core|romout[1][3]~combout &
+// ((!\Mult8|mult_core|padder|adder[0]|auto_generated|op_1~5 ) # (!\Mult1|mult_core|romout[0][6]~combout ))))
+
+ .dataa(\Mult0|mult_core|romout[1][3]~combout ),
+ .datab(\Mult1|mult_core|romout[0][6]~combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Mult8|mult_core|padder|adder[0]|auto_generated|op_1~5 ),
+ .combout(\Mult8|mult_core|padder|adder[0]|auto_generated|op_1~6_combout ),
+ .cout(\Mult8|mult_core|padder|adder[0]|auto_generated|op_1~7 ));
+// synopsys translate_off
+defparam \Mult8|mult_core|padder|adder[0]|auto_generated|op_1~6 .lut_mask = 16'h9617;
+defparam \Mult8|mult_core|padder|adder[0]|auto_generated|op_1~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X20_Y8_N6
+cycloneiii_lcell_comb \Add42~4 (
+// Equation(s):
+// \Add42~4_combout = ((\Mult8|mult_core|padder|adder[0]|auto_generated|op_1~6_combout $ (\first_red_pos_x[2]~input_o $ (!\Add42~3 )))) # (GND)
+// \Add42~5 = CARRY((\Mult8|mult_core|padder|adder[0]|auto_generated|op_1~6_combout & ((\first_red_pos_x[2]~input_o ) # (!\Add42~3 ))) # (!\Mult8|mult_core|padder|adder[0]|auto_generated|op_1~6_combout & (\first_red_pos_x[2]~input_o & !\Add42~3 )))
+
+ .dataa(\Mult8|mult_core|padder|adder[0]|auto_generated|op_1~6_combout ),
+ .datab(\first_red_pos_x[2]~input_o ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add42~3 ),
+ .combout(\Add42~4_combout ),
+ .cout(\Add42~5 ));
+// synopsys translate_off
+defparam \Add42~4 .lut_mask = 16'h698E;
+defparam \Add42~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X20_Y12_N8
+cycloneiii_lcell_comb \Mult8|mult_core|padder|adder[0]|auto_generated|op_1~8 (
+// Equation(s):
+// \Mult8|mult_core|padder|adder[0]|auto_generated|op_1~8_combout = ((\Mult8|mult_core|romout[1][4]~0_combout $ (\Mult1|mult_core|romout[0][7]~1_combout $ (!\Mult8|mult_core|padder|adder[0]|auto_generated|op_1~7 )))) # (GND)
+// \Mult8|mult_core|padder|adder[0]|auto_generated|op_1~9 = CARRY((\Mult8|mult_core|romout[1][4]~0_combout & ((\Mult1|mult_core|romout[0][7]~1_combout ) # (!\Mult8|mult_core|padder|adder[0]|auto_generated|op_1~7 ))) #
+// (!\Mult8|mult_core|romout[1][4]~0_combout & (\Mult1|mult_core|romout[0][7]~1_combout & !\Mult8|mult_core|padder|adder[0]|auto_generated|op_1~7 )))
+
+ .dataa(\Mult8|mult_core|romout[1][4]~0_combout ),
+ .datab(\Mult1|mult_core|romout[0][7]~1_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Mult8|mult_core|padder|adder[0]|auto_generated|op_1~7 ),
+ .combout(\Mult8|mult_core|padder|adder[0]|auto_generated|op_1~8_combout ),
+ .cout(\Mult8|mult_core|padder|adder[0]|auto_generated|op_1~9 ));
+// synopsys translate_off
+defparam \Mult8|mult_core|padder|adder[0]|auto_generated|op_1~8 .lut_mask = 16'h698E;
+defparam \Mult8|mult_core|padder|adder[0]|auto_generated|op_1~8 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X20_Y12_N18
+cycloneiii_lcell_comb \Mult8|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~0 (
+// Equation(s):
+// \Mult8|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~0_combout = (\Add0~16_combout & (\Mult8|mult_core|padder|adder[0]|auto_generated|op_1~8_combout $ (VCC))) # (!\Add0~16_combout &
+// (\Mult8|mult_core|padder|adder[0]|auto_generated|op_1~8_combout & VCC))
+// \Mult8|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~1 = CARRY((\Add0~16_combout & \Mult8|mult_core|padder|adder[0]|auto_generated|op_1~8_combout ))
+
+ .dataa(\Add0~16_combout ),
+ .datab(\Mult8|mult_core|padder|adder[0]|auto_generated|op_1~8_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(\Mult8|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~0_combout ),
+ .cout(\Mult8|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~1 ));
+// synopsys translate_off
+defparam \Mult8|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~0 .lut_mask = 16'h6688;
+defparam \Mult8|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X20_Y8_N8
+cycloneiii_lcell_comb \Add42~6 (
+// Equation(s):
+// \Add42~6_combout = (\first_red_pos_x[3]~input_o & ((\Mult8|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~0_combout & (\Add42~5 & VCC)) # (!\Mult8|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~0_combout & (!\Add42~5 )))) #
+// (!\first_red_pos_x[3]~input_o & ((\Mult8|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~0_combout & (!\Add42~5 )) # (!\Mult8|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~0_combout & ((\Add42~5 ) # (GND)))))
+// \Add42~7 = CARRY((\first_red_pos_x[3]~input_o & (!\Mult8|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~0_combout & !\Add42~5 )) # (!\first_red_pos_x[3]~input_o & ((!\Add42~5 ) #
+// (!\Mult8|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~0_combout ))))
+
+ .dataa(\first_red_pos_x[3]~input_o ),
+ .datab(\Mult8|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~0_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add42~5 ),
+ .combout(\Add42~6_combout ),
+ .cout(\Add42~7 ));
+// synopsys translate_off
+defparam \Add42~6 .lut_mask = 16'h9617;
+defparam \Add42~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X20_Y12_N20
+cycloneiii_lcell_comb \Mult8|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~2 (
+// Equation(s):
+// \Mult8|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~2_combout = (\Mult8|mult_core|padder|adder[0]|auto_generated|op_1~10_combout & ((\Mult0|mult_core|romout[2][1]~combout &
+// (\Mult8|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~1 & VCC)) # (!\Mult0|mult_core|romout[2][1]~combout & (!\Mult8|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~1 )))) #
+// (!\Mult8|mult_core|padder|adder[0]|auto_generated|op_1~10_combout & ((\Mult0|mult_core|romout[2][1]~combout & (!\Mult8|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~1 )) # (!\Mult0|mult_core|romout[2][1]~combout &
+// ((\Mult8|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~1 ) # (GND)))))
+// \Mult8|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~3 = CARRY((\Mult8|mult_core|padder|adder[0]|auto_generated|op_1~10_combout & (!\Mult0|mult_core|romout[2][1]~combout & !\Mult8|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~1
+// )) # (!\Mult8|mult_core|padder|adder[0]|auto_generated|op_1~10_combout & ((!\Mult8|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~1 ) # (!\Mult0|mult_core|romout[2][1]~combout ))))
+
+ .dataa(\Mult8|mult_core|padder|adder[0]|auto_generated|op_1~10_combout ),
+ .datab(\Mult0|mult_core|romout[2][1]~combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Mult8|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~1 ),
+ .combout(\Mult8|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~2_combout ),
+ .cout(\Mult8|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~3 ));
+// synopsys translate_off
+defparam \Mult8|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~2 .lut_mask = 16'h9617;
+defparam \Mult8|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X20_Y8_N10
+cycloneiii_lcell_comb \Add42~8 (
+// Equation(s):
+// \Add42~8_combout = ((\Mult8|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~2_combout $ (\first_red_pos_x[4]~input_o $ (!\Add42~7 )))) # (GND)
+// \Add42~9 = CARRY((\Mult8|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~2_combout & ((\first_red_pos_x[4]~input_o ) # (!\Add42~7 ))) # (!\Mult8|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~2_combout &
+// (\first_red_pos_x[4]~input_o & !\Add42~7 )))
+
+ .dataa(\Mult8|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~2_combout ),
+ .datab(\first_red_pos_x[4]~input_o ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add42~7 ),
+ .combout(\Add42~8_combout ),
+ .cout(\Add42~9 ));
+// synopsys translate_off
+defparam \Add42~8 .lut_mask = 16'h698E;
+defparam \Add42~8 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X20_Y12_N22
+cycloneiii_lcell_comb \Mult8|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~4 (
+// Equation(s):
+// \Mult8|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~4_combout = ((\Mult8|mult_core|padder|adder[0]|auto_generated|op_1~12_combout $ (\Mult0|mult_core|romout[2][2]~combout $
+// (!\Mult8|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~3 )))) # (GND)
+// \Mult8|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~5 = CARRY((\Mult8|mult_core|padder|adder[0]|auto_generated|op_1~12_combout & ((\Mult0|mult_core|romout[2][2]~combout ) #
+// (!\Mult8|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~3 ))) # (!\Mult8|mult_core|padder|adder[0]|auto_generated|op_1~12_combout & (\Mult0|mult_core|romout[2][2]~combout & !\Mult8|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~3
+// )))
+
+ .dataa(\Mult8|mult_core|padder|adder[0]|auto_generated|op_1~12_combout ),
+ .datab(\Mult0|mult_core|romout[2][2]~combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Mult8|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~3 ),
+ .combout(\Mult8|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~4_combout ),
+ .cout(\Mult8|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~5 ));
+// synopsys translate_off
+defparam \Mult8|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~4 .lut_mask = 16'h698E;
+defparam \Mult8|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X20_Y8_N12
+cycloneiii_lcell_comb \Add42~10 (
+// Equation(s):
+// \Add42~10_combout = (\Mult8|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~4_combout & ((\first_red_pos_x[5]~input_o & (\Add42~9 & VCC)) # (!\first_red_pos_x[5]~input_o & (!\Add42~9 )))) #
+// (!\Mult8|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~4_combout & ((\first_red_pos_x[5]~input_o & (!\Add42~9 )) # (!\first_red_pos_x[5]~input_o & ((\Add42~9 ) # (GND)))))
+// \Add42~11 = CARRY((\Mult8|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~4_combout & (!\first_red_pos_x[5]~input_o & !\Add42~9 )) # (!\Mult8|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~4_combout & ((!\Add42~9 ) #
+// (!\first_red_pos_x[5]~input_o ))))
+
+ .dataa(\Mult8|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~4_combout ),
+ .datab(\first_red_pos_x[5]~input_o ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add42~9 ),
+ .combout(\Add42~10_combout ),
+ .cout(\Add42~11 ));
+// synopsys translate_off
+defparam \Add42~10 .lut_mask = 16'h9617;
+defparam \Add42~10 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X19_Y12_N22
+cycloneiii_lcell_comb \Mult1|mult_core|romout[1][6] (
+// Equation(s):
+// \Mult1|mult_core|romout[1][6]~combout = (\Add0~10_combout & (\Add0~12_combout & ((\Add0~8_combout ) # (!\Add0~14_combout )))) # (!\Add0~10_combout & ((\Add0~14_combout & ((!\Add0~12_combout ))) # (!\Add0~14_combout & (\Add0~8_combout &
+// \Add0~12_combout ))))
+
+ .dataa(\Add0~10_combout ),
+ .datab(\Add0~8_combout ),
+ .datac(\Add0~14_combout ),
+ .datad(\Add0~12_combout ),
+ .cin(gnd),
+ .combout(\Mult1|mult_core|romout[1][6]~combout ),
+ .cout());
+// synopsys translate_off
+defparam \Mult1|mult_core|romout[1][6] .lut_mask = 16'h8E50;
+defparam \Mult1|mult_core|romout[1][6] .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X19_Y12_N6
+cycloneiii_lcell_comb \Mult8|mult_core|romout[1][5] (
+// Equation(s):
+// \Mult8|mult_core|romout[1][5]~combout = (\Add0~8_combout & ((\Add0~14_combout & ((!\Add0~12_combout ))) # (!\Add0~14_combout & (\Add0~10_combout & \Add0~12_combout )))) # (!\Add0~8_combout & ((\Add0~10_combout & ((\Add0~12_combout ) #
+// (!\Add0~14_combout ))) # (!\Add0~10_combout & (!\Add0~14_combout & \Add0~12_combout ))))
+
+ .dataa(\Add0~10_combout ),
+ .datab(\Add0~8_combout ),
+ .datac(\Add0~14_combout ),
+ .datad(\Add0~12_combout ),
+ .cin(gnd),
+ .combout(\Mult8|mult_core|romout[1][5]~combout ),
+ .cout());
+// synopsys translate_off
+defparam \Mult8|mult_core|romout[1][5] .lut_mask = 16'h2BC2;
+defparam \Mult8|mult_core|romout[1][5] .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X20_Y12_N14
+cycloneiii_lcell_comb \Mult8|mult_core|padder|adder[0]|auto_generated|op_1~14 (
+// Equation(s):
+// \Mult8|mult_core|padder|adder[0]|auto_generated|op_1~14_combout = (\Mult1|mult_core|romout[1][6]~combout & (!\Mult8|mult_core|padder|adder[0]|auto_generated|op_1~13 )) # (!\Mult1|mult_core|romout[1][6]~combout &
+// ((\Mult8|mult_core|padder|adder[0]|auto_generated|op_1~13 ) # (GND)))
+// \Mult8|mult_core|padder|adder[0]|auto_generated|op_1~15 = CARRY((!\Mult8|mult_core|padder|adder[0]|auto_generated|op_1~13 ) # (!\Mult1|mult_core|romout[1][6]~combout ))
+
+ .dataa(gnd),
+ .datab(\Mult1|mult_core|romout[1][6]~combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Mult8|mult_core|padder|adder[0]|auto_generated|op_1~13 ),
+ .combout(\Mult8|mult_core|padder|adder[0]|auto_generated|op_1~14_combout ),
+ .cout(\Mult8|mult_core|padder|adder[0]|auto_generated|op_1~15 ));
+// synopsys translate_off
+defparam \Mult8|mult_core|padder|adder[0]|auto_generated|op_1~14 .lut_mask = 16'h3C3F;
+defparam \Mult8|mult_core|padder|adder[0]|auto_generated|op_1~14 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X20_Y12_N24
+cycloneiii_lcell_comb \Mult8|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~6 (
+// Equation(s):
+// \Mult8|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~6_combout = (\Mult0|mult_core|romout[2][3]~4_combout & ((\Mult8|mult_core|padder|adder[0]|auto_generated|op_1~14_combout &
+// (!\Mult8|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~5 )) # (!\Mult8|mult_core|padder|adder[0]|auto_generated|op_1~14_combout & ((\Mult8|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~5 ) # (GND))))) #
+// (!\Mult0|mult_core|romout[2][3]~4_combout & ((\Mult8|mult_core|padder|adder[0]|auto_generated|op_1~14_combout & (\Mult8|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~5 & VCC)) #
+// (!\Mult8|mult_core|padder|adder[0]|auto_generated|op_1~14_combout & (!\Mult8|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~5 ))))
+// \Mult8|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~7 = CARRY((\Mult0|mult_core|romout[2][3]~4_combout & ((!\Mult8|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~5 ) #
+// (!\Mult8|mult_core|padder|adder[0]|auto_generated|op_1~14_combout ))) # (!\Mult0|mult_core|romout[2][3]~4_combout & (!\Mult8|mult_core|padder|adder[0]|auto_generated|op_1~14_combout & !\Mult8|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~5
+// )))
+
+ .dataa(\Mult0|mult_core|romout[2][3]~4_combout ),
+ .datab(\Mult8|mult_core|padder|adder[0]|auto_generated|op_1~14_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Mult8|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~5 ),
+ .combout(\Mult8|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~6_combout ),
+ .cout(\Mult8|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~7 ));
+// synopsys translate_off
+defparam \Mult8|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~6 .lut_mask = 16'h692B;
+defparam \Mult8|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X20_Y8_N14
+cycloneiii_lcell_comb \Add42~12 (
+// Equation(s):
+// \Add42~12_combout = ((\Mult8|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~6_combout $ (\first_red_pos_x[6]~input_o $ (!\Add42~11 )))) # (GND)
+// \Add42~13 = CARRY((\Mult8|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~6_combout & ((\first_red_pos_x[6]~input_o ) # (!\Add42~11 ))) # (!\Mult8|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~6_combout &
+// (\first_red_pos_x[6]~input_o & !\Add42~11 )))
+
+ .dataa(\Mult8|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~6_combout ),
+ .datab(\first_red_pos_x[6]~input_o ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add42~11 ),
+ .combout(\Add42~12_combout ),
+ .cout(\Add42~13 ));
+// synopsys translate_off
+defparam \Add42~12 .lut_mask = 16'h698E;
+defparam \Add42~12 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X19_Y12_N24
+cycloneiii_lcell_comb \Mult1|mult_core|romout[1][7]~4 (
+// Equation(s):
+// \Mult1|mult_core|romout[1][7]~4_combout = (\Add0~14_combout & ((\Add0~10_combout ) # (\Add0~12_combout )))
+
+ .dataa(\Add0~10_combout ),
+ .datab(gnd),
+ .datac(\Add0~14_combout ),
+ .datad(\Add0~12_combout ),
+ .cin(gnd),
+ .combout(\Mult1|mult_core|romout[1][7]~4_combout ),
+ .cout());
+// synopsys translate_off
+defparam \Mult1|mult_core|romout[1][7]~4 .lut_mask = 16'hF0A0;
+defparam \Mult1|mult_core|romout[1][7]~4 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X20_Y12_N16
+cycloneiii_lcell_comb \Mult8|mult_core|padder|adder[0]|auto_generated|op_1~16 (
+// Equation(s):
+// \Mult8|mult_core|padder|adder[0]|auto_generated|op_1~16_combout = \Mult8|mult_core|padder|adder[0]|auto_generated|op_1~15 $ (!\Mult1|mult_core|romout[1][7]~4_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\Mult1|mult_core|romout[1][7]~4_combout ),
+ .cin(\Mult8|mult_core|padder|adder[0]|auto_generated|op_1~15 ),
+ .combout(\Mult8|mult_core|padder|adder[0]|auto_generated|op_1~16_combout ),
+ .cout());
+// synopsys translate_off
+defparam \Mult8|mult_core|padder|adder[0]|auto_generated|op_1~16 .lut_mask = 16'hF00F;
+defparam \Mult8|mult_core|padder|adder[0]|auto_generated|op_1~16 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X20_Y12_N26
+cycloneiii_lcell_comb \Mult8|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~8 (
+// Equation(s):
+// \Mult8|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~8_combout = ((\Mult8|mult_core|padder|adder[1]|auto_generated|op_1~0_combout $ (\Mult8|mult_core|padder|adder[0]|auto_generated|op_1~16_combout $
+// (!\Mult8|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~7 )))) # (GND)
+// \Mult8|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~9 = CARRY((\Mult8|mult_core|padder|adder[1]|auto_generated|op_1~0_combout & ((\Mult8|mult_core|padder|adder[0]|auto_generated|op_1~16_combout ) #
+// (!\Mult8|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~7 ))) # (!\Mult8|mult_core|padder|adder[1]|auto_generated|op_1~0_combout & (\Mult8|mult_core|padder|adder[0]|auto_generated|op_1~16_combout &
+// !\Mult8|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~7 )))
+
+ .dataa(\Mult8|mult_core|padder|adder[1]|auto_generated|op_1~0_combout ),
+ .datab(\Mult8|mult_core|padder|adder[0]|auto_generated|op_1~16_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Mult8|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~7 ),
+ .combout(\Mult8|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~8_combout ),
+ .cout(\Mult8|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~9 ));
+// synopsys translate_off
+defparam \Mult8|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~8 .lut_mask = 16'h698E;
+defparam \Mult8|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~8 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X20_Y8_N16
+cycloneiii_lcell_comb \Add42~14 (
+// Equation(s):
+// \Add42~14_combout = (\Mult8|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~8_combout & ((\first_red_pos_x[7]~input_o & (\Add42~13 & VCC)) # (!\first_red_pos_x[7]~input_o & (!\Add42~13 )))) #
+// (!\Mult8|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~8_combout & ((\first_red_pos_x[7]~input_o & (!\Add42~13 )) # (!\first_red_pos_x[7]~input_o & ((\Add42~13 ) # (GND)))))
+// \Add42~15 = CARRY((\Mult8|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~8_combout & (!\first_red_pos_x[7]~input_o & !\Add42~13 )) # (!\Mult8|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~8_combout & ((!\Add42~13 ) #
+// (!\first_red_pos_x[7]~input_o ))))
+
+ .dataa(\Mult8|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~8_combout ),
+ .datab(\first_red_pos_x[7]~input_o ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add42~13 ),
+ .combout(\Add42~14_combout ),
+ .cout(\Add42~15 ));
+// synopsys translate_off
+defparam \Add42~14 .lut_mask = 16'h9617;
+defparam \Add42~14 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X20_Y12_N28
+cycloneiii_lcell_comb \Mult8|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~10 (
+// Equation(s):
+// \Mult8|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~10_combout = (\Mult8|mult_core|padder|adder[1]|auto_generated|op_1~2_combout & (!\Mult8|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~9 )) #
+// (!\Mult8|mult_core|padder|adder[1]|auto_generated|op_1~2_combout & ((\Mult8|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~9 ) # (GND)))
+// \Mult8|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~11 = CARRY((!\Mult8|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~9 ) # (!\Mult8|mult_core|padder|adder[1]|auto_generated|op_1~2_combout ))
+
+ .dataa(gnd),
+ .datab(\Mult8|mult_core|padder|adder[1]|auto_generated|op_1~2_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Mult8|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~9 ),
+ .combout(\Mult8|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~10_combout ),
+ .cout(\Mult8|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~11 ));
+// synopsys translate_off
+defparam \Mult8|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~10 .lut_mask = 16'h3C3F;
+defparam \Mult8|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~10 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X20_Y8_N18
+cycloneiii_lcell_comb \Add42~16 (
+// Equation(s):
+// \Add42~16_combout = ((\Mult8|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~10_combout $ (\first_red_pos_x[8]~input_o $ (!\Add42~15 )))) # (GND)
+// \Add42~17 = CARRY((\Mult8|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~10_combout & ((\first_red_pos_x[8]~input_o ) # (!\Add42~15 ))) # (!\Mult8|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~10_combout &
+// (\first_red_pos_x[8]~input_o & !\Add42~15 )))
+
+ .dataa(\Mult8|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~10_combout ),
+ .datab(\first_red_pos_x[8]~input_o ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add42~15 ),
+ .combout(\Add42~16_combout ),
+ .cout(\Add42~17 ));
+// synopsys translate_off
+defparam \Add42~16 .lut_mask = 16'h698E;
+defparam \Add42~16 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X20_Y12_N30
+cycloneiii_lcell_comb \Mult8|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~12 (
+// Equation(s):
+// \Mult8|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~12_combout = \Mult8|mult_core|padder|adder[1]|auto_generated|op_1~4_combout $ (!\Mult8|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~11 )
+
+ .dataa(\Mult8|mult_core|padder|adder[1]|auto_generated|op_1~4_combout ),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .cin(\Mult8|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~11 ),
+ .combout(\Mult8|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~12_combout ),
+ .cout());
+// synopsys translate_off
+defparam \Mult8|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~12 .lut_mask = 16'hA5A5;
+defparam \Mult8|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~12 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X20_Y8_N20
+cycloneiii_lcell_comb \Add42~18 (
+// Equation(s):
+// \Add42~18_combout = \first_red_pos_x[9]~input_o $ (\Add42~17 $ (\Mult8|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~12_combout ))
+
+ .dataa(gnd),
+ .datab(\first_red_pos_x[9]~input_o ),
+ .datac(gnd),
+ .datad(\Mult8|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~12_combout ),
+ .cin(\Add42~17 ),
+ .combout(\Add42~18_combout ),
+ .cout());
+// synopsys translate_off
+defparam \Add42~18 .lut_mask = 16'hC33C;
+defparam \Add42~18 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y12_N12
+cycloneiii_lcell_comb \Add43~0 (
+// Equation(s):
+// \Add43~0_combout = (\first_red_pos_x[0]~input_o & (\Add23~0_combout $ (VCC))) # (!\first_red_pos_x[0]~input_o & (\Add23~0_combout & VCC))
+// \Add43~1 = CARRY((\first_red_pos_x[0]~input_o & \Add23~0_combout ))
+
+ .dataa(\first_red_pos_x[0]~input_o ),
+ .datab(\Add23~0_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(\Add43~0_combout ),
+ .cout(\Add43~1 ));
+// synopsys translate_off
+defparam \Add43~0 .lut_mask = 16'h6688;
+defparam \Add43~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y12_N14
+cycloneiii_lcell_comb \Add43~2 (
+// Equation(s):
+// \Add43~2_combout = (\Add23~2_combout & ((\first_red_pos_x[1]~input_o & (\Add43~1 & VCC)) # (!\first_red_pos_x[1]~input_o & (!\Add43~1 )))) # (!\Add23~2_combout & ((\first_red_pos_x[1]~input_o & (!\Add43~1 )) # (!\first_red_pos_x[1]~input_o &
+// ((\Add43~1 ) # (GND)))))
+// \Add43~3 = CARRY((\Add23~2_combout & (!\first_red_pos_x[1]~input_o & !\Add43~1 )) # (!\Add23~2_combout & ((!\Add43~1 ) # (!\first_red_pos_x[1]~input_o ))))
+
+ .dataa(\Add23~2_combout ),
+ .datab(\first_red_pos_x[1]~input_o ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add43~1 ),
+ .combout(\Add43~2_combout ),
+ .cout(\Add43~3 ));
+// synopsys translate_off
+defparam \Add43~2 .lut_mask = 16'h9617;
+defparam \Add43~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y12_N16
+cycloneiii_lcell_comb \Add43~4 (
+// Equation(s):
+// \Add43~4_combout = ((\first_red_pos_x[2]~input_o $ (\Add23~4_combout $ (!\Add43~3 )))) # (GND)
+// \Add43~5 = CARRY((\first_red_pos_x[2]~input_o & ((\Add23~4_combout ) # (!\Add43~3 ))) # (!\first_red_pos_x[2]~input_o & (\Add23~4_combout & !\Add43~3 )))
+
+ .dataa(\first_red_pos_x[2]~input_o ),
+ .datab(\Add23~4_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add43~3 ),
+ .combout(\Add43~4_combout ),
+ .cout(\Add43~5 ));
+// synopsys translate_off
+defparam \Add43~4 .lut_mask = 16'h698E;
+defparam \Add43~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y12_N18
+cycloneiii_lcell_comb \Add43~6 (
+// Equation(s):
+// \Add43~6_combout = (\Add23~6_combout & ((\first_red_pos_x[3]~input_o & (\Add43~5 & VCC)) # (!\first_red_pos_x[3]~input_o & (!\Add43~5 )))) # (!\Add23~6_combout & ((\first_red_pos_x[3]~input_o & (!\Add43~5 )) # (!\first_red_pos_x[3]~input_o &
+// ((\Add43~5 ) # (GND)))))
+// \Add43~7 = CARRY((\Add23~6_combout & (!\first_red_pos_x[3]~input_o & !\Add43~5 )) # (!\Add23~6_combout & ((!\Add43~5 ) # (!\first_red_pos_x[3]~input_o ))))
+
+ .dataa(\Add23~6_combout ),
+ .datab(\first_red_pos_x[3]~input_o ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add43~5 ),
+ .combout(\Add43~6_combout ),
+ .cout(\Add43~7 ));
+// synopsys translate_off
+defparam \Add43~6 .lut_mask = 16'h9617;
+defparam \Add43~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y12_N20
+cycloneiii_lcell_comb \Add43~8 (
+// Equation(s):
+// \Add43~8_combout = ((\first_red_pos_x[4]~input_o $ (\Add23~8_combout $ (!\Add43~7 )))) # (GND)
+// \Add43~9 = CARRY((\first_red_pos_x[4]~input_o & ((\Add23~8_combout ) # (!\Add43~7 ))) # (!\first_red_pos_x[4]~input_o & (\Add23~8_combout & !\Add43~7 )))
+
+ .dataa(\first_red_pos_x[4]~input_o ),
+ .datab(\Add23~8_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add43~7 ),
+ .combout(\Add43~8_combout ),
+ .cout(\Add43~9 ));
+// synopsys translate_off
+defparam \Add43~8 .lut_mask = 16'h698E;
+defparam \Add43~8 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y12_N22
+cycloneiii_lcell_comb \Add43~10 (
+// Equation(s):
+// \Add43~10_combout = (\Add23~10_combout & ((\first_red_pos_x[5]~input_o & (\Add43~9 & VCC)) # (!\first_red_pos_x[5]~input_o & (!\Add43~9 )))) # (!\Add23~10_combout & ((\first_red_pos_x[5]~input_o & (!\Add43~9 )) # (!\first_red_pos_x[5]~input_o &
+// ((\Add43~9 ) # (GND)))))
+// \Add43~11 = CARRY((\Add23~10_combout & (!\first_red_pos_x[5]~input_o & !\Add43~9 )) # (!\Add23~10_combout & ((!\Add43~9 ) # (!\first_red_pos_x[5]~input_o ))))
+
+ .dataa(\Add23~10_combout ),
+ .datab(\first_red_pos_x[5]~input_o ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add43~9 ),
+ .combout(\Add43~10_combout ),
+ .cout(\Add43~11 ));
+// synopsys translate_off
+defparam \Add43~10 .lut_mask = 16'h9617;
+defparam \Add43~10 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y12_N24
+cycloneiii_lcell_comb \Add43~12 (
+// Equation(s):
+// \Add43~12_combout = ((\Add23~12_combout $ (\first_red_pos_x[6]~input_o $ (!\Add43~11 )))) # (GND)
+// \Add43~13 = CARRY((\Add23~12_combout & ((\first_red_pos_x[6]~input_o ) # (!\Add43~11 ))) # (!\Add23~12_combout & (\first_red_pos_x[6]~input_o & !\Add43~11 )))
+
+ .dataa(\Add23~12_combout ),
+ .datab(\first_red_pos_x[6]~input_o ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add43~11 ),
+ .combout(\Add43~12_combout ),
+ .cout(\Add43~13 ));
+// synopsys translate_off
+defparam \Add43~12 .lut_mask = 16'h698E;
+defparam \Add43~12 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y12_N26
+cycloneiii_lcell_comb \Add43~14 (
+// Equation(s):
+// \Add43~14_combout = (\first_red_pos_x[7]~input_o & ((\Add23~14_combout & (\Add43~13 & VCC)) # (!\Add23~14_combout & (!\Add43~13 )))) # (!\first_red_pos_x[7]~input_o & ((\Add23~14_combout & (!\Add43~13 )) # (!\Add23~14_combout & ((\Add43~13 ) #
+// (GND)))))
+// \Add43~15 = CARRY((\first_red_pos_x[7]~input_o & (!\Add23~14_combout & !\Add43~13 )) # (!\first_red_pos_x[7]~input_o & ((!\Add43~13 ) # (!\Add23~14_combout ))))
+
+ .dataa(\first_red_pos_x[7]~input_o ),
+ .datab(\Add23~14_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add43~13 ),
+ .combout(\Add43~14_combout ),
+ .cout(\Add43~15 ));
+// synopsys translate_off
+defparam \Add43~14 .lut_mask = 16'h9617;
+defparam \Add43~14 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y12_N28
+cycloneiii_lcell_comb \Add43~16 (
+// Equation(s):
+// \Add43~16_combout = ((\first_red_pos_x[8]~input_o $ (\Add23~16_combout $ (!\Add43~15 )))) # (GND)
+// \Add43~17 = CARRY((\first_red_pos_x[8]~input_o & ((\Add23~16_combout ) # (!\Add43~15 ))) # (!\first_red_pos_x[8]~input_o & (\Add23~16_combout & !\Add43~15 )))
+
+ .dataa(\first_red_pos_x[8]~input_o ),
+ .datab(\Add23~16_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add43~15 ),
+ .combout(\Add43~16_combout ),
+ .cout(\Add43~17 ));
+// synopsys translate_off
+defparam \Add43~16 .lut_mask = 16'h698E;
+defparam \Add43~16 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X36_Y12_N30
+cycloneiii_lcell_comb \Add43~18 (
+// Equation(s):
+// \Add43~18_combout = \first_red_pos_x[9]~input_o $ (\Add43~17 $ (\Add23~18_combout ))
+
+ .dataa(\first_red_pos_x[9]~input_o ),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\Add23~18_combout ),
+ .cin(\Add43~17 ),
+ .combout(\Add43~18_combout ),
+ .cout());
+// synopsys translate_off
+defparam \Add43~18 .lut_mask = 16'hA55A;
+defparam \Add43~18 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X23_Y12_N30
+cycloneiii_lcell_comb \Mult9|mult_core|romout[0][4] (
+// Equation(s):
+// \Mult9|mult_core|romout[0][4]~combout = (\Add0~6_combout & ((\Add0~4_combout ) # ((\Add0~2_combout & \Add0~0_combout )))) # (!\Add0~6_combout & ((\Add0~4_combout & (!\Add0~2_combout )) # (!\Add0~4_combout & ((\Add0~2_combout ) # (\Add0~0_combout
+// )))))
+
+ .dataa(\Add0~6_combout ),
+ .datab(\Add0~4_combout ),
+ .datac(\Add0~2_combout ),
+ .datad(\Add0~0_combout ),
+ .cin(gnd),
+ .combout(\Mult9|mult_core|romout[0][4]~combout ),
+ .cout());
+// synopsys translate_off
+defparam \Mult9|mult_core|romout[0][4] .lut_mask = 16'hBD9C;
+defparam \Mult9|mult_core|romout[0][4] .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X23_Y9_N14
+cycloneiii_lcell_comb \Mult9|mult_core|padder|adder[0]|auto_generated|op_1~1 (
+// Equation(s):
+// \Mult9|mult_core|padder|adder[0]|auto_generated|op_1~1_cout = CARRY((\Add0~8_combout & \Mult9|mult_core|romout[0][4]~combout ))
+
+ .dataa(\Add0~8_combout ),
+ .datab(\Mult9|mult_core|romout[0][4]~combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(),
+ .cout(\Mult9|mult_core|padder|adder[0]|auto_generated|op_1~1_cout ));
+// synopsys translate_off
+defparam \Mult9|mult_core|padder|adder[0]|auto_generated|op_1~1 .lut_mask = 16'h0088;
+defparam \Mult9|mult_core|padder|adder[0]|auto_generated|op_1~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X23_Y9_N16
+cycloneiii_lcell_comb \Mult9|mult_core|padder|adder[0]|auto_generated|op_1~2 (
+// Equation(s):
+// \Mult9|mult_core|padder|adder[0]|auto_generated|op_1~2_combout = (\Mult9|mult_core|romout[0][5]~combout & ((\Add0~10_combout & (\Mult9|mult_core|padder|adder[0]|auto_generated|op_1~1_cout & VCC)) # (!\Add0~10_combout &
+// (!\Mult9|mult_core|padder|adder[0]|auto_generated|op_1~1_cout )))) # (!\Mult9|mult_core|romout[0][5]~combout & ((\Add0~10_combout & (!\Mult9|mult_core|padder|adder[0]|auto_generated|op_1~1_cout )) # (!\Add0~10_combout &
+// ((\Mult9|mult_core|padder|adder[0]|auto_generated|op_1~1_cout ) # (GND)))))
+// \Mult9|mult_core|padder|adder[0]|auto_generated|op_1~3 = CARRY((\Mult9|mult_core|romout[0][5]~combout & (!\Add0~10_combout & !\Mult9|mult_core|padder|adder[0]|auto_generated|op_1~1_cout )) # (!\Mult9|mult_core|romout[0][5]~combout &
+// ((!\Mult9|mult_core|padder|adder[0]|auto_generated|op_1~1_cout ) # (!\Add0~10_combout ))))
+
+ .dataa(\Mult9|mult_core|romout[0][5]~combout ),
+ .datab(\Add0~10_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Mult9|mult_core|padder|adder[0]|auto_generated|op_1~1_cout ),
+ .combout(\Mult9|mult_core|padder|adder[0]|auto_generated|op_1~2_combout ),
+ .cout(\Mult9|mult_core|padder|adder[0]|auto_generated|op_1~3 ));
+// synopsys translate_off
+defparam \Mult9|mult_core|padder|adder[0]|auto_generated|op_1~2 .lut_mask = 16'h9617;
+defparam \Mult9|mult_core|padder|adder[0]|auto_generated|op_1~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X24_Y7_N10
+cycloneiii_lcell_comb \Add44~0 (
+// Equation(s):
+// \Add44~0_combout = (\first_red_pos_x[0]~input_o & (\Mult9|mult_core|padder|adder[0]|auto_generated|op_1~2_combout $ (VCC))) # (!\first_red_pos_x[0]~input_o & (\Mult9|mult_core|padder|adder[0]|auto_generated|op_1~2_combout & VCC))
+// \Add44~1 = CARRY((\first_red_pos_x[0]~input_o & \Mult9|mult_core|padder|adder[0]|auto_generated|op_1~2_combout ))
+
+ .dataa(\first_red_pos_x[0]~input_o ),
+ .datab(\Mult9|mult_core|padder|adder[0]|auto_generated|op_1~2_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(\Add44~0_combout ),
+ .cout(\Add44~1 ));
+// synopsys translate_off
+defparam \Add44~0 .lut_mask = 16'h6688;
+defparam \Add44~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X23_Y9_N0
+cycloneiii_lcell_comb \Mult1|mult_core|romout[1][2]~0 (
+// Equation(s):
+// \Mult1|mult_core|romout[1][2]~0_combout = \Add0~8_combout $ (\Add0~12_combout )
+
+ .dataa(\Add0~8_combout ),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(\Add0~12_combout ),
+ .cin(gnd),
+ .combout(\Mult1|mult_core|romout[1][2]~0_combout ),
+ .cout());
+// synopsys translate_off
+defparam \Mult1|mult_core|romout[1][2]~0 .lut_mask = 16'h55AA;
+defparam \Mult1|mult_core|romout[1][2]~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X23_Y9_N18
+cycloneiii_lcell_comb \Mult9|mult_core|padder|adder[0]|auto_generated|op_1~4 (
+// Equation(s):
+// \Mult9|mult_core|padder|adder[0]|auto_generated|op_1~4_combout = ((\Mult9|mult_core|romout[0][6]~0_combout $ (\Mult1|mult_core|romout[1][2]~0_combout $ (!\Mult9|mult_core|padder|adder[0]|auto_generated|op_1~3 )))) # (GND)
+// \Mult9|mult_core|padder|adder[0]|auto_generated|op_1~5 = CARRY((\Mult9|mult_core|romout[0][6]~0_combout & ((\Mult1|mult_core|romout[1][2]~0_combout ) # (!\Mult9|mult_core|padder|adder[0]|auto_generated|op_1~3 ))) #
+// (!\Mult9|mult_core|romout[0][6]~0_combout & (\Mult1|mult_core|romout[1][2]~0_combout & !\Mult9|mult_core|padder|adder[0]|auto_generated|op_1~3 )))
+
+ .dataa(\Mult9|mult_core|romout[0][6]~0_combout ),
+ .datab(\Mult1|mult_core|romout[1][2]~0_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Mult9|mult_core|padder|adder[0]|auto_generated|op_1~3 ),
+ .combout(\Mult9|mult_core|padder|adder[0]|auto_generated|op_1~4_combout ),
+ .cout(\Mult9|mult_core|padder|adder[0]|auto_generated|op_1~5 ));
+// synopsys translate_off
+defparam \Mult9|mult_core|padder|adder[0]|auto_generated|op_1~4 .lut_mask = 16'h698E;
+defparam \Mult9|mult_core|padder|adder[0]|auto_generated|op_1~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X24_Y7_N12
+cycloneiii_lcell_comb \Add44~2 (
+// Equation(s):
+// \Add44~2_combout = (\Mult9|mult_core|padder|adder[0]|auto_generated|op_1~4_combout & ((\first_red_pos_x[1]~input_o & (\Add44~1 & VCC)) # (!\first_red_pos_x[1]~input_o & (!\Add44~1 )))) #
+// (!\Mult9|mult_core|padder|adder[0]|auto_generated|op_1~4_combout & ((\first_red_pos_x[1]~input_o & (!\Add44~1 )) # (!\first_red_pos_x[1]~input_o & ((\Add44~1 ) # (GND)))))
+// \Add44~3 = CARRY((\Mult9|mult_core|padder|adder[0]|auto_generated|op_1~4_combout & (!\first_red_pos_x[1]~input_o & !\Add44~1 )) # (!\Mult9|mult_core|padder|adder[0]|auto_generated|op_1~4_combout & ((!\Add44~1 ) # (!\first_red_pos_x[1]~input_o ))))
+
+ .dataa(\Mult9|mult_core|padder|adder[0]|auto_generated|op_1~4_combout ),
+ .datab(\first_red_pos_x[1]~input_o ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add44~1 ),
+ .combout(\Add44~2_combout ),
+ .cout(\Add44~3 ));
+// synopsys translate_off
+defparam \Add44~2 .lut_mask = 16'h9617;
+defparam \Add44~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X23_Y12_N10
+cycloneiii_lcell_comb \Mult9|mult_core|romout[0][7]~1 (
+// Equation(s):
+// \Mult9|mult_core|romout[0][7]~1_combout = (\Add0~4_combout & ((\Add0~2_combout ) # ((!\Add0~6_combout & \Add0~0_combout )))) # (!\Add0~4_combout & (\Add0~6_combout & (!\Add0~2_combout & !\Add0~0_combout )))
+
+ .dataa(\Add0~6_combout ),
+ .datab(\Add0~4_combout ),
+ .datac(\Add0~2_combout ),
+ .datad(\Add0~0_combout ),
+ .cin(gnd),
+ .combout(\Mult9|mult_core|romout[0][7]~1_combout ),
+ .cout());
+// synopsys translate_off
+defparam \Mult9|mult_core|romout[0][7]~1 .lut_mask = 16'hC4C2;
+defparam \Mult9|mult_core|romout[0][7]~1 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X23_Y9_N20
+cycloneiii_lcell_comb \Mult9|mult_core|padder|adder[0]|auto_generated|op_1~6 (
+// Equation(s):
+// \Mult9|mult_core|padder|adder[0]|auto_generated|op_1~6_combout = (\Mult1|mult_core|romout[1][3]~combout & ((\Mult9|mult_core|romout[0][7]~1_combout & (\Mult9|mult_core|padder|adder[0]|auto_generated|op_1~5 & VCC)) #
+// (!\Mult9|mult_core|romout[0][7]~1_combout & (!\Mult9|mult_core|padder|adder[0]|auto_generated|op_1~5 )))) # (!\Mult1|mult_core|romout[1][3]~combout & ((\Mult9|mult_core|romout[0][7]~1_combout & (!\Mult9|mult_core|padder|adder[0]|auto_generated|op_1~5
+// )) # (!\Mult9|mult_core|romout[0][7]~1_combout & ((\Mult9|mult_core|padder|adder[0]|auto_generated|op_1~5 ) # (GND)))))
+// \Mult9|mult_core|padder|adder[0]|auto_generated|op_1~7 = CARRY((\Mult1|mult_core|romout[1][3]~combout & (!\Mult9|mult_core|romout[0][7]~1_combout & !\Mult9|mult_core|padder|adder[0]|auto_generated|op_1~5 )) # (!\Mult1|mult_core|romout[1][3]~combout &
+// ((!\Mult9|mult_core|padder|adder[0]|auto_generated|op_1~5 ) # (!\Mult9|mult_core|romout[0][7]~1_combout ))))
+
+ .dataa(\Mult1|mult_core|romout[1][3]~combout ),
+ .datab(\Mult9|mult_core|romout[0][7]~1_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Mult9|mult_core|padder|adder[0]|auto_generated|op_1~5 ),
+ .combout(\Mult9|mult_core|padder|adder[0]|auto_generated|op_1~6_combout ),
+ .cout(\Mult9|mult_core|padder|adder[0]|auto_generated|op_1~7 ));
+// synopsys translate_off
+defparam \Mult9|mult_core|padder|adder[0]|auto_generated|op_1~6 .lut_mask = 16'h9617;
+defparam \Mult9|mult_core|padder|adder[0]|auto_generated|op_1~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X24_Y7_N14
+cycloneiii_lcell_comb \Add44~4 (
+// Equation(s):
+// \Add44~4_combout = ((\Mult9|mult_core|padder|adder[0]|auto_generated|op_1~6_combout $ (\first_red_pos_x[2]~input_o $ (!\Add44~3 )))) # (GND)
+// \Add44~5 = CARRY((\Mult9|mult_core|padder|adder[0]|auto_generated|op_1~6_combout & ((\first_red_pos_x[2]~input_o ) # (!\Add44~3 ))) # (!\Mult9|mult_core|padder|adder[0]|auto_generated|op_1~6_combout & (\first_red_pos_x[2]~input_o & !\Add44~3 )))
+
+ .dataa(\Mult9|mult_core|padder|adder[0]|auto_generated|op_1~6_combout ),
+ .datab(\first_red_pos_x[2]~input_o ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add44~3 ),
+ .combout(\Add44~4_combout ),
+ .cout(\Add44~5 ));
+// synopsys translate_off
+defparam \Add44~4 .lut_mask = 16'h698E;
+defparam \Add44~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X24_Y9_N2
+cycloneiii_lcell_comb \Mult9|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~0 (
+// Equation(s):
+// \Mult9|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~0_combout = (\Mult9|mult_core|padder|adder[0]|auto_generated|op_1~8_combout & (\Add0~16_combout $ (VCC))) # (!\Mult9|mult_core|padder|adder[0]|auto_generated|op_1~8_combout &
+// (\Add0~16_combout & VCC))
+// \Mult9|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~1 = CARRY((\Mult9|mult_core|padder|adder[0]|auto_generated|op_1~8_combout & \Add0~16_combout ))
+
+ .dataa(\Mult9|mult_core|padder|adder[0]|auto_generated|op_1~8_combout ),
+ .datab(\Add0~16_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(\Mult9|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~0_combout ),
+ .cout(\Mult9|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~1 ));
+// synopsys translate_off
+defparam \Mult9|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~0 .lut_mask = 16'h6688;
+defparam \Mult9|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X24_Y7_N16
+cycloneiii_lcell_comb \Add44~6 (
+// Equation(s):
+// \Add44~6_combout = (\first_red_pos_x[3]~input_o & ((\Mult9|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~0_combout & (\Add44~5 & VCC)) # (!\Mult9|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~0_combout & (!\Add44~5 )))) #
+// (!\first_red_pos_x[3]~input_o & ((\Mult9|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~0_combout & (!\Add44~5 )) # (!\Mult9|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~0_combout & ((\Add44~5 ) # (GND)))))
+// \Add44~7 = CARRY((\first_red_pos_x[3]~input_o & (!\Mult9|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~0_combout & !\Add44~5 )) # (!\first_red_pos_x[3]~input_o & ((!\Add44~5 ) #
+// (!\Mult9|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~0_combout ))))
+
+ .dataa(\first_red_pos_x[3]~input_o ),
+ .datab(\Mult9|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~0_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add44~5 ),
+ .combout(\Add44~6_combout ),
+ .cout(\Add44~7 ));
+// synopsys translate_off
+defparam \Add44~6 .lut_mask = 16'h9617;
+defparam \Add44~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X23_Y9_N4
+cycloneiii_lcell_comb \Mult9|mult_core|romout[1][4] (
+// Equation(s):
+// \Mult9|mult_core|romout[1][4]~combout = (\Add0~10_combout & ((\Add0~14_combout & ((\Add0~8_combout ) # (\Add0~12_combout ))) # (!\Add0~14_combout & ((!\Add0~12_combout ))))) # (!\Add0~10_combout & ((\Add0~12_combout ) # ((\Add0~8_combout &
+// !\Add0~14_combout ))))
+
+ .dataa(\Add0~8_combout ),
+ .datab(\Add0~10_combout ),
+ .datac(\Add0~14_combout ),
+ .datad(\Add0~12_combout ),
+ .cin(gnd),
+ .combout(\Mult9|mult_core|romout[1][4]~combout ),
+ .cout());
+// synopsys translate_off
+defparam \Mult9|mult_core|romout[1][4] .lut_mask = 16'hF38E;
+defparam \Mult9|mult_core|romout[1][4] .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X23_Y9_N24
+cycloneiii_lcell_comb \Mult9|mult_core|padder|adder[0]|auto_generated|op_1~10 (
+// Equation(s):
+// \Mult9|mult_core|padder|adder[0]|auto_generated|op_1~10_combout = (\Mult9|mult_core|romout[1][5]~combout & (!\Mult9|mult_core|padder|adder[0]|auto_generated|op_1~9 )) # (!\Mult9|mult_core|romout[1][5]~combout &
+// ((\Mult9|mult_core|padder|adder[0]|auto_generated|op_1~9 ) # (GND)))
+// \Mult9|mult_core|padder|adder[0]|auto_generated|op_1~11 = CARRY((!\Mult9|mult_core|padder|adder[0]|auto_generated|op_1~9 ) # (!\Mult9|mult_core|romout[1][5]~combout ))
+
+ .dataa(\Mult9|mult_core|romout[1][5]~combout ),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Mult9|mult_core|padder|adder[0]|auto_generated|op_1~9 ),
+ .combout(\Mult9|mult_core|padder|adder[0]|auto_generated|op_1~10_combout ),
+ .cout(\Mult9|mult_core|padder|adder[0]|auto_generated|op_1~11 ));
+// synopsys translate_off
+defparam \Mult9|mult_core|padder|adder[0]|auto_generated|op_1~10 .lut_mask = 16'h5A5F;
+defparam \Mult9|mult_core|padder|adder[0]|auto_generated|op_1~10 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X24_Y9_N4
+cycloneiii_lcell_comb \Mult9|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~2 (
+// Equation(s):
+// \Mult9|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~2_combout = (\Add0~18_combout & ((\Mult9|mult_core|padder|adder[0]|auto_generated|op_1~10_combout & (\Mult9|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~1 & VCC)) #
+// (!\Mult9|mult_core|padder|adder[0]|auto_generated|op_1~10_combout & (!\Mult9|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~1 )))) # (!\Add0~18_combout & ((\Mult9|mult_core|padder|adder[0]|auto_generated|op_1~10_combout &
+// (!\Mult9|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~1 )) # (!\Mult9|mult_core|padder|adder[0]|auto_generated|op_1~10_combout & ((\Mult9|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~1 ) # (GND)))))
+// \Mult9|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~3 = CARRY((\Add0~18_combout & (!\Mult9|mult_core|padder|adder[0]|auto_generated|op_1~10_combout & !\Mult9|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~1 )) #
+// (!\Add0~18_combout & ((!\Mult9|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~1 ) # (!\Mult9|mult_core|padder|adder[0]|auto_generated|op_1~10_combout ))))
+
+ .dataa(\Add0~18_combout ),
+ .datab(\Mult9|mult_core|padder|adder[0]|auto_generated|op_1~10_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Mult9|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~1 ),
+ .combout(\Mult9|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~2_combout ),
+ .cout(\Mult9|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~3 ));
+// synopsys translate_off
+defparam \Mult9|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~2 .lut_mask = 16'h9617;
+defparam \Mult9|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X24_Y7_N18
+cycloneiii_lcell_comb \Add44~8 (
+// Equation(s):
+// \Add44~8_combout = ((\first_red_pos_x[4]~input_o $ (\Mult9|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~2_combout $ (!\Add44~7 )))) # (GND)
+// \Add44~9 = CARRY((\first_red_pos_x[4]~input_o & ((\Mult9|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~2_combout ) # (!\Add44~7 ))) # (!\first_red_pos_x[4]~input_o &
+// (\Mult9|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~2_combout & !\Add44~7 )))
+
+ .dataa(\first_red_pos_x[4]~input_o ),
+ .datab(\Mult9|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~2_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add44~7 ),
+ .combout(\Add44~8_combout ),
+ .cout(\Add44~9 ));
+// synopsys translate_off
+defparam \Add44~8 .lut_mask = 16'h698E;
+defparam \Add44~8 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X15_Y13_N18
+cycloneiii_lcell_comb \Mult1|mult_core|romout[2][2] (
+// Equation(s):
+// \Mult1|mult_core|romout[2][2]~combout = \Add0~16_combout $ (!\Add0~20_combout )
+
+ .dataa(gnd),
+ .datab(\Add0~16_combout ),
+ .datac(\Add0~20_combout ),
+ .datad(gnd),
+ .cin(gnd),
+ .combout(\Mult1|mult_core|romout[2][2]~combout ),
+ .cout());
+// synopsys translate_off
+defparam \Mult1|mult_core|romout[2][2] .lut_mask = 16'hC3C3;
+defparam \Mult1|mult_core|romout[2][2] .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X24_Y9_N6
+cycloneiii_lcell_comb \Mult9|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~4 (
+// Equation(s):
+// \Mult9|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~4_combout = ((\Mult9|mult_core|padder|adder[0]|auto_generated|op_1~12_combout $ (\Mult1|mult_core|romout[2][2]~combout $
+// (!\Mult9|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~3 )))) # (GND)
+// \Mult9|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~5 = CARRY((\Mult9|mult_core|padder|adder[0]|auto_generated|op_1~12_combout & ((\Mult1|mult_core|romout[2][2]~combout ) #
+// (!\Mult9|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~3 ))) # (!\Mult9|mult_core|padder|adder[0]|auto_generated|op_1~12_combout & (\Mult1|mult_core|romout[2][2]~combout & !\Mult9|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~3
+// )))
+
+ .dataa(\Mult9|mult_core|padder|adder[0]|auto_generated|op_1~12_combout ),
+ .datab(\Mult1|mult_core|romout[2][2]~combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Mult9|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~3 ),
+ .combout(\Mult9|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~4_combout ),
+ .cout(\Mult9|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~5 ));
+// synopsys translate_off
+defparam \Mult9|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~4 .lut_mask = 16'h698E;
+defparam \Mult9|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X24_Y7_N20
+cycloneiii_lcell_comb \Add44~10 (
+// Equation(s):
+// \Add44~10_combout = (\Mult9|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~4_combout & ((\first_red_pos_x[5]~input_o & (\Add44~9 & VCC)) # (!\first_red_pos_x[5]~input_o & (!\Add44~9 )))) #
+// (!\Mult9|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~4_combout & ((\first_red_pos_x[5]~input_o & (!\Add44~9 )) # (!\first_red_pos_x[5]~input_o & ((\Add44~9 ) # (GND)))))
+// \Add44~11 = CARRY((\Mult9|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~4_combout & (!\first_red_pos_x[5]~input_o & !\Add44~9 )) # (!\Mult9|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~4_combout & ((!\Add44~9 ) #
+// (!\first_red_pos_x[5]~input_o ))))
+
+ .dataa(\Mult9|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~4_combout ),
+ .datab(\first_red_pos_x[5]~input_o ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add44~9 ),
+ .combout(\Add44~10_combout ),
+ .cout(\Add44~11 ));
+// synopsys translate_off
+defparam \Add44~10 .lut_mask = 16'h9617;
+defparam \Add44~10 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X23_Y9_N8
+cycloneiii_lcell_comb \Mult9|mult_core|romout[1][6]~3 (
+// Equation(s):
+// \Mult9|mult_core|romout[1][6]~3_combout = (\Add0~8_combout & ((\Add0~10_combout & (!\Add0~14_combout )) # (!\Add0~10_combout & (\Add0~14_combout & \Add0~12_combout )))) # (!\Add0~8_combout & (!\Add0~10_combout & ((\Add0~14_combout ) #
+// (\Add0~12_combout ))))
+
+ .dataa(\Add0~8_combout ),
+ .datab(\Add0~10_combout ),
+ .datac(\Add0~14_combout ),
+ .datad(\Add0~12_combout ),
+ .cin(gnd),
+ .combout(\Mult9|mult_core|romout[1][6]~3_combout ),
+ .cout());
+// synopsys translate_off
+defparam \Mult9|mult_core|romout[1][6]~3 .lut_mask = 16'h3918;
+defparam \Mult9|mult_core|romout[1][6]~3 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X24_Y9_N8
+cycloneiii_lcell_comb \Mult9|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~6 (
+// Equation(s):
+// \Mult9|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~6_combout = (\Mult1|mult_core|romout[2][3]~5_combout & ((\Mult9|mult_core|padder|adder[0]|auto_generated|op_1~14_combout &
+// (\Mult9|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~5 & VCC)) # (!\Mult9|mult_core|padder|adder[0]|auto_generated|op_1~14_combout & (!\Mult9|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~5 )))) #
+// (!\Mult1|mult_core|romout[2][3]~5_combout & ((\Mult9|mult_core|padder|adder[0]|auto_generated|op_1~14_combout & (!\Mult9|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~5 )) # (!\Mult9|mult_core|padder|adder[0]|auto_generated|op_1~14_combout
+// & ((\Mult9|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~5 ) # (GND)))))
+// \Mult9|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~7 = CARRY((\Mult1|mult_core|romout[2][3]~5_combout & (!\Mult9|mult_core|padder|adder[0]|auto_generated|op_1~14_combout &
+// !\Mult9|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~5 )) # (!\Mult1|mult_core|romout[2][3]~5_combout & ((!\Mult9|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~5 ) #
+// (!\Mult9|mult_core|padder|adder[0]|auto_generated|op_1~14_combout ))))
+
+ .dataa(\Mult1|mult_core|romout[2][3]~5_combout ),
+ .datab(\Mult9|mult_core|padder|adder[0]|auto_generated|op_1~14_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Mult9|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~5 ),
+ .combout(\Mult9|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~6_combout ),
+ .cout(\Mult9|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~7 ));
+// synopsys translate_off
+defparam \Mult9|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~6 .lut_mask = 16'h9617;
+defparam \Mult9|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X24_Y7_N22
+cycloneiii_lcell_comb \Add44~12 (
+// Equation(s):
+// \Add44~12_combout = ((\Mult9|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~6_combout $ (\first_red_pos_x[6]~input_o $ (!\Add44~11 )))) # (GND)
+// \Add44~13 = CARRY((\Mult9|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~6_combout & ((\first_red_pos_x[6]~input_o ) # (!\Add44~11 ))) # (!\Mult9|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~6_combout &
+// (\first_red_pos_x[6]~input_o & !\Add44~11 )))
+
+ .dataa(\Mult9|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~6_combout ),
+ .datab(\first_red_pos_x[6]~input_o ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add44~11 ),
+ .combout(\Add44~12_combout ),
+ .cout(\Add44~13 ));
+// synopsys translate_off
+defparam \Add44~12 .lut_mask = 16'h698E;
+defparam \Add44~12 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X24_Y9_N0
+cycloneiii_lcell_comb \Mult9|mult_core|romout[2][4]~5 (
+// Equation(s):
+// \Mult9|mult_core|romout[2][4]~5_combout = ((\Add0~16_combout ) # (\Add0~18_combout )) # (!\Add0~20_combout )
+
+ .dataa(\Add0~20_combout ),
+ .datab(gnd),
+ .datac(\Add0~16_combout ),
+ .datad(\Add0~18_combout ),
+ .cin(gnd),
+ .combout(\Mult9|mult_core|romout[2][4]~5_combout ),
+ .cout());
+// synopsys translate_off
+defparam \Mult9|mult_core|romout[2][4]~5 .lut_mask = 16'hFFF5;
+defparam \Mult9|mult_core|romout[2][4]~5 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X24_Y9_N18
+cycloneiii_lcell_comb \Mult9|mult_core|padder|adder[1]|auto_generated|op_1~0 (
+// Equation(s):
+// \Mult9|mult_core|padder|adder[1]|auto_generated|op_1~0_combout = (\Add0~20_combout & (\Mult9|mult_core|romout[2][4]~5_combout & VCC)) # (!\Add0~20_combout & (\Mult9|mult_core|romout[2][4]~5_combout $ (VCC)))
+// \Mult9|mult_core|padder|adder[1]|auto_generated|op_1~1 = CARRY((!\Add0~20_combout & \Mult9|mult_core|romout[2][4]~5_combout ))
+
+ .dataa(\Add0~20_combout ),
+ .datab(\Mult9|mult_core|romout[2][4]~5_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(\Mult9|mult_core|padder|adder[1]|auto_generated|op_1~0_combout ),
+ .cout(\Mult9|mult_core|padder|adder[1]|auto_generated|op_1~1 ));
+// synopsys translate_off
+defparam \Mult9|mult_core|padder|adder[1]|auto_generated|op_1~0 .lut_mask = 16'h9944;
+defparam \Mult9|mult_core|padder|adder[1]|auto_generated|op_1~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X24_Y9_N10
+cycloneiii_lcell_comb \Mult9|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~8 (
+// Equation(s):
+// \Mult9|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~8_combout = ((\Mult9|mult_core|padder|adder[0]|auto_generated|op_1~16_combout $ (\Mult9|mult_core|padder|adder[1]|auto_generated|op_1~0_combout $
+// (!\Mult9|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~7 )))) # (GND)
+// \Mult9|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~9 = CARRY((\Mult9|mult_core|padder|adder[0]|auto_generated|op_1~16_combout & ((\Mult9|mult_core|padder|adder[1]|auto_generated|op_1~0_combout ) #
+// (!\Mult9|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~7 ))) # (!\Mult9|mult_core|padder|adder[0]|auto_generated|op_1~16_combout & (\Mult9|mult_core|padder|adder[1]|auto_generated|op_1~0_combout &
+// !\Mult9|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~7 )))
+
+ .dataa(\Mult9|mult_core|padder|adder[0]|auto_generated|op_1~16_combout ),
+ .datab(\Mult9|mult_core|padder|adder[1]|auto_generated|op_1~0_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Mult9|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~7 ),
+ .combout(\Mult9|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~8_combout ),
+ .cout(\Mult9|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~9 ));
+// synopsys translate_off
+defparam \Mult9|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~8 .lut_mask = 16'h698E;
+defparam \Mult9|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~8 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X24_Y7_N24
+cycloneiii_lcell_comb \Add44~14 (
+// Equation(s):
+// \Add44~14_combout = (\Mult9|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~8_combout & ((\first_red_pos_x[7]~input_o & (\Add44~13 & VCC)) # (!\first_red_pos_x[7]~input_o & (!\Add44~13 )))) #
+// (!\Mult9|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~8_combout & ((\first_red_pos_x[7]~input_o & (!\Add44~13 )) # (!\first_red_pos_x[7]~input_o & ((\Add44~13 ) # (GND)))))
+// \Add44~15 = CARRY((\Mult9|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~8_combout & (!\first_red_pos_x[7]~input_o & !\Add44~13 )) # (!\Mult9|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~8_combout & ((!\Add44~13 ) #
+// (!\first_red_pos_x[7]~input_o ))))
+
+ .dataa(\Mult9|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~8_combout ),
+ .datab(\first_red_pos_x[7]~input_o ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add44~13 ),
+ .combout(\Add44~14_combout ),
+ .cout(\Add44~15 ));
+// synopsys translate_off
+defparam \Add44~14 .lut_mask = 16'h9617;
+defparam \Add44~14 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X28_Y14_N12
+cycloneiii_lcell_comb \Mult5|mult_core|romout[2][3] (
+// Equation(s):
+// \Mult5|mult_core|romout[2][3]~combout = (\Add0~20_combout & (\Add0~18_combout & !\Add0~16_combout )) # (!\Add0~20_combout & ((\Add0~16_combout )))
+
+ .dataa(gnd),
+ .datab(\Add0~18_combout ),
+ .datac(\Add0~20_combout ),
+ .datad(\Add0~16_combout ),
+ .cin(gnd),
+ .combout(\Mult5|mult_core|romout[2][3]~combout ),
+ .cout());
+// synopsys translate_off
+defparam \Mult5|mult_core|romout[2][3] .lut_mask = 16'h0FC0;
+defparam \Mult5|mult_core|romout[2][3] .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X24_Y9_N12
+cycloneiii_lcell_comb \Mult9|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~10 (
+// Equation(s):
+// \Mult9|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~10_combout = (\Mult9|mult_core|padder|adder[1]|auto_generated|op_1~2_combout & (!\Mult9|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~9 )) #
+// (!\Mult9|mult_core|padder|adder[1]|auto_generated|op_1~2_combout & ((\Mult9|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~9 ) # (GND)))
+// \Mult9|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~11 = CARRY((!\Mult9|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~9 ) # (!\Mult9|mult_core|padder|adder[1]|auto_generated|op_1~2_combout ))
+
+ .dataa(gnd),
+ .datab(\Mult9|mult_core|padder|adder[1]|auto_generated|op_1~2_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Mult9|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~9 ),
+ .combout(\Mult9|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~10_combout ),
+ .cout(\Mult9|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~11 ));
+// synopsys translate_off
+defparam \Mult9|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~10 .lut_mask = 16'h3C3F;
+defparam \Mult9|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~10 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X24_Y7_N26
+cycloneiii_lcell_comb \Add44~16 (
+// Equation(s):
+// \Add44~16_combout = ((\first_red_pos_x[8]~input_o $ (\Mult9|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~10_combout $ (!\Add44~15 )))) # (GND)
+// \Add44~17 = CARRY((\first_red_pos_x[8]~input_o & ((\Mult9|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~10_combout ) # (!\Add44~15 ))) # (!\first_red_pos_x[8]~input_o &
+// (\Mult9|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~10_combout & !\Add44~15 )))
+
+ .dataa(\first_red_pos_x[8]~input_o ),
+ .datab(\Mult9|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~10_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add44~15 ),
+ .combout(\Add44~16_combout ),
+ .cout(\Add44~17 ));
+// synopsys translate_off
+defparam \Add44~16 .lut_mask = 16'h698E;
+defparam \Add44~16 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X24_Y9_N14
+cycloneiii_lcell_comb \Mult9|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~12 (
+// Equation(s):
+// \Mult9|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~12_combout = \Mult9|mult_core|padder|adder[1]|auto_generated|op_1~4_combout $ (!\Mult9|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~11 )
+
+ .dataa(\Mult9|mult_core|padder|adder[1]|auto_generated|op_1~4_combout ),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .cin(\Mult9|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~11 ),
+ .combout(\Mult9|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~12_combout ),
+ .cout());
+// synopsys translate_off
+defparam \Mult9|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~12 .lut_mask = 16'hA5A5;
+defparam \Mult9|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~12 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X24_Y7_N28
+cycloneiii_lcell_comb \Add44~18 (
+// Equation(s):
+// \Add44~18_combout = \first_red_pos_x[9]~input_o $ (\Add44~17 $ (\Mult9|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~12_combout ))
+
+ .dataa(gnd),
+ .datab(\first_red_pos_x[9]~input_o ),
+ .datac(gnd),
+ .datad(\Mult9|mult_core|padder|sub_par_add|adder[0]|auto_generated|op_1~12_combout ),
+ .cin(\Add44~17 ),
+ .combout(\Add44~18_combout ),
+ .cout());
+// synopsys translate_off
+defparam \Add44~18 .lut_mask = 16'hC33C;
+defparam \Add44~18 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X3_Y4_N10
+cycloneiii_lcell_comb \Add45~0 (
+// Equation(s):
+// \Add45~0_combout = (\first_red_pos_x[0]~input_o & (\Add25~0_combout $ (VCC))) # (!\first_red_pos_x[0]~input_o & (\Add25~0_combout & VCC))
+// \Add45~1 = CARRY((\first_red_pos_x[0]~input_o & \Add25~0_combout ))
+
+ .dataa(\first_red_pos_x[0]~input_o ),
+ .datab(\Add25~0_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(\Add45~0_combout ),
+ .cout(\Add45~1 ));
+// synopsys translate_off
+defparam \Add45~0 .lut_mask = 16'h6688;
+defparam \Add45~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X3_Y4_N12
+cycloneiii_lcell_comb \Add45~2 (
+// Equation(s):
+// \Add45~2_combout = (\Add25~2_combout & ((\first_red_pos_x[1]~input_o & (\Add45~1 & VCC)) # (!\first_red_pos_x[1]~input_o & (!\Add45~1 )))) # (!\Add25~2_combout & ((\first_red_pos_x[1]~input_o & (!\Add45~1 )) # (!\first_red_pos_x[1]~input_o &
+// ((\Add45~1 ) # (GND)))))
+// \Add45~3 = CARRY((\Add25~2_combout & (!\first_red_pos_x[1]~input_o & !\Add45~1 )) # (!\Add25~2_combout & ((!\Add45~1 ) # (!\first_red_pos_x[1]~input_o ))))
+
+ .dataa(\Add25~2_combout ),
+ .datab(\first_red_pos_x[1]~input_o ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add45~1 ),
+ .combout(\Add45~2_combout ),
+ .cout(\Add45~3 ));
+// synopsys translate_off
+defparam \Add45~2 .lut_mask = 16'h9617;
+defparam \Add45~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X3_Y4_N14
+cycloneiii_lcell_comb \Add45~4 (
+// Equation(s):
+// \Add45~4_combout = ((\first_red_pos_x[2]~input_o $ (\Add25~4_combout $ (!\Add45~3 )))) # (GND)
+// \Add45~5 = CARRY((\first_red_pos_x[2]~input_o & ((\Add25~4_combout ) # (!\Add45~3 ))) # (!\first_red_pos_x[2]~input_o & (\Add25~4_combout & !\Add45~3 )))
+
+ .dataa(\first_red_pos_x[2]~input_o ),
+ .datab(\Add25~4_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add45~3 ),
+ .combout(\Add45~4_combout ),
+ .cout(\Add45~5 ));
+// synopsys translate_off
+defparam \Add45~4 .lut_mask = 16'h698E;
+defparam \Add45~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X3_Y4_N16
+cycloneiii_lcell_comb \Add45~6 (
+// Equation(s):
+// \Add45~6_combout = (\Add25~6_combout & ((\first_red_pos_x[3]~input_o & (\Add45~5 & VCC)) # (!\first_red_pos_x[3]~input_o & (!\Add45~5 )))) # (!\Add25~6_combout & ((\first_red_pos_x[3]~input_o & (!\Add45~5 )) # (!\first_red_pos_x[3]~input_o &
+// ((\Add45~5 ) # (GND)))))
+// \Add45~7 = CARRY((\Add25~6_combout & (!\first_red_pos_x[3]~input_o & !\Add45~5 )) # (!\Add25~6_combout & ((!\Add45~5 ) # (!\first_red_pos_x[3]~input_o ))))
+
+ .dataa(\Add25~6_combout ),
+ .datab(\first_red_pos_x[3]~input_o ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add45~5 ),
+ .combout(\Add45~6_combout ),
+ .cout(\Add45~7 ));
+// synopsys translate_off
+defparam \Add45~6 .lut_mask = 16'h9617;
+defparam \Add45~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X3_Y4_N18
+cycloneiii_lcell_comb \Add45~8 (
+// Equation(s):
+// \Add45~8_combout = ((\Add25~8_combout $ (\first_red_pos_x[4]~input_o $ (!\Add45~7 )))) # (GND)
+// \Add45~9 = CARRY((\Add25~8_combout & ((\first_red_pos_x[4]~input_o ) # (!\Add45~7 ))) # (!\Add25~8_combout & (\first_red_pos_x[4]~input_o & !\Add45~7 )))
+
+ .dataa(\Add25~8_combout ),
+ .datab(\first_red_pos_x[4]~input_o ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add45~7 ),
+ .combout(\Add45~8_combout ),
+ .cout(\Add45~9 ));
+// synopsys translate_off
+defparam \Add45~8 .lut_mask = 16'h698E;
+defparam \Add45~8 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X3_Y4_N20
+cycloneiii_lcell_comb \Add45~10 (
+// Equation(s):
+// \Add45~10_combout = (\first_red_pos_x[5]~input_o & ((\Add25~10_combout & (\Add45~9 & VCC)) # (!\Add25~10_combout & (!\Add45~9 )))) # (!\first_red_pos_x[5]~input_o & ((\Add25~10_combout & (!\Add45~9 )) # (!\Add25~10_combout & ((\Add45~9 ) #
+// (GND)))))
+// \Add45~11 = CARRY((\first_red_pos_x[5]~input_o & (!\Add25~10_combout & !\Add45~9 )) # (!\first_red_pos_x[5]~input_o & ((!\Add45~9 ) # (!\Add25~10_combout ))))
+
+ .dataa(\first_red_pos_x[5]~input_o ),
+ .datab(\Add25~10_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add45~9 ),
+ .combout(\Add45~10_combout ),
+ .cout(\Add45~11 ));
+// synopsys translate_off
+defparam \Add45~10 .lut_mask = 16'h9617;
+defparam \Add45~10 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X3_Y4_N22
+cycloneiii_lcell_comb \Add45~12 (
+// Equation(s):
+// \Add45~12_combout = ((\Add25~12_combout $ (\first_red_pos_x[6]~input_o $ (!\Add45~11 )))) # (GND)
+// \Add45~13 = CARRY((\Add25~12_combout & ((\first_red_pos_x[6]~input_o ) # (!\Add45~11 ))) # (!\Add25~12_combout & (\first_red_pos_x[6]~input_o & !\Add45~11 )))
+
+ .dataa(\Add25~12_combout ),
+ .datab(\first_red_pos_x[6]~input_o ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add45~11 ),
+ .combout(\Add45~12_combout ),
+ .cout(\Add45~13 ));
+// synopsys translate_off
+defparam \Add45~12 .lut_mask = 16'h698E;
+defparam \Add45~12 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X3_Y4_N24
+cycloneiii_lcell_comb \Add45~14 (
+// Equation(s):
+// \Add45~14_combout = (\Add25~14_combout & ((\first_red_pos_x[7]~input_o & (\Add45~13 & VCC)) # (!\first_red_pos_x[7]~input_o & (!\Add45~13 )))) # (!\Add25~14_combout & ((\first_red_pos_x[7]~input_o & (!\Add45~13 )) # (!\first_red_pos_x[7]~input_o &
+// ((\Add45~13 ) # (GND)))))
+// \Add45~15 = CARRY((\Add25~14_combout & (!\first_red_pos_x[7]~input_o & !\Add45~13 )) # (!\Add25~14_combout & ((!\Add45~13 ) # (!\first_red_pos_x[7]~input_o ))))
+
+ .dataa(\Add25~14_combout ),
+ .datab(\first_red_pos_x[7]~input_o ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add45~13 ),
+ .combout(\Add45~14_combout ),
+ .cout(\Add45~15 ));
+// synopsys translate_off
+defparam \Add45~14 .lut_mask = 16'h9617;
+defparam \Add45~14 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X3_Y4_N26
+cycloneiii_lcell_comb \Add45~16 (
+// Equation(s):
+// \Add45~16_combout = ((\first_red_pos_x[8]~input_o $ (\Add25~16_combout $ (!\Add45~15 )))) # (GND)
+// \Add45~17 = CARRY((\first_red_pos_x[8]~input_o & ((\Add25~16_combout ) # (!\Add45~15 ))) # (!\first_red_pos_x[8]~input_o & (\Add25~16_combout & !\Add45~15 )))
+
+ .dataa(\first_red_pos_x[8]~input_o ),
+ .datab(\Add25~16_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add45~15 ),
+ .combout(\Add45~16_combout ),
+ .cout(\Add45~17 ));
+// synopsys translate_off
+defparam \Add45~16 .lut_mask = 16'h698E;
+defparam \Add45~16 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X3_Y4_N28
+cycloneiii_lcell_comb \Add45~18 (
+// Equation(s):
+// \Add45~18_combout = \first_red_pos_x[9]~input_o $ (\Add45~17 $ (\Add25~18_combout ))
+
+ .dataa(gnd),
+ .datab(\first_red_pos_x[9]~input_o ),
+ .datac(gnd),
+ .datad(\Add25~18_combout ),
+ .cin(\Add45~17 ),
+ .combout(\Add45~18_combout ),
+ .cout());
+// synopsys translate_off
+defparam \Add45~18 .lut_mask = 16'hC33C;
+defparam \Add45~18 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X16_Y13_N8
+cycloneiii_lcell_comb \Add46~0 (
+// Equation(s):
+// \Add46~0_combout = (\Add0~0_combout & (\Add10~8_combout $ (VCC))) # (!\Add0~0_combout & (\Add10~8_combout & VCC))
+// \Add46~1 = CARRY((\Add0~0_combout & \Add10~8_combout ))
+
+ .dataa(\Add0~0_combout ),
+ .datab(\Add10~8_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(\Add46~0_combout ),
+ .cout(\Add46~1 ));
+// synopsys translate_off
+defparam \Add46~0 .lut_mask = 16'h6688;
+defparam \Add46~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X16_Y17_N6
+cycloneiii_lcell_comb \Add47~0 (
+// Equation(s):
+// \Add47~0_combout = (\Add46~0_combout & (\first_red_pos_x[0]~input_o $ (VCC))) # (!\Add46~0_combout & (\first_red_pos_x[0]~input_o & VCC))
+// \Add47~1 = CARRY((\Add46~0_combout & \first_red_pos_x[0]~input_o ))
+
+ .dataa(\Add46~0_combout ),
+ .datab(\first_red_pos_x[0]~input_o ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(gnd),
+ .combout(\Add47~0_combout ),
+ .cout(\Add47~1 ));
+// synopsys translate_off
+defparam \Add47~0 .lut_mask = 16'h6688;
+defparam \Add47~0 .sum_lutc_input = "datac";
+// synopsys translate_on
+
+// Location: LCCOMB_X16_Y13_N10
+cycloneiii_lcell_comb \Add46~2 (
+// Equation(s):
+// \Add46~2_combout = (\Add10~10_combout & ((\Add0~2_combout & (\Add46~1 & VCC)) # (!\Add0~2_combout & (!\Add46~1 )))) # (!\Add10~10_combout & ((\Add0~2_combout & (!\Add46~1 )) # (!\Add0~2_combout & ((\Add46~1 ) # (GND)))))
+// \Add46~3 = CARRY((\Add10~10_combout & (!\Add0~2_combout & !\Add46~1 )) # (!\Add10~10_combout & ((!\Add46~1 ) # (!\Add0~2_combout ))))
+
+ .dataa(\Add10~10_combout ),
+ .datab(\Add0~2_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add46~1 ),
+ .combout(\Add46~2_combout ),
+ .cout(\Add46~3 ));
+// synopsys translate_off
+defparam \Add46~2 .lut_mask = 16'h9617;
+defparam \Add46~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X16_Y17_N8
+cycloneiii_lcell_comb \Add47~2 (
+// Equation(s):
+// \Add47~2_combout = (\Add46~2_combout & ((\first_red_pos_x[1]~input_o & (\Add47~1 & VCC)) # (!\first_red_pos_x[1]~input_o & (!\Add47~1 )))) # (!\Add46~2_combout & ((\first_red_pos_x[1]~input_o & (!\Add47~1 )) # (!\first_red_pos_x[1]~input_o &
+// ((\Add47~1 ) # (GND)))))
+// \Add47~3 = CARRY((\Add46~2_combout & (!\first_red_pos_x[1]~input_o & !\Add47~1 )) # (!\Add46~2_combout & ((!\Add47~1 ) # (!\first_red_pos_x[1]~input_o ))))
+
+ .dataa(\Add46~2_combout ),
+ .datab(\first_red_pos_x[1]~input_o ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add47~1 ),
+ .combout(\Add47~2_combout ),
+ .cout(\Add47~3 ));
+// synopsys translate_off
+defparam \Add47~2 .lut_mask = 16'h9617;
+defparam \Add47~2 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X16_Y13_N12
+cycloneiii_lcell_comb \Add46~4 (
+// Equation(s):
+// \Add46~4_combout = ((\Add10~12_combout $ (\Add0~4_combout $ (!\Add46~3 )))) # (GND)
+// \Add46~5 = CARRY((\Add10~12_combout & ((\Add0~4_combout ) # (!\Add46~3 ))) # (!\Add10~12_combout & (\Add0~4_combout & !\Add46~3 )))
+
+ .dataa(\Add10~12_combout ),
+ .datab(\Add0~4_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add46~3 ),
+ .combout(\Add46~4_combout ),
+ .cout(\Add46~5 ));
+// synopsys translate_off
+defparam \Add46~4 .lut_mask = 16'h698E;
+defparam \Add46~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X16_Y17_N10
+cycloneiii_lcell_comb \Add47~4 (
+// Equation(s):
+// \Add47~4_combout = ((\Add46~4_combout $ (\first_red_pos_x[2]~input_o $ (!\Add47~3 )))) # (GND)
+// \Add47~5 = CARRY((\Add46~4_combout & ((\first_red_pos_x[2]~input_o ) # (!\Add47~3 ))) # (!\Add46~4_combout & (\first_red_pos_x[2]~input_o & !\Add47~3 )))
+
+ .dataa(\Add46~4_combout ),
+ .datab(\first_red_pos_x[2]~input_o ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add47~3 ),
+ .combout(\Add47~4_combout ),
+ .cout(\Add47~5 ));
+// synopsys translate_off
+defparam \Add47~4 .lut_mask = 16'h698E;
+defparam \Add47~4 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X16_Y13_N14
+cycloneiii_lcell_comb \Add46~6 (
+// Equation(s):
+// \Add46~6_combout = (\Add0~6_combout & ((\Add10~14_combout & (\Add46~5 & VCC)) # (!\Add10~14_combout & (!\Add46~5 )))) # (!\Add0~6_combout & ((\Add10~14_combout & (!\Add46~5 )) # (!\Add10~14_combout & ((\Add46~5 ) # (GND)))))
+// \Add46~7 = CARRY((\Add0~6_combout & (!\Add10~14_combout & !\Add46~5 )) # (!\Add0~6_combout & ((!\Add46~5 ) # (!\Add10~14_combout ))))
+
+ .dataa(\Add0~6_combout ),
+ .datab(\Add10~14_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add46~5 ),
+ .combout(\Add46~6_combout ),
+ .cout(\Add46~7 ));
+// synopsys translate_off
+defparam \Add46~6 .lut_mask = 16'h9617;
+defparam \Add46~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X16_Y17_N12
+cycloneiii_lcell_comb \Add47~6 (
+// Equation(s):
+// \Add47~6_combout = (\first_red_pos_x[3]~input_o & ((\Add46~6_combout & (\Add47~5 & VCC)) # (!\Add46~6_combout & (!\Add47~5 )))) # (!\first_red_pos_x[3]~input_o & ((\Add46~6_combout & (!\Add47~5 )) # (!\Add46~6_combout & ((\Add47~5 ) # (GND)))))
+// \Add47~7 = CARRY((\first_red_pos_x[3]~input_o & (!\Add46~6_combout & !\Add47~5 )) # (!\first_red_pos_x[3]~input_o & ((!\Add47~5 ) # (!\Add46~6_combout ))))
+
+ .dataa(\first_red_pos_x[3]~input_o ),
+ .datab(\Add46~6_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add47~5 ),
+ .combout(\Add47~6_combout ),
+ .cout(\Add47~7 ));
+// synopsys translate_off
+defparam \Add47~6 .lut_mask = 16'h9617;
+defparam \Add47~6 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X16_Y13_N16
+cycloneiii_lcell_comb \Add46~8 (
+// Equation(s):
+// \Add46~8_combout = ((\Add0~8_combout $ (\Add10~16_combout $ (!\Add46~7 )))) # (GND)
+// \Add46~9 = CARRY((\Add0~8_combout & ((\Add10~16_combout ) # (!\Add46~7 ))) # (!\Add0~8_combout & (\Add10~16_combout & !\Add46~7 )))
+
+ .dataa(\Add0~8_combout ),
+ .datab(\Add10~16_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add46~7 ),
+ .combout(\Add46~8_combout ),
+ .cout(\Add46~9 ));
+// synopsys translate_off
+defparam \Add46~8 .lut_mask = 16'h698E;
+defparam \Add46~8 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X16_Y17_N14
+cycloneiii_lcell_comb \Add47~8 (
+// Equation(s):
+// \Add47~8_combout = ((\first_red_pos_x[4]~input_o $ (\Add46~8_combout $ (!\Add47~7 )))) # (GND)
+// \Add47~9 = CARRY((\first_red_pos_x[4]~input_o & ((\Add46~8_combout ) # (!\Add47~7 ))) # (!\first_red_pos_x[4]~input_o & (\Add46~8_combout & !\Add47~7 )))
+
+ .dataa(\first_red_pos_x[4]~input_o ),
+ .datab(\Add46~8_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add47~7 ),
+ .combout(\Add47~8_combout ),
+ .cout(\Add47~9 ));
+// synopsys translate_off
+defparam \Add47~8 .lut_mask = 16'h698E;
+defparam \Add47~8 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X16_Y13_N18
+cycloneiii_lcell_comb \Add46~10 (
+// Equation(s):
+// \Add46~10_combout = (\Add0~10_combout & ((\Add10~18_combout & (\Add46~9 & VCC)) # (!\Add10~18_combout & (!\Add46~9 )))) # (!\Add0~10_combout & ((\Add10~18_combout & (!\Add46~9 )) # (!\Add10~18_combout & ((\Add46~9 ) # (GND)))))
+// \Add46~11 = CARRY((\Add0~10_combout & (!\Add10~18_combout & !\Add46~9 )) # (!\Add0~10_combout & ((!\Add46~9 ) # (!\Add10~18_combout ))))
+
+ .dataa(\Add0~10_combout ),
+ .datab(\Add10~18_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add46~9 ),
+ .combout(\Add46~10_combout ),
+ .cout(\Add46~11 ));
+// synopsys translate_off
+defparam \Add46~10 .lut_mask = 16'h9617;
+defparam \Add46~10 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X16_Y17_N16
+cycloneiii_lcell_comb \Add47~10 (
+// Equation(s):
+// \Add47~10_combout = (\first_red_pos_x[5]~input_o & ((\Add46~10_combout & (\Add47~9 & VCC)) # (!\Add46~10_combout & (!\Add47~9 )))) # (!\first_red_pos_x[5]~input_o & ((\Add46~10_combout & (!\Add47~9 )) # (!\Add46~10_combout & ((\Add47~9 ) #
+// (GND)))))
+// \Add47~11 = CARRY((\first_red_pos_x[5]~input_o & (!\Add46~10_combout & !\Add47~9 )) # (!\first_red_pos_x[5]~input_o & ((!\Add47~9 ) # (!\Add46~10_combout ))))
+
+ .dataa(\first_red_pos_x[5]~input_o ),
+ .datab(\Add46~10_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add47~9 ),
+ .combout(\Add47~10_combout ),
+ .cout(\Add47~11 ));
+// synopsys translate_off
+defparam \Add47~10 .lut_mask = 16'h9617;
+defparam \Add47~10 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X16_Y13_N20
+cycloneiii_lcell_comb \Add46~12 (
+// Equation(s):
+// \Add46~12_combout = ((\Add10~20_combout $ (\Add0~12_combout $ (!\Add46~11 )))) # (GND)
+// \Add46~13 = CARRY((\Add10~20_combout & ((\Add0~12_combout ) # (!\Add46~11 ))) # (!\Add10~20_combout & (\Add0~12_combout & !\Add46~11 )))
+
+ .dataa(\Add10~20_combout ),
+ .datab(\Add0~12_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add46~11 ),
+ .combout(\Add46~12_combout ),
+ .cout(\Add46~13 ));
+// synopsys translate_off
+defparam \Add46~12 .lut_mask = 16'h698E;
+defparam \Add46~12 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X16_Y17_N18
+cycloneiii_lcell_comb \Add47~12 (
+// Equation(s):
+// \Add47~12_combout = ((\first_red_pos_x[6]~input_o $ (\Add46~12_combout $ (!\Add47~11 )))) # (GND)
+// \Add47~13 = CARRY((\first_red_pos_x[6]~input_o & ((\Add46~12_combout ) # (!\Add47~11 ))) # (!\first_red_pos_x[6]~input_o & (\Add46~12_combout & !\Add47~11 )))
+
+ .dataa(\first_red_pos_x[6]~input_o ),
+ .datab(\Add46~12_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add47~11 ),
+ .combout(\Add47~12_combout ),
+ .cout(\Add47~13 ));
+// synopsys translate_off
+defparam \Add47~12 .lut_mask = 16'h698E;
+defparam \Add47~12 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X16_Y13_N22
+cycloneiii_lcell_comb \Add46~14 (
+// Equation(s):
+// \Add46~14_combout = (\Add10~20_combout & ((\Add0~14_combout & (\Add46~13 & VCC)) # (!\Add0~14_combout & (!\Add46~13 )))) # (!\Add10~20_combout & ((\Add0~14_combout & (!\Add46~13 )) # (!\Add0~14_combout & ((\Add46~13 ) # (GND)))))
+// \Add46~15 = CARRY((\Add10~20_combout & (!\Add0~14_combout & !\Add46~13 )) # (!\Add10~20_combout & ((!\Add46~13 ) # (!\Add0~14_combout ))))
+
+ .dataa(\Add10~20_combout ),
+ .datab(\Add0~14_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add46~13 ),
+ .combout(\Add46~14_combout ),
+ .cout(\Add46~15 ));
+// synopsys translate_off
+defparam \Add46~14 .lut_mask = 16'h9617;
+defparam \Add46~14 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X16_Y17_N20
+cycloneiii_lcell_comb \Add47~14 (
+// Equation(s):
+// \Add47~14_combout = (\first_red_pos_x[7]~input_o & ((\Add46~14_combout & (\Add47~13 & VCC)) # (!\Add46~14_combout & (!\Add47~13 )))) # (!\first_red_pos_x[7]~input_o & ((\Add46~14_combout & (!\Add47~13 )) # (!\Add46~14_combout & ((\Add47~13 ) #
+// (GND)))))
+// \Add47~15 = CARRY((\first_red_pos_x[7]~input_o & (!\Add46~14_combout & !\Add47~13 )) # (!\first_red_pos_x[7]~input_o & ((!\Add47~13 ) # (!\Add46~14_combout ))))
+
+ .dataa(\first_red_pos_x[7]~input_o ),
+ .datab(\Add46~14_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add47~13 ),
+ .combout(\Add47~14_combout ),
+ .cout(\Add47~15 ));
+// synopsys translate_off
+defparam \Add47~14 .lut_mask = 16'h9617;
+defparam \Add47~14 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X16_Y13_N24
+cycloneiii_lcell_comb \Add46~16 (
+// Equation(s):
+// \Add46~16_combout = ((\Add10~20_combout $ (\Add0~16_combout $ (!\Add46~15 )))) # (GND)
+// \Add46~17 = CARRY((\Add10~20_combout & ((\Add0~16_combout ) # (!\Add46~15 ))) # (!\Add10~20_combout & (\Add0~16_combout & !\Add46~15 )))
+
+ .dataa(\Add10~20_combout ),
+ .datab(\Add0~16_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add46~15 ),
+ .combout(\Add46~16_combout ),
+ .cout(\Add46~17 ));
+// synopsys translate_off
+defparam \Add46~16 .lut_mask = 16'h698E;
+defparam \Add46~16 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X16_Y17_N22
+cycloneiii_lcell_comb \Add47~16 (
+// Equation(s):
+// \Add47~16_combout = ((\first_red_pos_x[8]~input_o $ (\Add46~16_combout $ (!\Add47~15 )))) # (GND)
+// \Add47~17 = CARRY((\first_red_pos_x[8]~input_o & ((\Add46~16_combout ) # (!\Add47~15 ))) # (!\first_red_pos_x[8]~input_o & (\Add46~16_combout & !\Add47~15 )))
+
+ .dataa(\first_red_pos_x[8]~input_o ),
+ .datab(\Add46~16_combout ),
+ .datac(gnd),
+ .datad(vcc),
+ .cin(\Add47~15 ),
+ .combout(\Add47~16_combout ),
+ .cout(\Add47~17 ));
+// synopsys translate_off
+defparam \Add47~16 .lut_mask = 16'h698E;
+defparam \Add47~16 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X16_Y13_N26
+cycloneiii_lcell_comb \Add46~18 (
+// Equation(s):
+// \Add46~18_combout = \Add0~18_combout $ (\Add46~17 $ (\Add10~20_combout ))
+
+ .dataa(gnd),
+ .datab(\Add0~18_combout ),
+ .datac(gnd),
+ .datad(\Add10~20_combout ),
+ .cin(\Add46~17 ),
+ .combout(\Add46~18_combout ),
+ .cout());
+// synopsys translate_off
+defparam \Add46~18 .lut_mask = 16'hC33C;
+defparam \Add46~18 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+// Location: LCCOMB_X16_Y17_N24
+cycloneiii_lcell_comb \Add47~18 (
+// Equation(s):
+// \Add47~18_combout = \Add46~18_combout $ (\first_red_pos_x[9]~input_o $ (\Add47~17 ))
+
+ .dataa(\Add46~18_combout ),
+ .datab(\first_red_pos_x[9]~input_o ),
+ .datac(gnd),
+ .datad(gnd),
+ .cin(\Add47~17 ),
+ .combout(\Add47~18_combout ),
+ .cout());
+// synopsys translate_off
+defparam \Add47~18 .lut_mask = 16'h9696;
+defparam \Add47~18 .sum_lutc_input = "cin";
+// synopsys translate_on
+
+assign top_grid_x0[0] = \top_grid_x0[0]~output_o ;
+
+assign top_grid_x0[1] = \top_grid_x0[1]~output_o ;
+
+assign top_grid_x0[2] = \top_grid_x0[2]~output_o ;
+
+assign top_grid_x0[3] = \top_grid_x0[3]~output_o ;
+
+assign top_grid_x0[4] = \top_grid_x0[4]~output_o ;
+
+assign top_grid_x0[5] = \top_grid_x0[5]~output_o ;
+
+assign top_grid_x0[6] = \top_grid_x0[6]~output_o ;
+
+assign top_grid_x0[7] = \top_grid_x0[7]~output_o ;
+
+assign top_grid_x0[8] = \top_grid_x0[8]~output_o ;
+
+assign top_grid_x0[9] = \top_grid_x0[9]~output_o ;
+
+assign top_grid_x1[0] = \top_grid_x1[0]~output_o ;
+
+assign top_grid_x1[1] = \top_grid_x1[1]~output_o ;
+
+assign top_grid_x1[2] = \top_grid_x1[2]~output_o ;
+
+assign top_grid_x1[3] = \top_grid_x1[3]~output_o ;
+
+assign top_grid_x1[4] = \top_grid_x1[4]~output_o ;
+
+assign top_grid_x1[5] = \top_grid_x1[5]~output_o ;
+
+assign top_grid_x1[6] = \top_grid_x1[6]~output_o ;
+
+assign top_grid_x1[7] = \top_grid_x1[7]~output_o ;
+
+assign top_grid_x1[8] = \top_grid_x1[8]~output_o ;
+
+assign top_grid_x1[9] = \top_grid_x1[9]~output_o ;
+
+assign top_grid_x2[0] = \top_grid_x2[0]~output_o ;
+
+assign top_grid_x2[1] = \top_grid_x2[1]~output_o ;
+
+assign top_grid_x2[2] = \top_grid_x2[2]~output_o ;
+
+assign top_grid_x2[3] = \top_grid_x2[3]~output_o ;
+
+assign top_grid_x2[4] = \top_grid_x2[4]~output_o ;
+
+assign top_grid_x2[5] = \top_grid_x2[5]~output_o ;
+
+assign top_grid_x2[6] = \top_grid_x2[6]~output_o ;
+
+assign top_grid_x2[7] = \top_grid_x2[7]~output_o ;
+
+assign top_grid_x2[8] = \top_grid_x2[8]~output_o ;
+
+assign top_grid_x2[9] = \top_grid_x2[9]~output_o ;
+
+assign top_grid_x3[0] = \top_grid_x3[0]~output_o ;
+
+assign top_grid_x3[1] = \top_grid_x3[1]~output_o ;
+
+assign top_grid_x3[2] = \top_grid_x3[2]~output_o ;
+
+assign top_grid_x3[3] = \top_grid_x3[3]~output_o ;
+
+assign top_grid_x3[4] = \top_grid_x3[4]~output_o ;
+
+assign top_grid_x3[5] = \top_grid_x3[5]~output_o ;
+
+assign top_grid_x3[6] = \top_grid_x3[6]~output_o ;
+
+assign top_grid_x3[7] = \top_grid_x3[7]~output_o ;
+
+assign top_grid_x3[8] = \top_grid_x3[8]~output_o ;
+
+assign top_grid_x3[9] = \top_grid_x3[9]~output_o ;
+
+assign top_grid_x4[0] = \top_grid_x4[0]~output_o ;
+
+assign top_grid_x4[1] = \top_grid_x4[1]~output_o ;
+
+assign top_grid_x4[2] = \top_grid_x4[2]~output_o ;
+
+assign top_grid_x4[3] = \top_grid_x4[3]~output_o ;
+
+assign top_grid_x4[4] = \top_grid_x4[4]~output_o ;
+
+assign top_grid_x4[5] = \top_grid_x4[5]~output_o ;
+
+assign top_grid_x4[6] = \top_grid_x4[6]~output_o ;
+
+assign top_grid_x4[7] = \top_grid_x4[7]~output_o ;
+
+assign top_grid_x4[8] = \top_grid_x4[8]~output_o ;
+
+assign top_grid_x4[9] = \top_grid_x4[9]~output_o ;
+
+assign top_grid_x5[0] = \top_grid_x5[0]~output_o ;
+
+assign top_grid_x5[1] = \top_grid_x5[1]~output_o ;
+
+assign top_grid_x5[2] = \top_grid_x5[2]~output_o ;
+
+assign top_grid_x5[3] = \top_grid_x5[3]~output_o ;
+
+assign top_grid_x5[4] = \top_grid_x5[4]~output_o ;
+
+assign top_grid_x5[5] = \top_grid_x5[5]~output_o ;
+
+assign top_grid_x5[6] = \top_grid_x5[6]~output_o ;
+
+assign top_grid_x5[7] = \top_grid_x5[7]~output_o ;
+
+assign top_grid_x5[8] = \top_grid_x5[8]~output_o ;
+
+assign top_grid_x5[9] = \top_grid_x5[9]~output_o ;
+
+assign top_grid_x6[0] = \top_grid_x6[0]~output_o ;
+
+assign top_grid_x6[1] = \top_grid_x6[1]~output_o ;
+
+assign top_grid_x6[2] = \top_grid_x6[2]~output_o ;
+
+assign top_grid_x6[3] = \top_grid_x6[3]~output_o ;
+
+assign top_grid_x6[4] = \top_grid_x6[4]~output_o ;
+
+assign top_grid_x6[5] = \top_grid_x6[5]~output_o ;
+
+assign top_grid_x6[6] = \top_grid_x6[6]~output_o ;
+
+assign top_grid_x6[7] = \top_grid_x6[7]~output_o ;
+
+assign top_grid_x6[8] = \top_grid_x6[8]~output_o ;
+
+assign top_grid_x6[9] = \top_grid_x6[9]~output_o ;
+
+assign top_grid_x7[0] = \top_grid_x7[0]~output_o ;
+
+assign top_grid_x7[1] = \top_grid_x7[1]~output_o ;
+
+assign top_grid_x7[2] = \top_grid_x7[2]~output_o ;
+
+assign top_grid_x7[3] = \top_grid_x7[3]~output_o ;
+
+assign top_grid_x7[4] = \top_grid_x7[4]~output_o ;
+
+assign top_grid_x7[5] = \top_grid_x7[5]~output_o ;
+
+assign top_grid_x7[6] = \top_grid_x7[6]~output_o ;
+
+assign top_grid_x7[7] = \top_grid_x7[7]~output_o ;
+
+assign top_grid_x7[8] = \top_grid_x7[8]~output_o ;
+
+assign top_grid_x7[9] = \top_grid_x7[9]~output_o ;
+
+assign top_grid_x8[0] = \top_grid_x8[0]~output_o ;
+
+assign top_grid_x8[1] = \top_grid_x8[1]~output_o ;
+
+assign top_grid_x8[2] = \top_grid_x8[2]~output_o ;
+
+assign top_grid_x8[3] = \top_grid_x8[3]~output_o ;
+
+assign top_grid_x8[4] = \top_grid_x8[4]~output_o ;
+
+assign top_grid_x8[5] = \top_grid_x8[5]~output_o ;
+
+assign top_grid_x8[6] = \top_grid_x8[6]~output_o ;
+
+assign top_grid_x8[7] = \top_grid_x8[7]~output_o ;
+
+assign top_grid_x8[8] = \top_grid_x8[8]~output_o ;
+
+assign top_grid_x8[9] = \top_grid_x8[9]~output_o ;
+
+assign top_grid_x9[0] = \top_grid_x9[0]~output_o ;
+
+assign top_grid_x9[1] = \top_grid_x9[1]~output_o ;
+
+assign top_grid_x9[2] = \top_grid_x9[2]~output_o ;
+
+assign top_grid_x9[3] = \top_grid_x9[3]~output_o ;
+
+assign top_grid_x9[4] = \top_grid_x9[4]~output_o ;
+
+assign top_grid_x9[5] = \top_grid_x9[5]~output_o ;
+
+assign top_grid_x9[6] = \top_grid_x9[6]~output_o ;
+
+assign top_grid_x9[7] = \top_grid_x9[7]~output_o ;
+
+assign top_grid_x9[8] = \top_grid_x9[8]~output_o ;
+
+assign top_grid_x9[9] = \top_grid_x9[9]~output_o ;
+
+assign top_grid_x10[0] = \top_grid_x10[0]~output_o ;
+
+assign top_grid_x10[1] = \top_grid_x10[1]~output_o ;
+
+assign top_grid_x10[2] = \top_grid_x10[2]~output_o ;
+
+assign top_grid_x10[3] = \top_grid_x10[3]~output_o ;
+
+assign top_grid_x10[4] = \top_grid_x10[4]~output_o ;
+
+assign top_grid_x10[5] = \top_grid_x10[5]~output_o ;
+
+assign top_grid_x10[6] = \top_grid_x10[6]~output_o ;
+
+assign top_grid_x10[7] = \top_grid_x10[7]~output_o ;
+
+assign top_grid_x10[8] = \top_grid_x10[8]~output_o ;
+
+assign top_grid_x10[9] = \top_grid_x10[9]~output_o ;
+
+assign top_grid_x11[0] = \top_grid_x11[0]~output_o ;
+
+assign top_grid_x11[1] = \top_grid_x11[1]~output_o ;
+
+assign top_grid_x11[2] = \top_grid_x11[2]~output_o ;
+
+assign top_grid_x11[3] = \top_grid_x11[3]~output_o ;
+
+assign top_grid_x11[4] = \top_grid_x11[4]~output_o ;
+
+assign top_grid_x11[5] = \top_grid_x11[5]~output_o ;
+
+assign top_grid_x11[6] = \top_grid_x11[6]~output_o ;
+
+assign top_grid_x11[7] = \top_grid_x11[7]~output_o ;
+
+assign top_grid_x11[8] = \top_grid_x11[8]~output_o ;
+
+assign top_grid_x11[9] = \top_grid_x11[9]~output_o ;
+
+assign top_grid_x12[0] = \top_grid_x12[0]~output_o ;
+
+assign top_grid_x12[1] = \top_grid_x12[1]~output_o ;
+
+assign top_grid_x12[2] = \top_grid_x12[2]~output_o ;
+
+assign top_grid_x12[3] = \top_grid_x12[3]~output_o ;
+
+assign top_grid_x12[4] = \top_grid_x12[4]~output_o ;
+
+assign top_grid_x12[5] = \top_grid_x12[5]~output_o ;
+
+assign top_grid_x12[6] = \top_grid_x12[6]~output_o ;
+
+assign top_grid_x12[7] = \top_grid_x12[7]~output_o ;
+
+assign top_grid_x12[8] = \top_grid_x12[8]~output_o ;
+
+assign top_grid_x12[9] = \top_grid_x12[9]~output_o ;
+
+assign top_grid_x13[0] = \top_grid_x13[0]~output_o ;
+
+assign top_grid_x13[1] = \top_grid_x13[1]~output_o ;
+
+assign top_grid_x13[2] = \top_grid_x13[2]~output_o ;
+
+assign top_grid_x13[3] = \top_grid_x13[3]~output_o ;
+
+assign top_grid_x13[4] = \top_grid_x13[4]~output_o ;
+
+assign top_grid_x13[5] = \top_grid_x13[5]~output_o ;
+
+assign top_grid_x13[6] = \top_grid_x13[6]~output_o ;
+
+assign top_grid_x13[7] = \top_grid_x13[7]~output_o ;
+
+assign top_grid_x13[8] = \top_grid_x13[8]~output_o ;
+
+assign top_grid_x13[9] = \top_grid_x13[9]~output_o ;
+
+assign top_grid_x14[0] = \top_grid_x14[0]~output_o ;
+
+assign top_grid_x14[1] = \top_grid_x14[1]~output_o ;
+
+assign top_grid_x14[2] = \top_grid_x14[2]~output_o ;
+
+assign top_grid_x14[3] = \top_grid_x14[3]~output_o ;
+
+assign top_grid_x14[4] = \top_grid_x14[4]~output_o ;
+
+assign top_grid_x14[5] = \top_grid_x14[5]~output_o ;
+
+assign top_grid_x14[6] = \top_grid_x14[6]~output_o ;
+
+assign top_grid_x14[7] = \top_grid_x14[7]~output_o ;
+
+assign top_grid_x14[8] = \top_grid_x14[8]~output_o ;
+
+assign top_grid_x14[9] = \top_grid_x14[9]~output_o ;
+
+assign top_grid_x15[0] = \top_grid_x15[0]~output_o ;
+
+assign top_grid_x15[1] = \top_grid_x15[1]~output_o ;
+
+assign top_grid_x15[2] = \top_grid_x15[2]~output_o ;
+
+assign top_grid_x15[3] = \top_grid_x15[3]~output_o ;
+
+assign top_grid_x15[4] = \top_grid_x15[4]~output_o ;
+
+assign top_grid_x15[5] = \top_grid_x15[5]~output_o ;
+
+assign top_grid_x15[6] = \top_grid_x15[6]~output_o ;
+
+assign top_grid_x15[7] = \top_grid_x15[7]~output_o ;
+
+assign top_grid_x15[8] = \top_grid_x15[8]~output_o ;
+
+assign top_grid_x15[9] = \top_grid_x15[9]~output_o ;
+
+assign top_grid_x16[0] = \top_grid_x16[0]~output_o ;
+
+assign top_grid_x16[1] = \top_grid_x16[1]~output_o ;
+
+assign top_grid_x16[2] = \top_grid_x16[2]~output_o ;
+
+assign top_grid_x16[3] = \top_grid_x16[3]~output_o ;
+
+assign top_grid_x16[4] = \top_grid_x16[4]~output_o ;
+
+assign top_grid_x16[5] = \top_grid_x16[5]~output_o ;
+
+assign top_grid_x16[6] = \top_grid_x16[6]~output_o ;
+
+assign top_grid_x16[7] = \top_grid_x16[7]~output_o ;
+
+assign top_grid_x16[8] = \top_grid_x16[8]~output_o ;
+
+assign top_grid_x16[9] = \top_grid_x16[9]~output_o ;
+
+assign top_grid_x17[0] = \top_grid_x17[0]~output_o ;
+
+assign top_grid_x17[1] = \top_grid_x17[1]~output_o ;
+
+assign top_grid_x17[2] = \top_grid_x17[2]~output_o ;
+
+assign top_grid_x17[3] = \top_grid_x17[3]~output_o ;
+
+assign top_grid_x17[4] = \top_grid_x17[4]~output_o ;
+
+assign top_grid_x17[5] = \top_grid_x17[5]~output_o ;
+
+assign top_grid_x17[6] = \top_grid_x17[6]~output_o ;
+
+assign top_grid_x17[7] = \top_grid_x17[7]~output_o ;
+
+assign top_grid_x17[8] = \top_grid_x17[8]~output_o ;
+
+assign top_grid_x17[9] = \top_grid_x17[9]~output_o ;
+
+assign top_grid_x18[0] = \top_grid_x18[0]~output_o ;
+
+assign top_grid_x18[1] = \top_grid_x18[1]~output_o ;
+
+assign top_grid_x18[2] = \top_grid_x18[2]~output_o ;
+
+assign top_grid_x18[3] = \top_grid_x18[3]~output_o ;
+
+assign top_grid_x18[4] = \top_grid_x18[4]~output_o ;
+
+assign top_grid_x18[5] = \top_grid_x18[5]~output_o ;
+
+assign top_grid_x18[6] = \top_grid_x18[6]~output_o ;
+
+assign top_grid_x18[7] = \top_grid_x18[7]~output_o ;
+
+assign top_grid_x18[8] = \top_grid_x18[8]~output_o ;
+
+assign top_grid_x18[9] = \top_grid_x18[9]~output_o ;
+
+assign top_grid_x19[0] = \top_grid_x19[0]~output_o ;
+
+assign top_grid_x19[1] = \top_grid_x19[1]~output_o ;
+
+assign top_grid_x19[2] = \top_grid_x19[2]~output_o ;
+
+assign top_grid_x19[3] = \top_grid_x19[3]~output_o ;
+
+assign top_grid_x19[4] = \top_grid_x19[4]~output_o ;
+
+assign top_grid_x19[5] = \top_grid_x19[5]~output_o ;
+
+assign top_grid_x19[6] = \top_grid_x19[6]~output_o ;
+
+assign top_grid_x19[7] = \top_grid_x19[7]~output_o ;
+
+assign top_grid_x19[8] = \top_grid_x19[8]~output_o ;
+
+assign top_grid_x19[9] = \top_grid_x19[9]~output_o ;
+
+assign top_grid_x20[0] = \top_grid_x20[0]~output_o ;
+
+assign top_grid_x20[1] = \top_grid_x20[1]~output_o ;
+
+assign top_grid_x20[2] = \top_grid_x20[2]~output_o ;
+
+assign top_grid_x20[3] = \top_grid_x20[3]~output_o ;
+
+assign top_grid_x20[4] = \top_grid_x20[4]~output_o ;
+
+assign top_grid_x20[5] = \top_grid_x20[5]~output_o ;
+
+assign top_grid_x20[6] = \top_grid_x20[6]~output_o ;
+
+assign top_grid_x20[7] = \top_grid_x20[7]~output_o ;
+
+assign top_grid_x20[8] = \top_grid_x20[8]~output_o ;
+
+assign top_grid_x20[9] = \top_grid_x20[9]~output_o ;
+
+assign top_grid_x21[0] = \top_grid_x21[0]~output_o ;
+
+assign top_grid_x21[1] = \top_grid_x21[1]~output_o ;
+
+assign top_grid_x21[2] = \top_grid_x21[2]~output_o ;
+
+assign top_grid_x21[3] = \top_grid_x21[3]~output_o ;
+
+assign top_grid_x21[4] = \top_grid_x21[4]~output_o ;
+
+assign top_grid_x21[5] = \top_grid_x21[5]~output_o ;
+
+assign top_grid_x21[6] = \top_grid_x21[6]~output_o ;
+
+assign top_grid_x21[7] = \top_grid_x21[7]~output_o ;
+
+assign top_grid_x21[8] = \top_grid_x21[8]~output_o ;
+
+assign top_grid_x21[9] = \top_grid_x21[9]~output_o ;
+
+assign top_grid_x22[0] = \top_grid_x22[0]~output_o ;
+
+assign top_grid_x22[1] = \top_grid_x22[1]~output_o ;
+
+assign top_grid_x22[2] = \top_grid_x22[2]~output_o ;
+
+assign top_grid_x22[3] = \top_grid_x22[3]~output_o ;
+
+assign top_grid_x22[4] = \top_grid_x22[4]~output_o ;
+
+assign top_grid_x22[5] = \top_grid_x22[5]~output_o ;
+
+assign top_grid_x22[6] = \top_grid_x22[6]~output_o ;
+
+assign top_grid_x22[7] = \top_grid_x22[7]~output_o ;
+
+assign top_grid_x22[8] = \top_grid_x22[8]~output_o ;
+
+assign top_grid_x22[9] = \top_grid_x22[9]~output_o ;
+
+assign top_grid_x23[0] = \top_grid_x23[0]~output_o ;
+
+assign top_grid_x23[1] = \top_grid_x23[1]~output_o ;
+
+assign top_grid_x23[2] = \top_grid_x23[2]~output_o ;
+
+assign top_grid_x23[3] = \top_grid_x23[3]~output_o ;
+
+assign top_grid_x23[4] = \top_grid_x23[4]~output_o ;
+
+assign top_grid_x23[5] = \top_grid_x23[5]~output_o ;
+
+assign top_grid_x23[6] = \top_grid_x23[6]~output_o ;
+
+assign top_grid_x23[7] = \top_grid_x23[7]~output_o ;
+
+assign top_grid_x23[8] = \top_grid_x23[8]~output_o ;
+
+assign top_grid_x23[9] = \top_grid_x23[9]~output_o ;
+
+assign top_grid_x24[0] = \top_grid_x24[0]~output_o ;
+
+assign top_grid_x24[1] = \top_grid_x24[1]~output_o ;
+
+assign top_grid_x24[2] = \top_grid_x24[2]~output_o ;
+
+assign top_grid_x24[3] = \top_grid_x24[3]~output_o ;
+
+assign top_grid_x24[4] = \top_grid_x24[4]~output_o ;
+
+assign top_grid_x24[5] = \top_grid_x24[5]~output_o ;
+
+assign top_grid_x24[6] = \top_grid_x24[6]~output_o ;
+
+assign top_grid_x24[7] = \top_grid_x24[7]~output_o ;
+
+assign top_grid_x24[8] = \top_grid_x24[8]~output_o ;
+
+assign top_grid_x24[9] = \top_grid_x24[9]~output_o ;
+
+assign top_grid_x25[0] = \top_grid_x25[0]~output_o ;
+
+assign top_grid_x25[1] = \top_grid_x25[1]~output_o ;
+
+assign top_grid_x25[2] = \top_grid_x25[2]~output_o ;
+
+assign top_grid_x25[3] = \top_grid_x25[3]~output_o ;
+
+assign top_grid_x25[4] = \top_grid_x25[4]~output_o ;
+
+assign top_grid_x25[5] = \top_grid_x25[5]~output_o ;
+
+assign top_grid_x25[6] = \top_grid_x25[6]~output_o ;
+
+assign top_grid_x25[7] = \top_grid_x25[7]~output_o ;
+
+assign top_grid_x25[8] = \top_grid_x25[8]~output_o ;
+
+assign top_grid_x25[9] = \top_grid_x25[9]~output_o ;
+
+assign top_grid_x26[0] = \top_grid_x26[0]~output_o ;
+
+assign top_grid_x26[1] = \top_grid_x26[1]~output_o ;
+
+assign top_grid_x26[2] = \top_grid_x26[2]~output_o ;
+
+assign top_grid_x26[3] = \top_grid_x26[3]~output_o ;
+
+assign top_grid_x26[4] = \top_grid_x26[4]~output_o ;
+
+assign top_grid_x26[5] = \top_grid_x26[5]~output_o ;
+
+assign top_grid_x26[6] = \top_grid_x26[6]~output_o ;
+
+assign top_grid_x26[7] = \top_grid_x26[7]~output_o ;
+
+assign top_grid_x26[8] = \top_grid_x26[8]~output_o ;
+
+assign top_grid_x26[9] = \top_grid_x26[9]~output_o ;
+
+assign top_grid_x27[0] = \top_grid_x27[0]~output_o ;
+
+assign top_grid_x27[1] = \top_grid_x27[1]~output_o ;
+
+assign top_grid_x27[2] = \top_grid_x27[2]~output_o ;
+
+assign top_grid_x27[3] = \top_grid_x27[3]~output_o ;
+
+assign top_grid_x27[4] = \top_grid_x27[4]~output_o ;
+
+assign top_grid_x27[5] = \top_grid_x27[5]~output_o ;
+
+assign top_grid_x27[6] = \top_grid_x27[6]~output_o ;
+
+assign top_grid_x27[7] = \top_grid_x27[7]~output_o ;
+
+assign top_grid_x27[8] = \top_grid_x27[8]~output_o ;
+
+assign top_grid_x27[9] = \top_grid_x27[9]~output_o ;
+
+assign top_grid_x28[0] = \top_grid_x28[0]~output_o ;
+
+assign top_grid_x28[1] = \top_grid_x28[1]~output_o ;
+
+assign top_grid_x28[2] = \top_grid_x28[2]~output_o ;
+
+assign top_grid_x28[3] = \top_grid_x28[3]~output_o ;
+
+assign top_grid_x28[4] = \top_grid_x28[4]~output_o ;
+
+assign top_grid_x28[5] = \top_grid_x28[5]~output_o ;
+
+assign top_grid_x28[6] = \top_grid_x28[6]~output_o ;
+
+assign top_grid_x28[7] = \top_grid_x28[7]~output_o ;
+
+assign top_grid_x28[8] = \top_grid_x28[8]~output_o ;
+
+assign top_grid_x28[9] = \top_grid_x28[9]~output_o ;
+
+assign top_grid_x29[0] = \top_grid_x29[0]~output_o ;
+
+assign top_grid_x29[1] = \top_grid_x29[1]~output_o ;
+
+assign top_grid_x29[2] = \top_grid_x29[2]~output_o ;
+
+assign top_grid_x29[3] = \top_grid_x29[3]~output_o ;
+
+assign top_grid_x29[4] = \top_grid_x29[4]~output_o ;
+
+assign top_grid_x29[5] = \top_grid_x29[5]~output_o ;
+
+assign top_grid_x29[6] = \top_grid_x29[6]~output_o ;
+
+assign top_grid_x29[7] = \top_grid_x29[7]~output_o ;
+
+assign top_grid_x29[8] = \top_grid_x29[8]~output_o ;
+
+assign top_grid_x29[9] = \top_grid_x29[9]~output_o ;
+
+assign top_grid_x30[0] = \top_grid_x30[0]~output_o ;
+
+assign top_grid_x30[1] = \top_grid_x30[1]~output_o ;
+
+assign top_grid_x30[2] = \top_grid_x30[2]~output_o ;
+
+assign top_grid_x30[3] = \top_grid_x30[3]~output_o ;
+
+assign top_grid_x30[4] = \top_grid_x30[4]~output_o ;
+
+assign top_grid_x30[5] = \top_grid_x30[5]~output_o ;
+
+assign top_grid_x30[6] = \top_grid_x30[6]~output_o ;
+
+assign top_grid_x30[7] = \top_grid_x30[7]~output_o ;
+
+assign top_grid_x30[8] = \top_grid_x30[8]~output_o ;
+
+assign top_grid_x30[9] = \top_grid_x30[9]~output_o ;
+
+assign top_grid_x31[0] = \top_grid_x31[0]~output_o ;
+
+assign top_grid_x31[1] = \top_grid_x31[1]~output_o ;
+
+assign top_grid_x31[2] = \top_grid_x31[2]~output_o ;
+
+assign top_grid_x31[3] = \top_grid_x31[3]~output_o ;
+
+assign top_grid_x31[4] = \top_grid_x31[4]~output_o ;
+
+assign top_grid_x31[5] = \top_grid_x31[5]~output_o ;
+
+assign top_grid_x31[6] = \top_grid_x31[6]~output_o ;
+
+assign top_grid_x31[7] = \top_grid_x31[7]~output_o ;
+
+assign top_grid_x31[8] = \top_grid_x31[8]~output_o ;
+
+assign top_grid_x31[9] = \top_grid_x31[9]~output_o ;
+
+endmodule