aboutsummaryrefslogtreecommitdiffstats
path: root/column_ chooser/db/column_chooser.map.qmsg
blob: 1e48d6555ff0bb54a35b8df1f2658339e017dbd9 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" {  } {  } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1462616372803 ""}
{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus II 64-Bit " "Running Quartus II 64-Bit Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Full Version " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Full Version" {  } {  } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1462616372804 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sat May 07 11:19:32 2016 " "Processing started: Sat May 07 11:19:32 2016" {  } {  } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1462616372804 ""}  } {  } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1462616372804 ""}
{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off column_chooser -c column_chooser " "Command: quartus_map --read_settings_files=on --write_settings_files=off column_chooser -c column_chooser" {  } {  } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1462616372804 ""}
{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS_MORE_LOGICAL" "4 4 8 " "Parallel Compilation has detected 8 hyper-threaded processors. However, the extra hyper-threaded processors will not be used by default. Parallel Compilation will use 4 of the 4 physical processors detected instead." {  } {  } 0 11104 "Parallel Compilation has detected %3!i! hyper-threaded processors. However, the extra hyper-threaded processors will not be used by default. Parallel Compilation will use %1!i! of the %2!i! physical processors detected instead." 0 0 "Quartus II" 0 -1 1462616373220 ""}
{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "column_chooser.v 1 1 " "Found 1 design units, including 1 entities, in source file column_chooser.v" { { "Info" "ISGN_ENTITY_NAME" "1 column_chooser " "Found entity 1: column_chooser" {  } { { "column_chooser.v" "" { Text "C:/git/verilog/column_ chooser/column_chooser.v" 1 -1 0 } }  } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1462616373281 ""}  } {  } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1462616373281 ""}
{ "Info" "ISGN_START_ELABORATION_TOP" "column_chooser " "Elaborating entity \"column_chooser\" for the top level hierarchy" {  } {  } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Quartus II" 0 -1 1462616373310 ""}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 10 column_chooser.v(43) " "Verilog HDL assignment warning at column_chooser.v(43): truncated value with size 32 to match size of target (10)" {  } { { "column_chooser.v" "" { Text "C:/git/verilog/column_ chooser/column_chooser.v" 43 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1462616373311 "|column_chooser"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 10 column_chooser.v(44) " "Verilog HDL assignment warning at column_chooser.v(44): truncated value with size 32 to match size of target (10)" {  } { { "column_chooser.v" "" { Text "C:/git/verilog/column_ chooser/column_chooser.v" 44 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1462616373311 "|column_chooser"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 10 column_chooser.v(45) " "Verilog HDL assignment warning at column_chooser.v(45): truncated value with size 32 to match size of target (10)" {  } { { "column_chooser.v" "" { Text "C:/git/verilog/column_ chooser/column_chooser.v" 45 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1462616373312 "|column_chooser"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 10 column_chooser.v(46) " "Verilog HDL assignment warning at column_chooser.v(46): truncated value with size 32 to match size of target (10)" {  } { { "column_chooser.v" "" { Text "C:/git/verilog/column_ chooser/column_chooser.v" 46 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1462616373312 "|column_chooser"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 10 column_chooser.v(47) " "Verilog HDL assignment warning at column_chooser.v(47): truncated value with size 32 to match size of target (10)" {  } { { "column_chooser.v" "" { Text "C:/git/verilog/column_ chooser/column_chooser.v" 47 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1462616373313 "|column_chooser"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 10 column_chooser.v(48) " "Verilog HDL assignment warning at column_chooser.v(48): truncated value with size 32 to match size of target (10)" {  } { { "column_chooser.v" "" { Text "C:/git/verilog/column_ chooser/column_chooser.v" 48 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1462616373313 "|column_chooser"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 10 column_chooser.v(49) " "Verilog HDL assignment warning at column_chooser.v(49): truncated value with size 32 to match size of target (10)" {  } { { "column_chooser.v" "" { Text "C:/git/verilog/column_ chooser/column_chooser.v" 49 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1462616373313 "|column_chooser"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 10 column_chooser.v(50) " "Verilog HDL assignment warning at column_chooser.v(50): truncated value with size 32 to match size of target (10)" {  } { { "column_chooser.v" "" { Text "C:/git/verilog/column_ chooser/column_chooser.v" 50 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1462616373313 "|column_chooser"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 10 column_chooser.v(51) " "Verilog HDL assignment warning at column_chooser.v(51): truncated value with size 32 to match size of target (10)" {  } { { "column_chooser.v" "" { Text "C:/git/verilog/column_ chooser/column_chooser.v" 51 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1462616373314 "|column_chooser"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 10 column_chooser.v(52) " "Verilog HDL assignment warning at column_chooser.v(52): truncated value with size 32 to match size of target (10)" {  } { { "column_chooser.v" "" { Text "C:/git/verilog/column_ chooser/column_chooser.v" 52 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1462616373314 "|column_chooser"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 10 column_chooser.v(53) " "Verilog HDL assignment warning at column_chooser.v(53): truncated value with size 32 to match size of target (10)" {  } { { "column_chooser.v" "" { Text "C:/git/verilog/column_ chooser/column_chooser.v" 53 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1462616373314 "|column_chooser"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 10 column_chooser.v(54) " "Verilog HDL assignment warning at column_chooser.v(54): truncated value with size 32 to match size of target (10)" {  } { { "column_chooser.v" "" { Text "C:/git/verilog/column_ chooser/column_chooser.v" 54 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1462616373315 "|column_chooser"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 10 column_chooser.v(55) " "Verilog HDL assignment warning at column_chooser.v(55): truncated value with size 32 to match size of target (10)" {  } { { "column_chooser.v" "" { Text "C:/git/verilog/column_ chooser/column_chooser.v" 55 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1462616373315 "|column_chooser"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 10 column_chooser.v(56) " "Verilog HDL assignment warning at column_chooser.v(56): truncated value with size 32 to match size of target (10)" {  } { { "column_chooser.v" "" { Text "C:/git/verilog/column_ chooser/column_chooser.v" 56 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1462616373315 "|column_chooser"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 10 column_chooser.v(57) " "Verilog HDL assignment warning at column_chooser.v(57): truncated value with size 32 to match size of target (10)" {  } { { "column_chooser.v" "" { Text "C:/git/verilog/column_ chooser/column_chooser.v" 57 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1462616373316 "|column_chooser"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 10 column_chooser.v(58) " "Verilog HDL assignment warning at column_chooser.v(58): truncated value with size 32 to match size of target (10)" {  } { { "column_chooser.v" "" { Text "C:/git/verilog/column_ chooser/column_chooser.v" 58 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1462616373316 "|column_chooser"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 10 column_chooser.v(59) " "Verilog HDL assignment warning at column_chooser.v(59): truncated value with size 32 to match size of target (10)" {  } { { "column_chooser.v" "" { Text "C:/git/verilog/column_ chooser/column_chooser.v" 59 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1462616373316 "|column_chooser"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 10 column_chooser.v(60) " "Verilog HDL assignment warning at column_chooser.v(60): truncated value with size 32 to match size of target (10)" {  } { { "column_chooser.v" "" { Text "C:/git/verilog/column_ chooser/column_chooser.v" 60 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1462616373316 "|column_chooser"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 10 column_chooser.v(61) " "Verilog HDL assignment warning at column_chooser.v(61): truncated value with size 32 to match size of target (10)" {  } { { "column_chooser.v" "" { Text "C:/git/verilog/column_ chooser/column_chooser.v" 61 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1462616373317 "|column_chooser"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 10 column_chooser.v(62) " "Verilog HDL assignment warning at column_chooser.v(62): truncated value with size 32 to match size of target (10)" {  } { { "column_chooser.v" "" { Text "C:/git/verilog/column_ chooser/column_chooser.v" 62 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1462616373317 "|column_chooser"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 10 column_chooser.v(63) " "Verilog HDL assignment warning at column_chooser.v(63): truncated value with size 32 to match size of target (10)" {  } { { "column_chooser.v" "" { Text "C:/git/verilog/column_ chooser/column_chooser.v" 63 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1462616373317 "|column_chooser"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 10 column_chooser.v(64) " "Verilog HDL assignment warning at column_chooser.v(64): truncated value with size 32 to match size of target (10)" {  } { { "column_chooser.v" "" { Text "C:/git/verilog/column_ chooser/column_chooser.v" 64 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1462616373317 "|column_chooser"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 10 column_chooser.v(65) " "Verilog HDL assignment warning at column_chooser.v(65): truncated value with size 32 to match size of target (10)" {  } { { "column_chooser.v" "" { Text "C:/git/verilog/column_ chooser/column_chooser.v" 65 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1462616373318 "|column_chooser"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 10 column_chooser.v(66) " "Verilog HDL assignment warning at column_chooser.v(66): truncated value with size 32 to match size of target (10)" {  } { { "column_chooser.v" "" { Text "C:/git/verilog/column_ chooser/column_chooser.v" 66 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1462616373318 "|column_chooser"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 10 column_chooser.v(67) " "Verilog HDL assignment warning at column_chooser.v(67): truncated value with size 32 to match size of target (10)" {  } { { "column_chooser.v" "" { Text "C:/git/verilog/column_ chooser/column_chooser.v" 67 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1462616373318 "|column_chooser"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 10 column_chooser.v(68) " "Verilog HDL assignment warning at column_chooser.v(68): truncated value with size 32 to match size of target (10)" {  } { { "column_chooser.v" "" { Text "C:/git/verilog/column_ chooser/column_chooser.v" 68 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1462616373318 "|column_chooser"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 10 column_chooser.v(69) " "Verilog HDL assignment warning at column_chooser.v(69): truncated value with size 32 to match size of target (10)" {  } { { "column_chooser.v" "" { Text "C:/git/verilog/column_ chooser/column_chooser.v" 69 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1462616373319 "|column_chooser"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 10 column_chooser.v(70) " "Verilog HDL assignment warning at column_chooser.v(70): truncated value with size 32 to match size of target (10)" {  } { { "column_chooser.v" "" { Text "C:/git/verilog/column_ chooser/column_chooser.v" 70 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1462616373319 "|column_chooser"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 10 column_chooser.v(71) " "Verilog HDL assignment warning at column_chooser.v(71): truncated value with size 32 to match size of target (10)" {  } { { "column_chooser.v" "" { Text "C:/git/verilog/column_ chooser/column_chooser.v" 71 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1462616373319 "|column_chooser"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 10 column_chooser.v(72) " "Verilog HDL assignment warning at column_chooser.v(72): truncated value with size 32 to match size of target (10)" {  } { { "column_chooser.v" "" { Text "C:/git/verilog/column_ chooser/column_chooser.v" 72 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1462616373320 "|column_chooser"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 10 column_chooser.v(73) " "Verilog HDL assignment warning at column_chooser.v(73): truncated value with size 32 to match size of target (10)" {  } { { "column_chooser.v" "" { Text "C:/git/verilog/column_ chooser/column_chooser.v" 73 0 0 } }  } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1462616373320 "|column_chooser"}
{ "Info" "ILPMS_INFERENCING_SUMMARY" "10 " "Inferred 10 megafunctions from design logic" { { "Info" "ILPMS_LPM_MULT_INFERRED" "Mult0 lpm_mult " "Inferred multiplier megafunction (\"lpm_mult\") from the following logic: \"Mult0\"" {  } { { "column_chooser.v" "Mult0" { Text "C:/git/verilog/column_ chooser/column_chooser.v" 53 -1 0 } }  } 0 278003 "Inferred multiplier megafunction (\"%2!s!\") from the following logic: \"%1!s!\"" 0 0 "Quartus II" 0 -1 1462616373618 ""} { "Info" "ILPMS_LPM_MULT_INFERRED" "Mult1 lpm_mult " "Inferred multiplier megafunction (\"lpm_mult\") from the following logic: \"Mult1\"" {  } { { "column_chooser.v" "Mult1" { Text "C:/git/verilog/column_ chooser/column_chooser.v" 55 -1 0 } }  } 0 278003 "Inferred multiplier megafunction (\"%2!s!\") from the following logic: \"%1!s!\"" 0 0 "Quartus II" 0 -1 1462616373618 ""} { "Info" "ILPMS_LPM_MULT_INFERRED" "Mult2 lpm_mult " "Inferred multiplier megafunction (\"lpm_mult\") from the following logic: \"Mult2\"" {  } { { "column_chooser.v" "Mult2" { Text "C:/git/verilog/column_ chooser/column_chooser.v" 61 -1 0 } }  } 0 278003 "Inferred multiplier megafunction (\"%2!s!\") from the following logic: \"%1!s!\"" 0 0 "Quartus II" 0 -1 1462616373618 ""} { "Info" "ILPMS_LPM_MULT_INFERRED" "Mult3 lpm_mult " "Inferred multiplier megafunction (\"lpm_mult\") from the following logic: \"Mult3\"" {  } { { "column_chooser.v" "Mult3" { Text "C:/git/verilog/column_ chooser/column_chooser.v" 63 -1 0 } }  } 0 278003 "Inferred multiplier megafunction (\"%2!s!\") from the following logic: \"%1!s!\"" 0 0 "Quartus II" 0 -1 1462616373618 ""} { "Info" "ILPMS_LPM_MULT_INFERRED" "Mult4 lpm_mult " "Inferred multiplier megafunction (\"lpm_mult\") from the following logic: \"Mult4\"" {  } { { "column_chooser.v" "Mult4" { Text "C:/git/verilog/column_ chooser/column_chooser.v" 64 -1 0 } }  } 0 278003 "Inferred multiplier megafunction (\"%2!s!\") from the following logic: \"%1!s!\"" 0 0 "Quartus II" 0 -1 1462616373618 ""} { "Info" "ILPMS_LPM_MULT_INFERRED" "Mult5 lpm_mult " "Inferred multiplier megafunction (\"lpm_mult\") from the following logic: \"Mult5\"" {  } { { "column_chooser.v" "Mult5" { Text "C:/git/verilog/column_ chooser/column_chooser.v" 65 -1 0 } }  } 0 278003 "Inferred multiplier megafunction (\"%2!s!\") from the following logic: \"%1!s!\"" 0 0 "Quartus II" 0 -1 1462616373618 ""} { "Info" "ILPMS_LPM_MULT_INFERRED" "Mult6 lpm_mult " "Inferred multiplier megafunction (\"lpm_mult\") from the following logic: \"Mult6\"" {  } { { "column_chooser.v" "Mult6" { Text "C:/git/verilog/column_ chooser/column_chooser.v" 67 -1 0 } }  } 0 278003 "Inferred multiplier megafunction (\"%2!s!\") from the following logic: \"%1!s!\"" 0 0 "Quartus II" 0 -1 1462616373618 ""} { "Info" "ILPMS_LPM_MULT_INFERRED" "Mult7 lpm_mult " "Inferred multiplier megafunction (\"lpm_mult\") from the following logic: \"Mult7\"" {  } { { "column_chooser.v" "Mult7" { Text "C:/git/verilog/column_ chooser/column_chooser.v" 68 -1 0 } }  } 0 278003 "Inferred multiplier megafunction (\"%2!s!\") from the following logic: \"%1!s!\"" 0 0 "Quartus II" 0 -1 1462616373618 ""} { "Info" "ILPMS_LPM_MULT_INFERRED" "Mult8 lpm_mult " "Inferred multiplier megafunction (\"lpm_mult\") from the following logic: \"Mult8\"" {  } { { "column_chooser.v" "Mult8" { Text "C:/git/verilog/column_ chooser/column_chooser.v" 69 -1 0 } }  } 0 278003 "Inferred multiplier megafunction (\"%2!s!\") from the following logic: \"%1!s!\"" 0 0 "Quartus II" 0 -1 1462616373618 ""} { "Info" "ILPMS_LPM_MULT_INFERRED" "Mult9 lpm_mult " "Inferred multiplier megafunction (\"lpm_mult\") from the following logic: \"Mult9\"" {  } { { "column_chooser.v" "Mult9" { Text "C:/git/verilog/column_ chooser/column_chooser.v" 71 -1 0 } }  } 0 278003 "Inferred multiplier megafunction (\"%2!s!\") from the following logic: \"%1!s!\"" 0 0 "Quartus II" 0 -1 1462616373618 ""}  } {  } 0 278001 "Inferred %1!llu! megafunctions from design logic" 0 0 "Quartus II" 0 -1 1462616373618 ""}
{ "Info" "ISGN_ELABORATION_HEADER" "lpm_mult:Mult0 " "Elaborated megafunction instantiation \"lpm_mult:Mult0\"" {  } { { "column_chooser.v" "" { Text "C:/git/verilog/column_ chooser/column_chooser.v" 53 -1 0 } }  } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1462616373689 ""}
{ "Info" "ISGN_MEGAFN_PARAM_TOP" "lpm_mult:Mult0 " "Instantiated megafunction \"lpm_mult:Mult0\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTHA 4 " "Parameter \"LPM_WIDTHA\" = \"4\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1462616373690 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTHB 15 " "Parameter \"LPM_WIDTHB\" = \"15\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1462616373690 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTHP 19 " "Parameter \"LPM_WIDTHP\" = \"19\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1462616373690 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTHR 19 " "Parameter \"LPM_WIDTHR\" = \"19\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1462616373690 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTHS 1 " "Parameter \"LPM_WIDTHS\" = \"1\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1462616373690 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_REPRESENTATION UNSIGNED " "Parameter \"LPM_REPRESENTATION\" = \"UNSIGNED\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1462616373690 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "INPUT_A_IS_CONSTANT YES " "Parameter \"INPUT_A_IS_CONSTANT\" = \"YES\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1462616373690 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "INPUT_B_IS_CONSTANT NO " "Parameter \"INPUT_B_IS_CONSTANT\" = \"NO\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1462616373690 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "MAXIMIZE_SPEED 5 " "Parameter \"MAXIMIZE_SPEED\" = \"5\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1462616373690 ""}  } { { "column_chooser.v" "" { Text "C:/git/verilog/column_ chooser/column_chooser.v" 53 -1 0 } }  } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1462616373690 ""}
{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_mult:Mult0\|multcore:mult_core lpm_mult:Mult0 " "Elaborated megafunction instantiation \"lpm_mult:Mult0\|multcore:mult_core\", which is child of megafunction instantiation \"lpm_mult:Mult0\"" {  } { { "lpm_mult.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/lpm_mult.tdf" 307 5 0 } } { "column_chooser.v" "" { Text "C:/git/verilog/column_ chooser/column_chooser.v" 53 -1 0 } }  } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1462616373749 ""}
{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_mult:Mult0\|multcore:mult_core\|mpar_add:padder lpm_mult:Mult0 " "Elaborated megafunction instantiation \"lpm_mult:Mult0\|multcore:mult_core\|mpar_add:padder\", which is child of megafunction instantiation \"lpm_mult:Mult0\"" {  } { { "multcore.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/multcore.tdf" 228 7 0 } } { "column_chooser.v" "" { Text "C:/git/verilog/column_ chooser/column_chooser.v" 53 -1 0 } }  } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1462616373780 ""}
{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_mult:Mult0\|multcore:mult_core\|mpar_add:padder\|lpm_add_sub:adder\[1\] lpm_mult:Mult0 " "Elaborated megafunction instantiation \"lpm_mult:Mult0\|multcore:mult_core\|mpar_add:padder\|lpm_add_sub:adder\[1\]\", which is child of megafunction instantiation \"lpm_mult:Mult0\"" {  } { { "mpar_add.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/mpar_add.tdf" 78 8 0 } } { "column_chooser.v" "" { Text "C:/git/verilog/column_ chooser/column_chooser.v" 53 -1 0 } }  } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1462616373828 ""}
{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/add_sub_1eh.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/add_sub_1eh.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 add_sub_1eh " "Found entity 1: add_sub_1eh" {  } { { "db/add_sub_1eh.tdf" "" { Text "C:/git/verilog/column_ chooser/db/add_sub_1eh.tdf" 22 1 0 } }  } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1462616373893 ""}  } {  } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1462616373893 ""}
{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_mult:Mult0\|multcore:mult_core\|mpar_add:padder\|mpar_add:sub_par_add lpm_mult:Mult0 " "Elaborated megafunction instantiation \"lpm_mult:Mult0\|multcore:mult_core\|mpar_add:padder\|mpar_add:sub_par_add\", which is child of megafunction instantiation \"lpm_mult:Mult0\"" {  } { { "mpar_add.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/mpar_add.tdf" 138 3 0 } } { "column_chooser.v" "" { Text "C:/git/verilog/column_ chooser/column_chooser.v" 53 -1 0 } }  } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1462616373913 ""}
{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_mult:Mult0\|multcore:mult_core\|mpar_add:padder\|mpar_add:sub_par_add\|lpm_add_sub:adder\[0\] lpm_mult:Mult0 " "Elaborated megafunction instantiation \"lpm_mult:Mult0\|multcore:mult_core\|mpar_add:padder\|mpar_add:sub_par_add\|lpm_add_sub:adder\[0\]\", which is child of megafunction instantiation \"lpm_mult:Mult0\"" {  } { { "mpar_add.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/mpar_add.tdf" 78 8 0 } } { "column_chooser.v" "" { Text "C:/git/verilog/column_ chooser/column_chooser.v" 53 -1 0 } }  } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1462616373926 ""}
{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/add_sub_cfh.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/add_sub_cfh.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 add_sub_cfh " "Found entity 1: add_sub_cfh" {  } { { "db/add_sub_cfh.tdf" "" { Text "C:/git/verilog/column_ chooser/db/add_sub_cfh.tdf" 22 1 0 } }  } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1462616373992 ""}  } {  } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1462616373992 ""}
{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_mult:Mult0\|altshift:external_latency_ffs lpm_mult:Mult0 " "Elaborated megafunction instantiation \"lpm_mult:Mult0\|altshift:external_latency_ffs\", which is child of megafunction instantiation \"lpm_mult:Mult0\"" {  } { { "lpm_mult.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/lpm_mult.tdf" 350 4 0 } } { "column_chooser.v" "" { Text "C:/git/verilog/column_ chooser/column_chooser.v" 53 -1 0 } }  } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1462616374024 ""}
{ "Info" "ISGN_ELABORATION_HEADER" "lpm_mult:Mult1 " "Elaborated megafunction instantiation \"lpm_mult:Mult1\"" {  } { { "column_chooser.v" "" { Text "C:/git/verilog/column_ chooser/column_chooser.v" 55 -1 0 } }  } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1462616374050 ""}
{ "Info" "ISGN_MEGAFN_PARAM_TOP" "lpm_mult:Mult1 " "Instantiated megafunction \"lpm_mult:Mult1\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTHA 4 " "Parameter \"LPM_WIDTHA\" = \"4\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1462616374050 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTHB 15 " "Parameter \"LPM_WIDTHB\" = \"15\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1462616374050 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTHP 19 " "Parameter \"LPM_WIDTHP\" = \"19\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1462616374050 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTHR 19 " "Parameter \"LPM_WIDTHR\" = \"19\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1462616374050 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTHS 1 " "Parameter \"LPM_WIDTHS\" = \"1\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1462616374050 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_REPRESENTATION UNSIGNED " "Parameter \"LPM_REPRESENTATION\" = \"UNSIGNED\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1462616374050 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "INPUT_A_IS_CONSTANT YES " "Parameter \"INPUT_A_IS_CONSTANT\" = \"YES\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1462616374050 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "INPUT_B_IS_CONSTANT NO " "Parameter \"INPUT_B_IS_CONSTANT\" = \"NO\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1462616374050 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "MAXIMIZE_SPEED 5 " "Parameter \"MAXIMIZE_SPEED\" = \"5\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1462616374050 ""}  } { { "column_chooser.v" "" { Text "C:/git/verilog/column_ chooser/column_chooser.v" 55 -1 0 } }  } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1462616374050 ""}
{ "Info" "ISGN_ELABORATION_HEADER" "lpm_mult:Mult2 " "Elaborated megafunction instantiation \"lpm_mult:Mult2\"" {  } { { "column_chooser.v" "" { Text "C:/git/verilog/column_ chooser/column_chooser.v" 61 -1 0 } }  } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1462616374096 ""}
{ "Info" "ISGN_MEGAFN_PARAM_TOP" "lpm_mult:Mult2 " "Instantiated megafunction \"lpm_mult:Mult2\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTHA 5 " "Parameter \"LPM_WIDTHA\" = \"5\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1462616374096 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTHB 15 " "Parameter \"LPM_WIDTHB\" = \"15\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1462616374096 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTHP 20 " "Parameter \"LPM_WIDTHP\" = \"20\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1462616374096 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTHR 20 " "Parameter \"LPM_WIDTHR\" = \"20\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1462616374096 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTHS 1 " "Parameter \"LPM_WIDTHS\" = \"1\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1462616374096 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_REPRESENTATION UNSIGNED " "Parameter \"LPM_REPRESENTATION\" = \"UNSIGNED\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1462616374096 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "INPUT_A_IS_CONSTANT YES " "Parameter \"INPUT_A_IS_CONSTANT\" = \"YES\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1462616374096 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "INPUT_B_IS_CONSTANT NO " "Parameter \"INPUT_B_IS_CONSTANT\" = \"NO\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1462616374096 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "MAXIMIZE_SPEED 5 " "Parameter \"MAXIMIZE_SPEED\" = \"5\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1462616374096 ""}  } { { "column_chooser.v" "" { Text "C:/git/verilog/column_ chooser/column_chooser.v" 61 -1 0 } }  } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1462616374096 ""}
{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_mult:Mult2\|multcore:mult_core lpm_mult:Mult2 " "Elaborated megafunction instantiation \"lpm_mult:Mult2\|multcore:mult_core\", which is child of megafunction instantiation \"lpm_mult:Mult2\"" {  } { { "lpm_mult.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/lpm_mult.tdf" 307 5 0 } } { "column_chooser.v" "" { Text "C:/git/verilog/column_ chooser/column_chooser.v" 61 -1 0 } }  } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1462616374114 ""}
{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_mult:Mult2\|multcore:mult_core\|mpar_add:padder lpm_mult:Mult2 " "Elaborated megafunction instantiation \"lpm_mult:Mult2\|multcore:mult_core\|mpar_add:padder\", which is child of megafunction instantiation \"lpm_mult:Mult2\"" {  } { { "multcore.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/multcore.tdf" 228 7 0 } } { "column_chooser.v" "" { Text "C:/git/verilog/column_ chooser/column_chooser.v" 61 -1 0 } }  } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1462616374121 ""}
{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_mult:Mult2\|multcore:mult_core\|mpar_add:padder\|lpm_add_sub:adder\[1\] lpm_mult:Mult2 " "Elaborated megafunction instantiation \"lpm_mult:Mult2\|multcore:mult_core\|mpar_add:padder\|lpm_add_sub:adder\[1\]\", which is child of megafunction instantiation \"lpm_mult:Mult2\"" {  } { { "mpar_add.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/mpar_add.tdf" 78 8 0 } } { "column_chooser.v" "" { Text "C:/git/verilog/column_ chooser/column_chooser.v" 61 -1 0 } }  } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1462616374135 ""}
{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/add_sub_2eh.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/add_sub_2eh.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 add_sub_2eh " "Found entity 1: add_sub_2eh" {  } { { "db/add_sub_2eh.tdf" "" { Text "C:/git/verilog/column_ chooser/db/add_sub_2eh.tdf" 22 1 0 } }  } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1462616374198 ""}  } {  } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1462616374198 ""}
{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_mult:Mult2\|multcore:mult_core\|mpar_add:padder\|mpar_add:sub_par_add lpm_mult:Mult2 " "Elaborated megafunction instantiation \"lpm_mult:Mult2\|multcore:mult_core\|mpar_add:padder\|mpar_add:sub_par_add\", which is child of megafunction instantiation \"lpm_mult:Mult2\"" {  } { { "mpar_add.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/mpar_add.tdf" 138 3 0 } } { "column_chooser.v" "" { Text "C:/git/verilog/column_ chooser/column_chooser.v" 61 -1 0 } }  } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1462616374217 ""}
{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_mult:Mult2\|multcore:mult_core\|mpar_add:padder\|mpar_add:sub_par_add\|lpm_add_sub:adder\[0\] lpm_mult:Mult2 " "Elaborated megafunction instantiation \"lpm_mult:Mult2\|multcore:mult_core\|mpar_add:padder\|mpar_add:sub_par_add\|lpm_add_sub:adder\[0\]\", which is child of megafunction instantiation \"lpm_mult:Mult2\"" {  } { { "mpar_add.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/mpar_add.tdf" 78 8 0 } } { "column_chooser.v" "" { Text "C:/git/verilog/column_ chooser/column_chooser.v" 61 -1 0 } }  } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1462616374232 ""}
{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/add_sub_dfh.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/add_sub_dfh.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 add_sub_dfh " "Found entity 1: add_sub_dfh" {  } { { "db/add_sub_dfh.tdf" "" { Text "C:/git/verilog/column_ chooser/db/add_sub_dfh.tdf" 22 1 0 } }  } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1462616374298 ""}  } {  } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1462616374298 ""}
{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_mult:Mult2\|altshift:external_latency_ffs lpm_mult:Mult2 " "Elaborated megafunction instantiation \"lpm_mult:Mult2\|altshift:external_latency_ffs\", which is child of megafunction instantiation \"lpm_mult:Mult2\"" {  } { { "lpm_mult.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/lpm_mult.tdf" 350 4 0 } } { "column_chooser.v" "" { Text "C:/git/verilog/column_ chooser/column_chooser.v" 61 -1 0 } }  } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1462616374311 ""}
{ "Info" "ISGN_ELABORATION_HEADER" "lpm_mult:Mult3 " "Elaborated megafunction instantiation \"lpm_mult:Mult3\"" {  } { { "column_chooser.v" "" { Text "C:/git/verilog/column_ chooser/column_chooser.v" 63 -1 0 } }  } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1462616374333 ""}
{ "Info" "ISGN_MEGAFN_PARAM_TOP" "lpm_mult:Mult3 " "Instantiated megafunction \"lpm_mult:Mult3\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTHA 5 " "Parameter \"LPM_WIDTHA\" = \"5\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1462616374333 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTHB 15 " "Parameter \"LPM_WIDTHB\" = \"15\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1462616374333 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTHP 20 " "Parameter \"LPM_WIDTHP\" = \"20\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1462616374333 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTHR 20 " "Parameter \"LPM_WIDTHR\" = \"20\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1462616374333 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTHS 1 " "Parameter \"LPM_WIDTHS\" = \"1\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1462616374333 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_REPRESENTATION UNSIGNED " "Parameter \"LPM_REPRESENTATION\" = \"UNSIGNED\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1462616374333 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "INPUT_A_IS_CONSTANT YES " "Parameter \"INPUT_A_IS_CONSTANT\" = \"YES\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1462616374333 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "INPUT_B_IS_CONSTANT NO " "Parameter \"INPUT_B_IS_CONSTANT\" = \"NO\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1462616374333 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "MAXIMIZE_SPEED 5 " "Parameter \"MAXIMIZE_SPEED\" = \"5\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1462616374333 ""}  } { { "column_chooser.v" "" { Text "C:/git/verilog/column_ chooser/column_chooser.v" 63 -1 0 } }  } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1462616374333 ""}
{ "Info" "ISGN_ELABORATION_HEADER" "lpm_mult:Mult4 " "Elaborated megafunction instantiation \"lpm_mult:Mult4\"" {  } { { "column_chooser.v" "" { Text "C:/git/verilog/column_ chooser/column_chooser.v" 64 -1 0 } }  } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1462616374377 ""}
{ "Info" "ISGN_MEGAFN_PARAM_TOP" "lpm_mult:Mult4 " "Instantiated megafunction \"lpm_mult:Mult4\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTHA 5 " "Parameter \"LPM_WIDTHA\" = \"5\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1462616374377 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTHB 15 " "Parameter \"LPM_WIDTHB\" = \"15\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1462616374377 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTHP 20 " "Parameter \"LPM_WIDTHP\" = \"20\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1462616374377 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTHR 20 " "Parameter \"LPM_WIDTHR\" = \"20\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1462616374377 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTHS 1 " "Parameter \"LPM_WIDTHS\" = \"1\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1462616374377 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_REPRESENTATION UNSIGNED " "Parameter \"LPM_REPRESENTATION\" = \"UNSIGNED\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1462616374377 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "INPUT_A_IS_CONSTANT YES " "Parameter \"INPUT_A_IS_CONSTANT\" = \"YES\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1462616374377 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "INPUT_B_IS_CONSTANT NO " "Parameter \"INPUT_B_IS_CONSTANT\" = \"NO\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1462616374377 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "MAXIMIZE_SPEED 5 " "Parameter \"MAXIMIZE_SPEED\" = \"5\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1462616374377 ""}  } { { "column_chooser.v" "" { Text "C:/git/verilog/column_ chooser/column_chooser.v" 64 -1 0 } }  } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1462616374377 ""}
{ "Info" "ISGN_ELABORATION_HEADER" "lpm_mult:Mult5 " "Elaborated megafunction instantiation \"lpm_mult:Mult5\"" {  } { { "column_chooser.v" "" { Text "C:/git/verilog/column_ chooser/column_chooser.v" 65 -1 0 } }  } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1462616374423 ""}
{ "Info" "ISGN_MEGAFN_PARAM_TOP" "lpm_mult:Mult5 " "Instantiated megafunction \"lpm_mult:Mult5\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTHA 5 " "Parameter \"LPM_WIDTHA\" = \"5\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1462616374423 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTHB 15 " "Parameter \"LPM_WIDTHB\" = \"15\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1462616374423 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTHP 20 " "Parameter \"LPM_WIDTHP\" = \"20\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1462616374423 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTHR 20 " "Parameter \"LPM_WIDTHR\" = \"20\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1462616374423 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTHS 1 " "Parameter \"LPM_WIDTHS\" = \"1\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1462616374423 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_REPRESENTATION UNSIGNED " "Parameter \"LPM_REPRESENTATION\" = \"UNSIGNED\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1462616374423 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "INPUT_A_IS_CONSTANT YES " "Parameter \"INPUT_A_IS_CONSTANT\" = \"YES\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1462616374423 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "INPUT_B_IS_CONSTANT NO " "Parameter \"INPUT_B_IS_CONSTANT\" = \"NO\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1462616374423 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "MAXIMIZE_SPEED 5 " "Parameter \"MAXIMIZE_SPEED\" = \"5\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1462616374423 ""}  } { { "column_chooser.v" "" { Text "C:/git/verilog/column_ chooser/column_chooser.v" 65 -1 0 } }  } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1462616374423 ""}
{ "Info" "ISGN_ELABORATION_HEADER" "lpm_mult:Mult6 " "Elaborated megafunction instantiation \"lpm_mult:Mult6\"" {  } { { "column_chooser.v" "" { Text "C:/git/verilog/column_ chooser/column_chooser.v" 67 -1 0 } }  } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1462616374471 ""}
{ "Info" "ISGN_MEGAFN_PARAM_TOP" "lpm_mult:Mult6 " "Instantiated megafunction \"lpm_mult:Mult6\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTHA 5 " "Parameter \"LPM_WIDTHA\" = \"5\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1462616374471 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTHB 15 " "Parameter \"LPM_WIDTHB\" = \"15\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1462616374471 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTHP 20 " "Parameter \"LPM_WIDTHP\" = \"20\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1462616374471 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTHR 20 " "Parameter \"LPM_WIDTHR\" = \"20\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1462616374471 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTHS 1 " "Parameter \"LPM_WIDTHS\" = \"1\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1462616374471 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_REPRESENTATION UNSIGNED " "Parameter \"LPM_REPRESENTATION\" = \"UNSIGNED\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1462616374471 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "INPUT_A_IS_CONSTANT YES " "Parameter \"INPUT_A_IS_CONSTANT\" = \"YES\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1462616374471 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "INPUT_B_IS_CONSTANT NO " "Parameter \"INPUT_B_IS_CONSTANT\" = \"NO\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1462616374471 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "MAXIMIZE_SPEED 5 " "Parameter \"MAXIMIZE_SPEED\" = \"5\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1462616374471 ""}  } { { "column_chooser.v" "" { Text "C:/git/verilog/column_ chooser/column_chooser.v" 67 -1 0 } }  } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1462616374471 ""}
{ "Info" "ISGN_ELABORATION_HEADER" "lpm_mult:Mult7 " "Elaborated megafunction instantiation \"lpm_mult:Mult7\"" {  } { { "column_chooser.v" "" { Text "C:/git/verilog/column_ chooser/column_chooser.v" 68 -1 0 } }  } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1462616374516 ""}
{ "Info" "ISGN_MEGAFN_PARAM_TOP" "lpm_mult:Mult7 " "Instantiated megafunction \"lpm_mult:Mult7\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTHA 5 " "Parameter \"LPM_WIDTHA\" = \"5\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1462616374517 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTHB 15 " "Parameter \"LPM_WIDTHB\" = \"15\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1462616374517 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTHP 20 " "Parameter \"LPM_WIDTHP\" = \"20\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1462616374517 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTHR 20 " "Parameter \"LPM_WIDTHR\" = \"20\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1462616374517 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTHS 1 " "Parameter \"LPM_WIDTHS\" = \"1\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1462616374517 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_REPRESENTATION UNSIGNED " "Parameter \"LPM_REPRESENTATION\" = \"UNSIGNED\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1462616374517 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "INPUT_A_IS_CONSTANT YES " "Parameter \"INPUT_A_IS_CONSTANT\" = \"YES\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1462616374517 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "INPUT_B_IS_CONSTANT NO " "Parameter \"INPUT_B_IS_CONSTANT\" = \"NO\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1462616374517 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "MAXIMIZE_SPEED 5 " "Parameter \"MAXIMIZE_SPEED\" = \"5\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1462616374517 ""}  } { { "column_chooser.v" "" { Text "C:/git/verilog/column_ chooser/column_chooser.v" 68 -1 0 } }  } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1462616374517 ""}
{ "Info" "ISGN_ELABORATION_HEADER" "lpm_mult:Mult8 " "Elaborated megafunction instantiation \"lpm_mult:Mult8\"" {  } { { "column_chooser.v" "" { Text "C:/git/verilog/column_ chooser/column_chooser.v" 69 -1 0 } }  } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1462616374589 ""}
{ "Info" "ISGN_MEGAFN_PARAM_TOP" "lpm_mult:Mult8 " "Instantiated megafunction \"lpm_mult:Mult8\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTHA 5 " "Parameter \"LPM_WIDTHA\" = \"5\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1462616374589 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTHB 15 " "Parameter \"LPM_WIDTHB\" = \"15\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1462616374589 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTHP 20 " "Parameter \"LPM_WIDTHP\" = \"20\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1462616374589 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTHR 20 " "Parameter \"LPM_WIDTHR\" = \"20\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1462616374589 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTHS 1 " "Parameter \"LPM_WIDTHS\" = \"1\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1462616374589 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_REPRESENTATION UNSIGNED " "Parameter \"LPM_REPRESENTATION\" = \"UNSIGNED\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1462616374589 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "INPUT_A_IS_CONSTANT YES " "Parameter \"INPUT_A_IS_CONSTANT\" = \"YES\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1462616374589 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "INPUT_B_IS_CONSTANT NO " "Parameter \"INPUT_B_IS_CONSTANT\" = \"NO\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1462616374589 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "MAXIMIZE_SPEED 5 " "Parameter \"MAXIMIZE_SPEED\" = \"5\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1462616374589 ""}  } { { "column_chooser.v" "" { Text "C:/git/verilog/column_ chooser/column_chooser.v" 69 -1 0 } }  } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1462616374589 ""}
{ "Info" "ISGN_ELABORATION_HEADER" "lpm_mult:Mult9 " "Elaborated megafunction instantiation \"lpm_mult:Mult9\"" {  } { { "column_chooser.v" "" { Text "C:/git/verilog/column_ chooser/column_chooser.v" 71 -1 0 } }  } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1462616374636 ""}
{ "Info" "ISGN_MEGAFN_PARAM_TOP" "lpm_mult:Mult9 " "Instantiated megafunction \"lpm_mult:Mult9\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTHA 5 " "Parameter \"LPM_WIDTHA\" = \"5\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1462616374636 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTHB 15 " "Parameter \"LPM_WIDTHB\" = \"15\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1462616374636 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTHP 20 " "Parameter \"LPM_WIDTHP\" = \"20\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1462616374636 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTHR 20 " "Parameter \"LPM_WIDTHR\" = \"20\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1462616374636 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTHS 1 " "Parameter \"LPM_WIDTHS\" = \"1\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1462616374636 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_REPRESENTATION UNSIGNED " "Parameter \"LPM_REPRESENTATION\" = \"UNSIGNED\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1462616374636 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "INPUT_A_IS_CONSTANT YES " "Parameter \"INPUT_A_IS_CONSTANT\" = \"YES\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1462616374636 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "INPUT_B_IS_CONSTANT NO " "Parameter \"INPUT_B_IS_CONSTANT\" = \"NO\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1462616374636 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "MAXIMIZE_SPEED 5 " "Parameter \"MAXIMIZE_SPEED\" = \"5\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1462616374636 ""}  } { { "column_chooser.v" "" { Text "C:/git/verilog/column_ chooser/column_chooser.v" 71 -1 0 } }  } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1462616374636 ""}
{ "Info" "ISUTIL_TIMING_DRIVEN_SYNTHESIS_RUNNING" "" "Timing-Driven Synthesis is running" {  } {  } 0 286030 "Timing-Driven Synthesis is running" 0 0 "Quartus II" 0 -1 1462616375254 ""}
{ "Info" "IBPM_HARD_BLOCK_PARTITION_CREATED" "hard_block:auto_generated_inst " "Generating hard_block partition \"hard_block:auto_generated_inst\"" { { "Info" "IBPM_HARD_BLOCK_PARTITION_NODE" "0 0 0 0 0 " "Adding 0 node(s), including 0 DDIO, 0 PLL, 0 transceiver and 0 LCELL" {  } {  } 0 16011 "Adding %1!d! node(s), including %2!d! DDIO, %3!d! PLL, %4!d! transceiver and %5!d! LCELL" 0 0 "Quartus II" 0 -1 1462616375753 ""}  } {  } 0 16010 "Generating hard_block partition \"%1!s!\"" 0 0 "Quartus II" 0 -1 1462616375753 ""}
{ "Info" "ICUT_CUT_TM_SUMMARY" "1087 " "Implemented 1087 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "20 " "Implemented 20 input pins" {  } {  } 0 21058 "Implemented %1!d! input pins" 0 0 "Quartus II" 0 -1 1462616375869 ""} { "Info" "ICUT_CUT_TM_OPINS" "320 " "Implemented 320 output pins" {  } {  } 0 21059 "Implemented %1!d! output pins" 0 0 "Quartus II" 0 -1 1462616375869 ""} { "Info" "ICUT_CUT_TM_LCELLS" "747 " "Implemented 747 logic cells" {  } {  } 0 21061 "Implemented %1!d! logic cells" 0 0 "Quartus II" 0 -1 1462616375869 ""}  } {  } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Quartus II" 0 -1 1462616375869 ""}
{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 31 s Quartus II 64-Bit " "Quartus II 64-Bit Analysis & Synthesis was successful. 0 errors, 31 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "497 " "Peak virtual memory: 497 megabytes" {  } {  } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1462616375895 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sat May 07 11:19:35 2016 " "Processing ended: Sat May 07 11:19:35 2016" {  } {  } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1462616375895 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:03 " "Elapsed time: 00:00:03" {  } {  } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1462616375895 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:03 " "Total CPU time (on all processors): 00:00:03" {  } {  } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1462616375895 ""}  } {  } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1462616375895 ""}