aboutsummaryrefslogtreecommitdiffstats
path: root/dhrystone
diff options
context:
space:
mode:
authorClifford Wolf <clifford@clifford.at>2016-04-13 19:52:52 +0200
committerClifford Wolf <clifford@clifford.at>2016-04-13 19:52:52 +0200
commit323d77ba5deddd2411699e77f4091612edaf2012 (patch)
tree5adbcf28fa6c119c3bb04ab01a0c7606ea4dcd0c /dhrystone
parentfd6e52adb03a963020b458a89430766ea1bda53b (diff)
downloadpicorv32-323d77ba5deddd2411699e77f4091612edaf2012.tar.gz
picorv32-323d77ba5deddd2411699e77f4091612edaf2012.zip
Fixed "make timing" in dhrystone/
Diffstat (limited to 'dhrystone')
-rw-r--r--dhrystone/testbench.v5
1 files changed, 3 insertions, 2 deletions
diff --git a/dhrystone/testbench.v b/dhrystone/testbench.v
index 6a03ca0..aa32619 100644
--- a/dhrystone/testbench.v
+++ b/dhrystone/testbench.v
@@ -91,8 +91,9 @@ module testbench;
repeat (100000) @(posedge clk);
$finish;
end
- always @(uut.count_instr[0]) begin
- $display("## %-s %d", uut.ascii_instr ? uut.ascii_instr : "x", uut.count_cycle);
+ always @(posedge clk) begin
+ if (uut.decoder_trigger_q && !uut.decoder_pseudo_trigger_q)
+ $display("## %-s %d", uut.dbg_ascii_instr ? uut.dbg_ascii_instr : "x", uut.count_cycle);
end
`endif
endmodule