aboutsummaryrefslogtreecommitdiffstats
path: root/dhrystone
diff options
context:
space:
mode:
authorClifford Wolf <clifford@clifford.at>2016-09-04 12:27:38 +0200
committerClifford Wolf <clifford@clifford.at>2016-09-04 12:29:09 +0200
commit85d8401c3d79fd913d83e6975ec873649453b1f2 (patch)
tree8084b617fd654ea0764fd84ddeedcb0aeabbd192 /dhrystone
parente403c950b02cac862b1e7684b991534f84093994 (diff)
downloadpicorv32-85d8401c3d79fd913d83e6975ec873649453b1f2.tar.gz
picorv32-85d8401c3d79fd913d83e6975ec873649453b1f2.zip
Renamed testbench_slow_mem to testbench_nola (no look ahead)
Diffstat (limited to 'dhrystone')
-rw-r--r--dhrystone/Makefile12
-rw-r--r--dhrystone/testbench_nola.v (renamed from dhrystone/testbench_slow_mem.v)4
2 files changed, 9 insertions, 7 deletions
diff --git a/dhrystone/Makefile b/dhrystone/Makefile
index 88cbf4d..3b282f0 100644
--- a/dhrystone/Makefile
+++ b/dhrystone/Makefile
@@ -13,8 +13,8 @@ endif
test: testbench.vvp dhry.hex
vvp -N testbench.vvp
-test_slow_mem: testbench_slow_mem.vvp dhry.hex
- vvp -N testbench_slow_mem.vvp
+test_nola: testbench_nola.vvp dhry.hex
+ vvp -N testbench_nola.vvp
timing: timing.txt
grep '^##' timing.txt | gawk 'x != "" {print x,$$3-y;} {x=$$2;y=$$3;}' | sort | uniq -c | \
@@ -27,9 +27,9 @@ testbench.vvp: testbench.v ../picorv32.v
iverilog -o testbench.vvp testbench.v ../picorv32.v
chmod -x testbench.vvp
-testbench_slow_mem.vvp: testbench_slow_mem.v ../picorv32.v
- iverilog -o testbench_slow_mem.vvp testbench_slow_mem.v ../picorv32.v
- chmod -x testbench_slow_mem.vvp
+testbench_nola.vvp: testbench_nola.v ../picorv32.v
+ iverilog -o testbench_nola.vvp testbench_nola.v ../picorv32.v
+ chmod -x testbench_nola.vvp
timing.vvp: testbench.v ../picorv32.v
iverilog -o timing.vvp -DTIMING testbench.v ../picorv32.v
@@ -57,7 +57,7 @@ endif
dhry_1.o dhry_2.o: CFLAGS += -Wno-implicit-int -Wno-implicit-function-declaration
clean:
- rm -rf *.o *.d dhry.elf dhry.map dhry.bin dhry.hex testbench.vvp testbench.vcd timing.vvp timing.txt testbench_slow_mem.vvp
+ rm -rf *.o *.d dhry.elf dhry.map dhry.bin dhry.hex testbench.vvp testbench.vcd timing.vvp timing.txt testbench_nola.vvp
.PHONY: test clean
diff --git a/dhrystone/testbench_slow_mem.v b/dhrystone/testbench_nola.v
index 305cb81..b1a154c 100644
--- a/dhrystone/testbench_slow_mem.v
+++ b/dhrystone/testbench_nola.v
@@ -1,3 +1,5 @@
+// A version of the dhrystone test bench that isn't using the look-ahead interface
+
`timescale 1 ns / 1 ps
module testbench;
@@ -79,7 +81,7 @@ module testbench;
end
initial begin
- $dumpfile("testbench_slow_mem.vcd");
+ $dumpfile("testbench_nola.vcd");
$dumpvars(0, testbench);
end