aboutsummaryrefslogtreecommitdiffstats
path: root/picorv32.v
diff options
context:
space:
mode:
authorClifford Wolf <clifford@clifford.at>2017-10-07 01:24:59 +0200
committerClifford Wolf <clifford@clifford.at>2017-10-07 01:24:59 +0200
commited69f9e451056f31e9987a4e8a5933b7ced65970 (patch)
tree78786bde947e4164fc3fb443f6b540b9391b2d77 /picorv32.v
parent7b6aa21f344c0482cb3f88b44bb47230bbf23882 (diff)
downloadpicorv32-ed69f9e451056f31e9987a4e8a5933b7ced65970.tar.gz
picorv32-ed69f9e451056f31e9987a4e8a5933b7ced65970.zip
Update riscv-formal altops bitmasks
Diffstat (limited to 'picorv32.v')
-rw-r--r--picorv32.v16
1 files changed, 8 insertions, 8 deletions
diff --git a/picorv32.v b/picorv32.v
index 7fff6aa..af634b4 100644
--- a/picorv32.v
+++ b/picorv32.v
@@ -2331,10 +2331,10 @@ module picorv32_pcpi_fast_mul #(
assign pcpi_ready = active[EXTRA_MUL_FFS ? 3 : 1];
`ifdef RISCV_FORMAL_ALTOPS
assign pcpi_rd =
- instr_mul ? (pcpi_rs1 + pcpi_rs2) ^ 32'h4d554c01 :
- instr_mulh ? (pcpi_rs1 + pcpi_rs2) ^ 32'h4d554c02 :
- instr_mulhsu ? (pcpi_rs1 - pcpi_rs2) ^ 32'h4d554c03 :
- instr_mulhu ? (pcpi_rs1 + pcpi_rs2) ^ 32'h4d554c04 : 1'bx;
+ instr_mul ? (pcpi_rs1 + pcpi_rs2) ^ 32'h5876063e :
+ instr_mulh ? (pcpi_rs1 + pcpi_rs2) ^ 32'hf6583fb7 :
+ instr_mulhsu ? (pcpi_rs1 - pcpi_rs2) ^ 32'hecfbe137 :
+ instr_mulhu ? (pcpi_rs1 + pcpi_rs2) ^ 32'h949ce5e8 : 1'bx;
`else
assign pcpi_rd = shift_out ? (EXTRA_MUL_FFS ? rd_q : rd) >> 32 : (EXTRA_MUL_FFS ? rd_q : rd);
`endif
@@ -2411,10 +2411,10 @@ module picorv32_pcpi_div (
pcpi_wr <= 1;
`ifdef RISCV_FORMAL_ALTOPS
case (1)
- instr_div: pcpi_rd <= (pcpi_rs1 - pcpi_rs2) ^ 32'h44495601;
- instr_divu: pcpi_rd <= (pcpi_rs1 - pcpi_rs2) ^ 32'h44495602;
- instr_rem: pcpi_rd <= (pcpi_rs1 - pcpi_rs2) ^ 32'h52454D01;
- instr_remu: pcpi_rd <= (pcpi_rs1 - pcpi_rs2) ^ 32'h52454D02;
+ instr_div: pcpi_rd <= (pcpi_rs1 - pcpi_rs2) ^ 32'h7f8529ec;
+ instr_divu: pcpi_rd <= (pcpi_rs1 - pcpi_rs2) ^ 32'h10e8fd70;
+ instr_rem: pcpi_rd <= (pcpi_rs1 - pcpi_rs2) ^ 32'h8da68fa5;
+ instr_remu: pcpi_rd <= (pcpi_rs1 - pcpi_rs2) ^ 32'h3138d0e1;
endcase
`else
if (instr_div || instr_divu)