aboutsummaryrefslogtreecommitdiffstats
path: root/testbench.v
diff options
context:
space:
mode:
authorClifford Wolf <clifford@clifford.at>2015-06-28 13:07:50 +0200
committerClifford Wolf <clifford@clifford.at>2015-06-28 13:07:50 +0200
commit1f99de511760b3acb546e62623c65d6143b30a77 (patch)
treeb5bc87d754052c1cbd10005e8dd794ab4528eb23 /testbench.v
parent923ac360ff3dfd4fccb4e40dcd32c9f560a8afa1 (diff)
downloadpicorv32-1f99de511760b3acb546e62623c65d6143b30a77.tar.gz
picorv32-1f99de511760b3acb546e62623c65d6143b30a77.zip
Improvements in picorv32_pcpi_mul
Diffstat (limited to 'testbench.v')
-rw-r--r--testbench.v4
1 files changed, 3 insertions, 1 deletions
diff --git a/testbench.v b/testbench.v
index 135fbdc..53badd3 100644
--- a/testbench.v
+++ b/testbench.v
@@ -244,10 +244,12 @@ module testbench;
$finish;
end
+ integer cycle_counter;
always @(posedge clk) begin
+ cycle_counter <= resetn ? cycle_counter + 1 : 0;
if (resetn && trap) begin
repeat (10) @(posedge clk);
- $display("TRAP");
+ $display("TRAP after %1d clock cycles", cycle_counter);
$finish;
end
end