aboutsummaryrefslogtreecommitdiffstats
Commit message (Expand)AuthorAgeFilesLines
* Merge pull request #141 from rxrbln/masterClifford Wolf2019-11-181-8/+11
|\
| * added CROSS prefix and CFLAGS to the picsoc/MakefileRené Rebe2019-11-141-8/+11
|/
* Merge pull request #138 from pcotret/patch-1Clifford Wolf2019-10-311-1/+1
|\
| * Short modification in the error stringPascal Cotret2019-10-291-1/+1
|/
* Fix typo, closes #136Clifford Wolf2019-09-251-1/+1
* Fix initialization of "irq" in verilog testbenchClifford Wolf2019-09-222-2/+2
* Improve showtrace.py (and fix for new binutils)Clifford Wolf2019-09-211-2/+4
* Improve test firmware, increase testbench memory size to 128kBClifford Wolf2019-09-128-16/+132
* Merge pull request #131 from tomverbeure/dhry_traceClifford Wolf2019-08-192-2/+28
|\
| * Add tracing support to dhrystone testTom Verbeure2019-08-182-2/+28
|/
* Update README.mdClifford Wolf2019-08-091-1/+1
* Disable verilator warnings, fixes #128Clifford Wolf2019-08-061-0/+5
* Add PICORV32_TESTBUG_nnn ifdefs for testing purposesClifford Wolf2019-06-301-0/+18
* Add rvfi_ixlClifford Wolf2019-06-261-0/+2
* Add RVFI CSRsClifford Wolf2019-06-071-0/+39
* Do not peek into core for cycle count in WB testbenchClifford Wolf2019-06-031-2/+5
* Do not peek into core for cycle count in testbenchClifford Wolf2019-06-031-2/+5
* Merge branch 'yanghao-master'Clifford Wolf2019-04-281-0/+1
|\
| * Undo Makefile changesClifford Wolf2019-04-281-3/+2
| * fix firmware/sections.lds section size alignment on 4 bytesYanghao Hua2019-04-272-2/+4
|/
* Merge pull request #117 from Fatsie/wbdocClifford Wolf2019-04-171-5/+5
|\
| * README.md: Also refer to picorv32_wbStaf Verhaegen2019-03-281-5/+5
|/
* Add Verilator version infosClifford Wolf2019-03-192-1/+4
* Rename decoded_imm_uj to decoded_imm_jv1.0Clifford Wolf2019-03-021-6/+6
* Remove riscv-dejagnu from "make build-tools"Clifford Wolf2019-03-021-3/+1
* Remove riscv-qemu from "make build-tools"Clifford Wolf2019-03-021-3/+1
* Merge pull request #114 from csquaredphd/masterClifford Wolf2019-03-021-1/+1
|\
| * fix typo in picosoc/Makefile for hx8k boardChris Clark2019-03-011-1/+1
* | Add buffer cell to scripts/yosys/synth_gates.libClifford Wolf2019-03-021-0/+6
|/
* Update riscv-gnu-toolchain to 411d134Clifford Wolf2019-02-192-3/+3
* Merge pull request #111 from stv0g/icebreaker-spramClifford Wolf2019-02-139-40/+274
|\
| * Merge branch 'master' into icebreaker-spramClifford Wolf2019-02-137-38/+239
| |\ | |/ |/|
* | Merge pull request #104 from thoughtpolice/devClifford Wolf2019-02-135-38/+221
|\ \
| * | scripts/icestorm: check circuit @ 62MHzAustin Seipp2019-01-111-1/+1
| * | scripts/icestorm: dedupe calls to yosys-configAustin Seipp2019-01-111-2/+4
| * | scripts/icestorm: add readmeAustin Seipp2019-01-111-0/+12
| * | scripts/icestorm: remove unneeded -lgccAustin Seipp2019-01-111-1/+1
| * | scripts/icestorm: move SHIFT_COUNTER_BITS into MakefileAustin Seipp2019-01-112-4/+11
| * | scripts/icestorm: comments onlyAustin Seipp2019-01-111-0/+21
| * | scripts/icestorm: add 'timing' targetAustin Seipp2019-01-111-2/+4
| * | scripts/icestorm: force -march=rv32iAustin Seipp2019-01-111-1/+1
| * | scripts/icestorm: switch to nextpnr-ice40Austin Seipp2019-01-111-6/+6
| * | scripts/icestorm: touch up Makefile a bitAustin Seipp2019-01-111-29/+28
| * | Add shell.nix for Nix-based PicoRV32 developmentAustin Seipp2019-01-111-0/+139
| * | gitignore: update to ignore verilator artifactsAustin Seipp2019-01-111-0/+1
* | | Merge pull request #109 from stv0g/cmd-echoClifford Wolf2019-02-131-0/+12
|\ \ \
| * | | added echo command for testing simpleuartSteffen Vogel2019-02-111-0/+12
| |/ /
* | | Merge pull request #110 from stv0g/add-torture-readmeClifford Wolf2019-02-131-0/+6
|\ \ \ | |/ / |/| |
| * | add readme file for torture test (closes #93)Steffen Vogel2019-02-111-0/+6
|/ /
| * icebreaker: artificially limit available RAM to speed-up simulationSteffen Vogel2019-02-122-2/+9