aboutsummaryrefslogtreecommitdiffstats
path: root/picosoc
Commit message (Collapse)AuthorAgeFilesLines
* added CROSS prefix and CFLAGS to the picsoc/MakefileRené Rebe2019-11-141-8/+11
| | | | | so one can run it with other toolchains, e.g. CROSS=riscv64-t2-linux-gnu- CFLAGS=-mabi=ilp32, too
* Short modification in the error stringPascal Cotret2019-10-291-1/+1
|
* Fix typo, closes #136Clifford Wolf2019-09-251-1/+1
| | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* fix typo in picosoc/Makefile for hx8k boardChris Clark2019-03-011-1/+1
|
* Merge branch 'master' into icebreaker-spramClifford Wolf2019-02-131-0/+12
|\
| * added echo command for testing simpleuartSteffen Vogel2019-02-111-0/+12
| |
* | icebreaker: artificially limit available RAM to speed-up simulationSteffen Vogel2019-02-122-2/+9
| |
* | picosoc: use preprocessor for generating target-specific linker scriptSteffen Vogel2019-02-114-7/+24
| |
* | picosoc: added memtestSteffen Vogel2019-02-111-28/+126
| |
* | picosoc: increase available memory by using SPRAM instead of BRAM for the ↵Steffen Vogel2019-02-115-6/+118
|/ | | | Icebreaker example
* Alignment fix for global symbols fixes #97Miodrag Milanovic2018-10-281-0/+1
|
* Fix PicoSoC firmware filename for simulationJörg Mische2018-10-011-8/+8
|
* Add FuseSoC support for icebreakerOlof Kindgren2018-09-021-0/+36
|
* fix firmware recipesmatt venn2018-08-301-4/+4
|
* fix ifdef to include flash functions for hx8kmatt venn2018-08-301-1/+1
|
* More PicoSoC firmware improvements for icebreakerClifford Wolf2018-08-191-12/+100
| | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* Add icebreaker example PicoSoC implementationClifford Wolf2018-08-195-44/+151
| | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* Add PicoSoC IceBreaker demoClifford Wolf2018-08-187-8/+341
| | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* Expose ENABLE_IRQ_QREGS and PROGADDR_IRQ from picosoc.vOlof Kindgren2018-08-161-2/+4
|
* PicoSoC: Use RDSR1+RDCR1+WRR instead of RDAR+WRARClifford Wolf2018-08-161-6/+41
| | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* Add rs232 decode to picosoc hx8kdemo test benchClifford Wolf2018-08-141-0/+39
| | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* Fix picosoc quad spi mode (flashio_worker must be multiple of 4 bytes)Clifford Wolf2018-08-141-1/+4
| | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* Improve picosoc demo firmware, picosoc firmware build fixesClifford Wolf2018-08-143-19/+59
| | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* Merge pull request #61 from mmicko/linker-scriptClifford Wolf2018-08-143-12/+74
|\ | | | | Created lds file (section mapping) and init for data and bss sections
| * Created lfs file (section mappint) and init for data and bss sectionsMiodrag Milanovic2018-04-163-12/+74
| |
* | Add FuseSoC .core file for hx8kdemoOlof Kindgren2018-07-271-0/+35
| | | | | | | | | | | | | | | | The core file specifies targets for FPGA implementation (fusesoc build hx8kdemo) and simulation (fusesoc run --tool=<tool> --target=sim hx8kdemo --firmware=path/to/firmware.he). Simulation has been tested successfully with icarus, modelsim and xsim
* | Add FuseSoC .core file for picosocOlof Kindgren2018-07-271-0/+23
| | | | | | | | | | This allows other cores to depend on the generic parts of picosoc and use that as a base design.
* | Add FuseSoC .core file for SPI Flash modelOlof Kindgren2018-07-261-0/+24
| | | | | | | | | | | | | | | | | | | | This allows other cores to depend on spiflash. Can also be used to run the spiflash testbench with fusesoc run --tool=<tool> spiflash --firmware=path/to/firmware.hex This has been tested with icarus, modelsim and xsim. Fails with isim If --tool is left out, icarus will be used as default
* | Bypass picosoc compile order check if PICORV32_REGS is defined.Olof Kindgren2018-05-181-0/+2
| | | | | | | | | | | | | | | | | | | | | | | | | | Previously, picosoc.v needed to be sourced before picorv32.v to ensure that the PICORV32_REGS `define (used to select implementation for the register file) was set to picosoc_regs This allows for overriding PICORV32_REGS, e.g. by setting it externally in the EDA tool invocation. In this case, the compile order between picorv32.v and picosoc.v is not important. Note: This change will break the safety check if PICORV32_REGS is defined between sourcing picorv32.v and picosoc.v
* | spiflash: Allow setting firmware from plusargOlof Kindgren2018-05-151-1/+4
| |
* | Fix spiflash_tbOlof Kindgren2018-05-111-6/+6
| | | | | | | | | | | | Update expected two first Flash words to reflect changes in start.s Add dummy SPI cycles to account for latency
* | Fix miscellaneous typos in documentationLarry Doolittle2018-04-171-1/+1
|/
* add .data and .bss segments to picosocLuke Valenty2018-04-071-0/+2
| | | added .data and .bss segments to picosoc firmware linker script so that static variables may be used.
* Fix picosoc hx8kdemo_tbClifford Wolf2017-11-111-1/+1
|
* Add PICORV32_REGS mechanism for ASIC sram instantiationClifford Wolf2017-10-013-12/+63
|
* Improve PicoSoC overview.svgClifford Wolf2017-09-221-4/+94
|
* Enable a bunch of PicoRV32 features in PicoSoCClifford Wolf2017-09-226-23/+139
|
* Update PicoSoC READMEClifford Wolf2017-09-211-7/+23
|
* Remove generic PicoSoC testbenchClifford Wolf2017-09-213-174/+17
|
* Merge branch 'master' of github.com:cliffordwolf/picorv32Clifford Wolf2017-09-211-0/+2
|\
| * Update README.mdClifford Wolf2017-09-211-0/+2
| |
* | Resize overview.svgClifford Wolf2017-09-211-304/+304
|/
* Add picosoc overview.svgClifford Wolf2017-09-211-0/+667
|
* Update README.mdClifford Wolf2017-09-211-0/+11
|
* Improve picosoc performance.{py,png}Clifford Wolf2017-09-212-2/+3
|
* Add picosoc performance.{py,png}Clifford Wolf2017-09-212-0/+86
|
* Improve PicoSoC example firmwareClifford Wolf2017-09-211-33/+104
|
* Add PicoSoC spimem Dual I/O supportClifford Wolf2017-09-213-22/+99
|
* Improve PicoSoC example firmwareClifford Wolf2017-09-201-11/+54
|
* Fix bug in PicoSoC spimemioClifford Wolf2017-09-201-1/+1
|