aboutsummaryrefslogtreecommitdiffstats
path: root/scripts
Commit message (Expand)AuthorAgeFilesLines
* Back to Vivado 2015.1Clifford Wolf2015-07-011-1/+1
* Vivado 2015.2 area evaluationClifford Wolf2015-07-015-14/+9
* Added vivado synth_area_{small,regular,large}.tcl scriptsClifford Wolf2015-07-014-4/+33
* Updated Xilinx 7-Series area statsClifford Wolf2015-07-011-13/+7
* Added "make test_synth"Clifford Wolf2015-06-301-0/+7
* Added resource utilization to xilinx evalClifford Wolf2015-06-284-6/+148
* Minor vivado script changesClifford Wolf2015-06-272-0/+4
* Added "make table.txt" vivado scriptsClifford Wolf2015-06-275-1/+216
* Updated vivado scriptsClifford Wolf2015-06-263-6/+4
* Changed chip package in vivado examplesClifford Wolf2015-06-262-2/+2
* Added simple icestorm script (not a real example yet)Clifford Wolf2015-06-222-0/+7
* Updated Vivado SoC exampleClifford Wolf2015-06-105-18/+47
* Makefile for Vivado scriptsClifford Wolf2015-06-0910-23/+58
* Small improvements in vivado_soc demoClifford Wolf2015-06-081-5/+4
* Added osu018 yosys synthesis scriptClifford Wolf2015-06-086-1/+10
* Added look-ahead write interfaceClifford Wolf2015-06-071-21/+33
* Added memory "look-ahead" read interfaceClifford Wolf2015-06-061-3/+7
* Improved Xilinx exampleClifford Wolf2015-06-064-0/+81