summaryrefslogtreecommitdiffstats
path: root/algorithm.tex
diff options
context:
space:
mode:
authorJohn Wickerson <j.wickerson@imperial.ac.uk>2021-04-16 09:26:29 +0000
committeroverleaf <overleaf@localhost>2021-04-16 09:26:32 +0000
commit5d6d12fc45930ac97ee85fc1286adaa52aa0577e (patch)
tree00a9ebf17b7735bb02c7547a65da7e414115e5c8 /algorithm.tex
parentacabfc43a6a028f4712be741759c2f188c7370eb (diff)
downloadoopsla21_fvhls-5d6d12fc45930ac97ee85fc1286adaa52aa0577e.tar.gz
oopsla21_fvhls-5d6d12fc45930ac97ee85fc1286adaa52aa0577e.zip
Update on Overleaf.
Diffstat (limited to 'algorithm.tex')
-rw-r--r--algorithm.tex2
1 files changed, 1 insertions, 1 deletions
diff --git a/algorithm.tex b/algorithm.tex
index 8e7f5b4..855208d 100644
--- a/algorithm.tex
+++ b/algorithm.tex
@@ -150,7 +150,7 @@ module main(reset, clk, finish, return_val);
endcase
endmodule
\end{minted}
-\caption{Verilog produced by \vericert{}. It demonstrates the instantiation of the RAM (lines 9--15), \JW{Sorry about the abso} the data-path (lines 16--32) and the control logic (lines 33--42).}\label{fig:accumulator_v}
+\caption{Verilog produced by \vericert{}. It demonstrates the instantiation of the RAM (lines 9--15), \JW{Sorry about the absolu} the data-path (lines 16--32) and the control logic (lines 33--42).}\label{fig:accumulator_v}
\end{subfigure}
\caption{Translating a simple program from C to Verilog.}\label{fig:accumulator_c_rtl}
\end{figure}