aboutsummaryrefslogtreecommitdiffstats
path: root/test
diff options
context:
space:
mode:
authorYann Herklotz <git@yannherklotz.com>2019-05-25 23:26:27 +0100
committerYann Herklotz <git@yannherklotz.com>2019-05-25 23:26:27 +0100
commit11bd73faa516cde0af74e5359c36c8f1fa4e816a (patch)
tree55761b9b97d547686a565943a490eb58d93d3f18 /test
parent3ad518489f1528941d4d059e594ad9ac1d22fd0d (diff)
downloadverismith-11bd73faa516cde0af74e5359c36c8f1fa4e816a.tar.gz
verismith-11bd73faa516cde0af74e5359c36c8f1fa4e816a.zip
Fix reduction for statements
Diffstat (limited to 'test')
-rw-r--r--test/Reduce.hs89
1 files changed, 89 insertions, 0 deletions
diff --git a/test/Reduce.hs b/test/Reduce.hs
index bc4bbc3..be5ead3 100644
--- a/test/Reduce.hs
+++ b/test/Reduce.hs
@@ -29,6 +29,7 @@ reduceUnitTests = testGroup
[ moduleReducerTest
, modItemReduceTest
, halveStatementsTest
+ , statementReducerTest
, activeWireTest
, cleanTest
, cleanAllTest
@@ -372,6 +373,94 @@ endmodule
|])
-- brittany-disable-next-binding
+statementReducerTest :: TestTree
+statementReducerTest = testCase "Statement reducer" $ do
+ GenVerilog <$> halveStatements "top" srcInfo1 @?= fmap GenVerilog golden1
+ GenVerilog <$> halveStatements "top" srcInfo2 @?= fmap GenVerilog golden2
+ where
+ srcInfo1 = SourceInfo "top" [verilog|
+module top(y, x);
+ output wire [4:0] y;
+ input wire [4:0] x;
+
+ always @(posedge clk) begin
+ a <= 1;
+ b <= 2;
+ c <= 3;
+ d <= 4;
+ end
+
+ always @(posedge clk) begin
+ a <= 1;
+ b <= 2;
+ c <= 3;
+ d <= 4;
+ end
+endmodule
+|]
+ golden1 = Dual (SourceInfo "top" [verilog|
+module top(y, x);
+ output wire [4:0] y;
+ input wire [4:0] x;
+
+ always @(posedge clk) begin
+ a <= 1;
+ b <= 2;
+ end
+
+ always @(posedge clk) begin
+ a <= 1;
+ b <= 2;
+ end
+endmodule
+|]) $ SourceInfo "top" [verilog|
+module top(y, x);
+ output wire [4:0] y;
+ input wire [4:0] x;
+
+ always @(posedge clk) begin
+ c <= 3;
+ d <= 4;
+ end
+
+ always @(posedge clk) begin
+ c <= 3;
+ d <= 4;
+ end
+endmodule
+|]
+ srcInfo2 = SourceInfo "top" [verilog|
+module top(y, x);
+ output wire [4:0] y;
+ input wire [4:0] x;
+
+ always @(posedge clk) begin
+ if (x)
+ y <= 2;
+ else
+ y <= 3;
+ end
+endmodule
+|]
+ golden2 = Dual (SourceInfo "top" [verilog|
+module top(y, x);
+ output wire [4:0] y;
+ input wire [4:0] x;
+
+ always @(posedge clk)
+ y <= 2;
+endmodule
+|]) $ SourceInfo "top" [verilog|
+module top(y, x);
+ output wire [4:0] y;
+ input wire [4:0] x;
+
+ always @(posedge clk)
+ y <= 3;
+endmodule
+|]
+
+-- brittany-disable-next-binding
moduleReducerTest :: TestTree
moduleReducerTest = testCase "Module reducer" $ do
halveModules srcInfo1 @?= golden1