aboutsummaryrefslogtreecommitdiffstats
path: root/one_hertz_clock
diff options
context:
space:
mode:
authorzedarider <ymherklotz@gmail.com>2016-02-26 22:46:09 +0000
committerzedarider <ymherklotz@gmail.com>2016-02-26 22:46:09 +0000
commit1684a53b7f5837d0c4e0d487e3fadccb69fe2c2a (patch)
treea17efcef6963d3047c8ced65c24f3256ac22f318 /one_hertz_clock
downloadFPGA-2015-1684a53b7f5837d0c4e0d487e3fadccb69fe2c2a.tar.gz
FPGA-2015-1684a53b7f5837d0c4e0d487e3fadccb69fe2c2a.zip
adding fpga lab projects
Diffstat (limited to 'one_hertz_clock')
-rw-r--r--one_hertz_clock/db/logic_util_heursitic.datbin0 -> 3080 bytes
-rw-r--r--one_hertz_clock/db/one_hertz_clock.(0).cnf.cdbbin0 -> 2974 bytes
-rw-r--r--one_hertz_clock/db/one_hertz_clock.(0).cnf.hdbbin0 -> 2625 bytes
-rw-r--r--one_hertz_clock/db/one_hertz_clock.(1).cnf.cdbbin0 -> 945 bytes
-rw-r--r--one_hertz_clock/db/one_hertz_clock.(1).cnf.hdbbin0 -> 707 bytes
-rw-r--r--one_hertz_clock/db/one_hertz_clock.asm.qmsg6
-rw-r--r--one_hertz_clock/db/one_hertz_clock.asm.rdbbin0 -> 1406 bytes
-rw-r--r--one_hertz_clock/db/one_hertz_clock.asm_labs.ddbbin0 -> 10371 bytes
-rw-r--r--one_hertz_clock/db/one_hertz_clock.cbx.xml5
-rw-r--r--one_hertz_clock/db/one_hertz_clock.cmp.bpmbin0 -> 522 bytes
-rw-r--r--one_hertz_clock/db/one_hertz_clock.cmp.cdbbin0 -> 10588 bytes
-rw-r--r--one_hertz_clock/db/one_hertz_clock.cmp.hdbbin0 -> 11151 bytes
-rw-r--r--one_hertz_clock/db/one_hertz_clock.cmp.idbbin0 -> 5779 bytes
-rw-r--r--one_hertz_clock/db/one_hertz_clock.cmp.kptbin0 -> 223 bytes
-rw-r--r--one_hertz_clock/db/one_hertz_clock.cmp.logdb44
-rw-r--r--one_hertz_clock/db/one_hertz_clock.cmp.rdbbin0 -> 21267 bytes
-rw-r--r--one_hertz_clock/db/one_hertz_clock.cmp_merge.kptbin0 -> 228 bytes
-rw-r--r--one_hertz_clock/db/one_hertz_clock.cuda_io_sim_cache.31um_ff_1200mv_0c_fast.hsdbin0 -> 388155 bytes
-rw-r--r--one_hertz_clock/db/one_hertz_clock.cuda_io_sim_cache.31um_tt_1200mv_85c_slow.hsdbin0 -> 382747 bytes
-rw-r--r--one_hertz_clock/db/one_hertz_clock.db_info3
-rw-r--r--one_hertz_clock/db/one_hertz_clock.fit.qmsg46
-rw-r--r--one_hertz_clock/db/one_hertz_clock.hier_info289
-rw-r--r--one_hertz_clock/db/one_hertz_clock.hifbin0 -> 468 bytes
-rw-r--r--one_hertz_clock/db/one_hertz_clock.ipinfobin0 -> 178 bytes
-rw-r--r--one_hertz_clock/db/one_hertz_clock.lpc.html322
-rw-r--r--one_hertz_clock/db/one_hertz_clock.lpc.rdbbin0 -> 647 bytes
-rw-r--r--one_hertz_clock/db/one_hertz_clock.lpc.txt25
-rw-r--r--one_hertz_clock/db/one_hertz_clock.map.ammdbbin0 -> 138 bytes
-rw-r--r--one_hertz_clock/db/one_hertz_clock.map.bpmbin0 -> 490 bytes
-rw-r--r--one_hertz_clock/db/one_hertz_clock.map.cdbbin0 -> 4496 bytes
-rw-r--r--one_hertz_clock/db/one_hertz_clock.map.hdbbin0 -> 10462 bytes
-rw-r--r--one_hertz_clock/db/one_hertz_clock.map.kptbin0 -> 705 bytes
-rw-r--r--one_hertz_clock/db/one_hertz_clock.map.logdb1
-rw-r--r--one_hertz_clock/db/one_hertz_clock.map.qmsg14
-rw-r--r--one_hertz_clock/db/one_hertz_clock.map.rdbbin0 -> 1326 bytes
-rw-r--r--one_hertz_clock/db/one_hertz_clock.map_bb.cdbbin0 -> 1690 bytes
-rw-r--r--one_hertz_clock/db/one_hertz_clock.map_bb.hdbbin0 -> 8750 bytes
-rw-r--r--one_hertz_clock/db/one_hertz_clock.map_bb.logdb1
-rw-r--r--one_hertz_clock/db/one_hertz_clock.pre_map.hdbbin0 -> 10953 bytes
-rw-r--r--one_hertz_clock/db/one_hertz_clock.pti_db_list.ddbbin0 -> 192 bytes
-rw-r--r--one_hertz_clock/db/one_hertz_clock.root_partition.map.reg_db.cdbbin0 -> 221 bytes
-rw-r--r--one_hertz_clock/db/one_hertz_clock.routing.rdbbin0 -> 4285 bytes
-rw-r--r--one_hertz_clock/db/one_hertz_clock.rtlv.hdbbin0 -> 10901 bytes
-rw-r--r--one_hertz_clock/db/one_hertz_clock.rtlv_sg.cdbbin0 -> 3472 bytes
-rw-r--r--one_hertz_clock/db/one_hertz_clock.rtlv_sg_swap.cdbbin0 -> 832 bytes
-rw-r--r--one_hertz_clock/db/one_hertz_clock.sgdiff.cdbbin0 -> 4204 bytes
-rw-r--r--one_hertz_clock/db/one_hertz_clock.sgdiff.hdbbin0 -> 10695 bytes
-rw-r--r--one_hertz_clock/db/one_hertz_clock.sld_design_entry.scibin0 -> 217 bytes
-rw-r--r--one_hertz_clock/db/one_hertz_clock.sld_design_entry_dsc.scibin0 -> 217 bytes
-rw-r--r--one_hertz_clock/db/one_hertz_clock.smart_action.txt1
-rw-r--r--one_hertz_clock/db/one_hertz_clock.sta.qmsg42
-rw-r--r--one_hertz_clock/db/one_hertz_clock.sta.rdbbin0 -> 22005 bytes
-rw-r--r--one_hertz_clock/db/one_hertz_clock.sta_cmp.6_slow_1200mv_85c.tdbbin0 -> 9496 bytes
-rw-r--r--one_hertz_clock/db/one_hertz_clock.syn_hier_info0
-rw-r--r--one_hertz_clock/db/one_hertz_clock.tis_db_list.ddbbin0 -> 242 bytes
-rw-r--r--one_hertz_clock/db/one_hertz_clock.tiscmp.fast_1200mv_0c.ddbbin0 -> 115564 bytes
-rw-r--r--one_hertz_clock/db/one_hertz_clock.tiscmp.slow_1200mv_0c.ddbbin0 -> 115962 bytes
-rw-r--r--one_hertz_clock/db/one_hertz_clock.tiscmp.slow_1200mv_85c.ddbbin0 -> 116010 bytes
-rw-r--r--one_hertz_clock/db/one_hertz_clock.tmw_info6
-rw-r--r--one_hertz_clock/db/one_hertz_clock.vpr.ammdbbin0 -> 254 bytes
-rw-r--r--one_hertz_clock/db/prev_cmp_one_hertz_clock.qmsg125
-rw-r--r--one_hertz_clock/incremental_db/README11
-rw-r--r--one_hertz_clock/incremental_db/compiled_partitions/one_hertz_clock.db_info3
-rw-r--r--one_hertz_clock/incremental_db/compiled_partitions/one_hertz_clock.root_partition.cmp.ammdbbin0 -> 223 bytes
-rw-r--r--one_hertz_clock/incremental_db/compiled_partitions/one_hertz_clock.root_partition.cmp.cdbbin0 -> 5635 bytes
-rw-r--r--one_hertz_clock/incremental_db/compiled_partitions/one_hertz_clock.root_partition.cmp.dfpbin0 -> 33 bytes
-rw-r--r--one_hertz_clock/incremental_db/compiled_partitions/one_hertz_clock.root_partition.cmp.hdbbin0 -> 10763 bytes
-rw-r--r--one_hertz_clock/incremental_db/compiled_partitions/one_hertz_clock.root_partition.cmp.kptbin0 -> 218 bytes
-rw-r--r--one_hertz_clock/incremental_db/compiled_partitions/one_hertz_clock.root_partition.cmp.logdb1
-rw-r--r--one_hertz_clock/incremental_db/compiled_partitions/one_hertz_clock.root_partition.cmp.rcfdbbin0 -> 4191 bytes
-rw-r--r--one_hertz_clock/incremental_db/compiled_partitions/one_hertz_clock.root_partition.map.cdbbin0 -> 4420 bytes
-rw-r--r--one_hertz_clock/incremental_db/compiled_partitions/one_hertz_clock.root_partition.map.dpibin0 -> 964 bytes
-rw-r--r--one_hertz_clock/incremental_db/compiled_partitions/one_hertz_clock.root_partition.map.hbdb.cdbbin0 -> 1303 bytes
-rw-r--r--one_hertz_clock/incremental_db/compiled_partitions/one_hertz_clock.root_partition.map.hbdb.hb_infobin0 -> 46 bytes
-rw-r--r--one_hertz_clock/incremental_db/compiled_partitions/one_hertz_clock.root_partition.map.hbdb.hdbbin0 -> 10178 bytes
-rw-r--r--one_hertz_clock/incremental_db/compiled_partitions/one_hertz_clock.root_partition.map.hbdb.sig1
-rw-r--r--one_hertz_clock/incremental_db/compiled_partitions/one_hertz_clock.root_partition.map.hdbbin0 -> 10509 bytes
-rw-r--r--one_hertz_clock/incremental_db/compiled_partitions/one_hertz_clock.root_partition.map.kptbin0 -> 713 bytes
-rw-r--r--one_hertz_clock/one_hertz_clock.bdf4467
-rw-r--r--one_hertz_clock/one_hertz_clock.qpf30
-rw-r--r--one_hertz_clock/one_hertz_clock.qsf57
-rw-r--r--one_hertz_clock/one_hertz_clock.qwsbin0 -> 769 bytes
-rw-r--r--one_hertz_clock/output_files/one_hertz_clock.asm.rpt116
-rw-r--r--one_hertz_clock/output_files/one_hertz_clock.done1
-rw-r--r--one_hertz_clock/output_files/one_hertz_clock.fit.rpt1297
-rw-r--r--one_hertz_clock/output_files/one_hertz_clock.fit.smsg8
-rw-r--r--one_hertz_clock/output_files/one_hertz_clock.fit.summary16
-rw-r--r--one_hertz_clock/output_files/one_hertz_clock.flow.rpt125
-rw-r--r--one_hertz_clock/output_files/one_hertz_clock.jdi8
-rw-r--r--one_hertz_clock/output_files/one_hertz_clock.map.rpt290
-rw-r--r--one_hertz_clock/output_files/one_hertz_clock.map.summary14
-rw-r--r--one_hertz_clock/output_files/one_hertz_clock.pin554
-rw-r--r--one_hertz_clock/output_files/one_hertz_clock.sofbin0 -> 496884 bytes
-rw-r--r--one_hertz_clock/output_files/one_hertz_clock.sta.rpt1515
-rw-r--r--one_hertz_clock/output_files/one_hertz_clock.sta.summary41
95 files changed, 9485 insertions, 0 deletions
diff --git a/one_hertz_clock/db/logic_util_heursitic.dat b/one_hertz_clock/db/logic_util_heursitic.dat
new file mode 100644
index 0000000..fef33b4
--- /dev/null
+++ b/one_hertz_clock/db/logic_util_heursitic.dat
Binary files differ
diff --git a/one_hertz_clock/db/one_hertz_clock.(0).cnf.cdb b/one_hertz_clock/db/one_hertz_clock.(0).cnf.cdb
new file mode 100644
index 0000000..fb46c2b
--- /dev/null
+++ b/one_hertz_clock/db/one_hertz_clock.(0).cnf.cdb
Binary files differ
diff --git a/one_hertz_clock/db/one_hertz_clock.(0).cnf.hdb b/one_hertz_clock/db/one_hertz_clock.(0).cnf.hdb
new file mode 100644
index 0000000..c515268
--- /dev/null
+++ b/one_hertz_clock/db/one_hertz_clock.(0).cnf.hdb
Binary files differ
diff --git a/one_hertz_clock/db/one_hertz_clock.(1).cnf.cdb b/one_hertz_clock/db/one_hertz_clock.(1).cnf.cdb
new file mode 100644
index 0000000..679b722
--- /dev/null
+++ b/one_hertz_clock/db/one_hertz_clock.(1).cnf.cdb
Binary files differ
diff --git a/one_hertz_clock/db/one_hertz_clock.(1).cnf.hdb b/one_hertz_clock/db/one_hertz_clock.(1).cnf.hdb
new file mode 100644
index 0000000..96ef63d
--- /dev/null
+++ b/one_hertz_clock/db/one_hertz_clock.(1).cnf.hdb
Binary files differ
diff --git a/one_hertz_clock/db/one_hertz_clock.asm.qmsg b/one_hertz_clock/db/one_hertz_clock.asm.qmsg
new file mode 100644
index 0000000..2c36a8b
--- /dev/null
+++ b/one_hertz_clock/db/one_hertz_clock.asm.qmsg
@@ -0,0 +1,6 @@
+{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1456243337848 ""}
+{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus II 64-Bit " "Running Quartus II 64-Bit Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Full Version " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Full Version" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1456243337849 ""} { "Info" "IQEXE_START_BANNER_TIME" "Tue Feb 23 16:02:17 2016 " "Processing started: Tue Feb 23 16:02:17 2016" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1456243337849 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1456243337849 ""}
+{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off one_hertz_clock -c one_hertz_clock " "Command: quartus_asm --read_settings_files=off --write_settings_files=off one_hertz_clock -c one_hertz_clock" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1456243337849 ""}
+{ "Info" "IASM_ASM_GENERATING_POWER_DATA" "" "Writing out detailed assembly data for power analysis" { } { } 0 115031 "Writing out detailed assembly data for power analysis" 0 0 "Assembler" 0 -1 1456243338449 ""}
+{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1456243338465 ""}
+{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 0 s Quartus II 64-Bit " "Quartus II 64-Bit Assembler was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "420 " "Peak virtual memory: 420 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1456243338684 ""} { "Info" "IQEXE_END_BANNER_TIME" "Tue Feb 23 16:02:18 2016 " "Processing ended: Tue Feb 23 16:02:18 2016" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1456243338684 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1456243338684 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1456243338684 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1456243338684 ""}
diff --git a/one_hertz_clock/db/one_hertz_clock.asm.rdb b/one_hertz_clock/db/one_hertz_clock.asm.rdb
new file mode 100644
index 0000000..96d8cbc
--- /dev/null
+++ b/one_hertz_clock/db/one_hertz_clock.asm.rdb
Binary files differ
diff --git a/one_hertz_clock/db/one_hertz_clock.asm_labs.ddb b/one_hertz_clock/db/one_hertz_clock.asm_labs.ddb
new file mode 100644
index 0000000..994027c
--- /dev/null
+++ b/one_hertz_clock/db/one_hertz_clock.asm_labs.ddb
Binary files differ
diff --git a/one_hertz_clock/db/one_hertz_clock.cbx.xml b/one_hertz_clock/db/one_hertz_clock.cbx.xml
new file mode 100644
index 0000000..6ad7e3b
--- /dev/null
+++ b/one_hertz_clock/db/one_hertz_clock.cbx.xml
@@ -0,0 +1,5 @@
+<?xml version="1.0" ?>
+<LOG_ROOT>
+ <PROJECT NAME="one_hertz_clock">
+ </PROJECT>
+</LOG_ROOT>
diff --git a/one_hertz_clock/db/one_hertz_clock.cmp.bpm b/one_hertz_clock/db/one_hertz_clock.cmp.bpm
new file mode 100644
index 0000000..2f2bfeb
--- /dev/null
+++ b/one_hertz_clock/db/one_hertz_clock.cmp.bpm
Binary files differ
diff --git a/one_hertz_clock/db/one_hertz_clock.cmp.cdb b/one_hertz_clock/db/one_hertz_clock.cmp.cdb
new file mode 100644
index 0000000..5c63db3
--- /dev/null
+++ b/one_hertz_clock/db/one_hertz_clock.cmp.cdb
Binary files differ
diff --git a/one_hertz_clock/db/one_hertz_clock.cmp.hdb b/one_hertz_clock/db/one_hertz_clock.cmp.hdb
new file mode 100644
index 0000000..7088022
--- /dev/null
+++ b/one_hertz_clock/db/one_hertz_clock.cmp.hdb
Binary files differ
diff --git a/one_hertz_clock/db/one_hertz_clock.cmp.idb b/one_hertz_clock/db/one_hertz_clock.cmp.idb
new file mode 100644
index 0000000..3901ffb
--- /dev/null
+++ b/one_hertz_clock/db/one_hertz_clock.cmp.idb
Binary files differ
diff --git a/one_hertz_clock/db/one_hertz_clock.cmp.kpt b/one_hertz_clock/db/one_hertz_clock.cmp.kpt
new file mode 100644
index 0000000..414443a
--- /dev/null
+++ b/one_hertz_clock/db/one_hertz_clock.cmp.kpt
Binary files differ
diff --git a/one_hertz_clock/db/one_hertz_clock.cmp.logdb b/one_hertz_clock/db/one_hertz_clock.cmp.logdb
new file mode 100644
index 0000000..2d047df
--- /dev/null
+++ b/one_hertz_clock/db/one_hertz_clock.cmp.logdb
@@ -0,0 +1,44 @@
+v1
+IO_RULES,NUM_PINS_NOT_EXCEED_LOC_AVAILABLE,INAPPLICABLE,IO_000001,Capacity Checks,Number of pins in an I/O bank should not exceed the number of locations available.,Critical,No Location assignments found.,,I/O,,
+IO_RULES,NUM_CLKS_NOT_EXCEED_CLKS_AVAILABLE,INAPPLICABLE,IO_000002,Capacity Checks,Number of clocks in an I/O bank should not exceed the number of clocks available.,Critical,No Global Signal assignments found.,,I/O,,
+IO_RULES,NUM_VREF_NOT_EXCEED_LOC_AVAILABLE,INAPPLICABLE,IO_000003,Capacity Checks,Number of pins in a Vrefgroup should not exceed the number of locations available.,Critical,No Location assignments found.,,I/O,,
+IO_RULES,IO_BANK_SUPPORT_VCCIO,INAPPLICABLE,IO_000004,Voltage Compatibility Checks,The I/O bank should support the requested VCCIO.,Critical,No IOBANK_VCCIO assignments found.,,I/O,,
+IO_RULES,IO_BANK_NOT_HAVE_COMPETING_VREF,INAPPLICABLE,IO_000005,Voltage Compatibility Checks,The I/O bank should not have competing VREF values.,Critical,No VREF I/O Standard assignments found.,,I/O,,
+IO_RULES,IO_BANK_NOT_HAVE_COMPETING_VCCIO,PASS,IO_000006,Voltage Compatibility Checks,The I/O bank should not have competing VCCIO values.,Critical,0 such failures found.,,I/O,,
+IO_RULES,CHECK_UNAVAILABLE_LOC,INAPPLICABLE,IO_000007,Valid Location Checks,Checks for unavailable locations.,Critical,No Location assignments found.,,I/O,,
+IO_RULES,CHECK_RESERVED_LOC,INAPPLICABLE,IO_000008,Valid Location Checks,Checks for reserved locations.,Critical,No reserved LogicLock region found.,,I/O,,
+IO_RULES,LOC_SUPPORT_IO_STD,PASS,IO_000009,I/O Properties Checks for One I/O,The location should support the requested I/O standard.,Critical,0 such failures found.,,I/O,,
+IO_RULES,LOC_SUPPORT_IO_DIR,PASS,IO_000010,I/O Properties Checks for One I/O,The location should support the requested I/O direction.,Critical,0 such failures found.,,I/O,,
+IO_RULES,LOC_SUPPORT_CURRENT_STRENGTH,INAPPLICABLE,IO_000011,I/O Properties Checks for One I/O,The location should support the requested Current Strength.,Critical,No Current Strength assignments found.,,I/O,,
+IO_RULES,LOC_SUPPORT_OCT_VALUE,PASS,IO_000012,I/O Properties Checks for One I/O,The location should support the requested On Chip Termination value.,Critical,0 such failures found.,,I/O,,
+IO_RULES,LOC_SUPPORT_BUS_HOLD_VALUE,INAPPLICABLE,IO_000013,I/O Properties Checks for One I/O,The location should support the requested Bus Hold value.,Critical,No Enable Bus-Hold Circuitry assignments found.,,I/O,,
+IO_RULES,LOC_SUPPORT_WEAK_PULL_UP_VALUE,INAPPLICABLE,IO_000014,I/O Properties Checks for One I/O,The location should support the requested Weak Pull Up value.,Critical,No Weak Pull-Up Resistor assignments found.,,I/O,,
+IO_RULES,LOC_SUPPORT_PCI_CLAMP_DIODE,PASS,IO_000015,I/O Properties Checks for One I/O,The location should support the requested PCI Clamp Diode.,Critical,0 such failures found.,,I/O,,
+IO_RULES,IO_STD_SUPPORT_CURRENT_STRENGTH,INAPPLICABLE,IO_000018,I/O Properties Checks for One I/O,The I/O standard should support the requested Current Strength.,Critical,No Current Strength assignments found.,,I/O,,
+IO_RULES,IO_STD_SUPPORT_OCT_VALUE,PASS,IO_000019,I/O Properties Checks for One I/O,The I/O standard should support the requested On Chip Termination value.,Critical,0 such failures found.,,I/O,,
+IO_RULES,IO_STD_SUPPORT_PCI_CLAMP_DIODE,PASS,IO_000020,I/O Properties Checks for One I/O,The I/O standard should support the requested PCI Clamp Diode.,Critical,0 such failures found.,,I/O,,
+IO_RULES,IO_STD_SUPPORT_WEAK_PULL_UP_VALUE,INAPPLICABLE,IO_000021,I/O Properties Checks for One I/O,The I/O standard should support the requested Weak Pull Up value.,Critical,No Weak Pull-Up Resistor assignments found.,,I/O,,
+IO_RULES,IO_STD_SUPPORT_BUS_HOLD_VALUE,INAPPLICABLE,IO_000022,I/O Properties Checks for One I/O,The I/O standard should support the requested Bus Hold value.,Critical,No Enable Bus-Hold Circuitry assignments found.,,I/O,,
+IO_RULES,IO_STD_SUPPORT_OPEN_DRAIN_VALUE,INAPPLICABLE,IO_000023,I/O Properties Checks for One I/O,The I/O standard should support the Open Drain value.,Critical,No open drain assignments found.,,I/O,,
+IO_RULES,IO_DIR_SUPPORT_OCT_VALUE,PASS,IO_000024,I/O Properties Checks for One I/O,The I/O direction should support the On Chip Termination value.,Critical,0 such failures found.,,I/O,,
+IO_RULES,OCT_AND_CURRENT_STRENGTH_NOT_USED_SIMULTANEOUSLY,INAPPLICABLE,IO_000026,I/O Properties Checks for One I/O,On Chip Termination and Current Strength should not be used at the same time.,Critical,No Current Strength assignments found.,,I/O,,
+IO_RULES,WEAK_PULL_UP_AND_BUS_HOLD_NOT_USED_SIMULTANEOUSLY,INAPPLICABLE,IO_000027,I/O Properties Checks for One I/O,Weak Pull Up and Bus Hold should not be used at the same time.,Critical,No Enable Bus-Hold Circuitry or Weak Pull-Up Resistor assignments found.,,I/O,,
+IO_RULES,IO_STD_SUPPORTS_SLEW_RATE,INAPPLICABLE,IO_000045,I/O Properties Checks for One I/O,The I/O standard should support the requested Slew Rate value.,Critical,No Slew Rate assignments found.,,I/O,,
+IO_RULES,LOC_SUPPORTS_SLEW_RATE,INAPPLICABLE,IO_000046,I/O Properties Checks for One I/O,The location should support the requested Slew Rate value.,Critical,No Slew Rate assignments found.,,I/O,,
+IO_RULES,OCT_SUPPORTS_SLEW_RATE,INAPPLICABLE,IO_000047,I/O Properties Checks for One I/O,On Chip Termination and Slew Rate should not be used at the same time.,Critical,No Slew Rate assignments found.,,I/O,,
+IO_RULES,CURRENT_DENSITY_FOR_CONSECUTIVE_IO_NOT_EXCEED_CURRENT_VALUE,PASS,IO_000033,Electromigration Checks,Current density for consecutive I/Os should not exceed 240mA for row I/Os and 240mA for column I/Os.,Critical,0 such failures found.,,I/O,,
+IO_RULES,SINGLE_ENDED_OUTPUTS_LAB_ROWS_FROM_DIFF_IO,INAPPLICABLE,IO_000034,SI Related Distance Checks,Single-ended outputs should be 5 LAB row(s) away from a differential I/O.,High,No Differential I/O Standard assignments found.,,I/O,,
+IO_RULES,MAX_20_OUTPUTS_ALLOWED_IN_VREFGROUP,INAPPLICABLE,IO_000042,SI Related SSO Limit Checks,No more than 20 outputs are allowed in a VREF group when VREF is being read from.,High,No VREF I/O Standard assignments found.,,I/O,,
+IO_RULES,DEV_IO_RULE_OCT_DISCLAIMER,,,,,,,,,,
+IO_RULES_MATRIX,Pin/Rules,IO_000001;IO_000002;IO_000003;IO_000004;IO_000005;IO_000006;IO_000007;IO_000008;IO_000009;IO_000010;IO_000011;IO_000012;IO_000013;IO_000014;IO_000015;IO_000018;IO_000019;IO_000020;IO_000021;IO_000022;IO_000023;IO_000024;IO_000026;IO_000027;IO_000045;IO_000046;IO_000047;IO_000033;IO_000034;IO_000042,
+IO_RULES_MATRIX,Total Pass,0;0;0;0;0;2;0;0;2;2;0;1;0;0;1;0;1;1;0;0;0;1;0;0;0;0;0;2;0;0,
+IO_RULES_MATRIX,Total Unchecked,0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0,
+IO_RULES_MATRIX,Total Inapplicable,2;2;2;2;2;0;2;2;0;0;2;1;2;2;1;2;1;1;2;2;2;1;2;2;2;2;2;0;2;2,
+IO_RULES_MATRIX,Total Fail,0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0,
+IO_RULES_MATRIX,1_Hz,Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable,
+IO_RULES_MATRIX,CLK,Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable,
+IO_RULES_SUMMARY,Total I/O Rules,30,
+IO_RULES_SUMMARY,Number of I/O Rules Passed,9,
+IO_RULES_SUMMARY,Number of I/O Rules Failed,0,
+IO_RULES_SUMMARY,Number of I/O Rules Unchecked,0,
+IO_RULES_SUMMARY,Number of I/O Rules Inapplicable,21,
diff --git a/one_hertz_clock/db/one_hertz_clock.cmp.rdb b/one_hertz_clock/db/one_hertz_clock.cmp.rdb
new file mode 100644
index 0000000..8d9d97b
--- /dev/null
+++ b/one_hertz_clock/db/one_hertz_clock.cmp.rdb
Binary files differ
diff --git a/one_hertz_clock/db/one_hertz_clock.cmp_merge.kpt b/one_hertz_clock/db/one_hertz_clock.cmp_merge.kpt
new file mode 100644
index 0000000..91179e3
--- /dev/null
+++ b/one_hertz_clock/db/one_hertz_clock.cmp_merge.kpt
Binary files differ
diff --git a/one_hertz_clock/db/one_hertz_clock.cuda_io_sim_cache.31um_ff_1200mv_0c_fast.hsd b/one_hertz_clock/db/one_hertz_clock.cuda_io_sim_cache.31um_ff_1200mv_0c_fast.hsd
new file mode 100644
index 0000000..48e9c1b
--- /dev/null
+++ b/one_hertz_clock/db/one_hertz_clock.cuda_io_sim_cache.31um_ff_1200mv_0c_fast.hsd
Binary files differ
diff --git a/one_hertz_clock/db/one_hertz_clock.cuda_io_sim_cache.31um_tt_1200mv_85c_slow.hsd b/one_hertz_clock/db/one_hertz_clock.cuda_io_sim_cache.31um_tt_1200mv_85c_slow.hsd
new file mode 100644
index 0000000..e9cbe95
--- /dev/null
+++ b/one_hertz_clock/db/one_hertz_clock.cuda_io_sim_cache.31um_tt_1200mv_85c_slow.hsd
Binary files differ
diff --git a/one_hertz_clock/db/one_hertz_clock.db_info b/one_hertz_clock/db/one_hertz_clock.db_info
new file mode 100644
index 0000000..cde781d
--- /dev/null
+++ b/one_hertz_clock/db/one_hertz_clock.db_info
@@ -0,0 +1,3 @@
+Quartus_Version = Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Full Version
+Version_Index = 302049280
+Creation_Time = Tue Feb 23 14:11:14 2016
diff --git a/one_hertz_clock/db/one_hertz_clock.fit.qmsg b/one_hertz_clock/db/one_hertz_clock.fit.qmsg
new file mode 100644
index 0000000..46d8535
--- /dev/null
+++ b/one_hertz_clock/db/one_hertz_clock.fit.qmsg
@@ -0,0 +1,46 @@
+{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS_MORE_LOGICAL" "4 4 8 " "Parallel Compilation has detected 8 hyper-threaded processors. However, the extra hyper-threaded processors will not be used by default. Parallel Compilation will use 4 of the 4 physical processors detected instead." { } { } 0 11104 "Parallel Compilation has detected %3!i! hyper-threaded processors. However, the extra hyper-threaded processors will not be used by default. Parallel Compilation will use %1!i! of the %2!i! physical processors detected instead." 0 0 "Fitter" 0 -1 1456243332282 ""}
+{ "Info" "IMPP_MPP_USER_DEVICE" "one_hertz_clock EP3C16U484C6 " "Selected device EP3C16U484C6 for design \"one_hertz_clock\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1456243332518 ""}
+{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Core supply voltage 1.2V " "Core supply voltage is 1.2V" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1456243332600 ""}
+{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1456243332600 ""}
+{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1456243332600 ""}
+{ "Info" "IFITCC_FITCC_INFO_AUTO_FIT_COMPILATION_ON" "" "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" { } { } 0 171003 "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" 0 0 "Fitter" 0 -1 1456243332665 ""}
+{ "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED" "" "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" { { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP3C40U484C6 " "Device EP3C40U484C6 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1456243332837 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP3C55U484C6 " "Device EP3C55U484C6 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1456243332837 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP3C80U484C6 " "Device EP3C80U484C6 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1456243332837 ""} } { } 2 176444 "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" 0 0 "Fitter" 0 -1 1456243332837 ""}
+{ "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION" "5 " "Fitter converted 5 user pins into dedicated programming pins" { { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_ASDO_DATA1~ D1 " "Pin ~ALTERA_ASDO_DATA1~ is reserved at location D1" { } { { "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" { ~ALTERA_ASDO_DATA1~ } } } { "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" "" { ~ALTERA_ASDO_DATA1~ } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/one_hertz_clock/" { { 0 { 0 ""} 0 84 9224 9983 0} } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Quartus II" 0 -1 1456243332838 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_FLASH_nCE_nCSO~ E2 " "Pin ~ALTERA_FLASH_nCE_nCSO~ is reserved at location E2" { } { { "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" { ~ALTERA_FLASH_nCE_nCSO~ } } } { "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" "" { ~ALTERA_FLASH_nCE_nCSO~ } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/one_hertz_clock/" { { 0 { 0 ""} 0 86 9224 9983 0} } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Quartus II" 0 -1 1456243332838 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_DCLK~ K2 " "Pin ~ALTERA_DCLK~ is reserved at location K2" { } { { "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" { ~ALTERA_DCLK~ } } } { "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" "" { ~ALTERA_DCLK~ } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/one_hertz_clock/" { { 0 { 0 ""} 0 88 9224 9983 0} } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Quartus II" 0 -1 1456243332838 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_DATA0~ K1 " "Pin ~ALTERA_DATA0~ is reserved at location K1" { } { { "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" { ~ALTERA_DATA0~ } } } { "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" "" { ~ALTERA_DATA0~ } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/one_hertz_clock/" { { 0 { 0 ""} 0 90 9224 9983 0} } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Quartus II" 0 -1 1456243332838 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_nCEO~ K22 " "Pin ~ALTERA_nCEO~ is reserved at location K22" { } { { "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" { ~ALTERA_nCEO~ } } } { "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" "" { ~ALTERA_nCEO~ } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/one_hertz_clock/" { { 0 { 0 ""} 0 92 9224 9983 0} } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Quartus II" 0 -1 1456243332838 ""} } { } 0 169124 "Fitter converted %1!d! user pins into dedicated programming pins" 0 0 "Fitter" 0 -1 1456243332838 ""}
+{ "Warning" "WCUT_CUT_ATOM_PINS_WITH_INCOMPLETE_IO_ASSIGNMENTS" "" "Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details" { } { } 0 15714 "Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details" 0 0 "Fitter" 0 -1 1456243332839 ""}
+{ "Critical Warning" "WFIOMGR_PINS_MISSING_LOCATION_INFO" "2 2 " "No exact pin location assignment(s) for 2 pins of 2 total pins" { { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "1_Hz " "Pin 1_Hz not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" { 1_Hz } } } { "one_hertz_clock.bdf" "" { Schematic "C:/one_hertz_clock/one_hertz_clock.bdf" { { 536 744 920 552 "1_Hz" "" } } } } { "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" "" { 1_Hz } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/one_hertz_clock/" { { 0 { 0 ""} 0 22 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1456243333504 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "CLK " "Pin CLK not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" { CLK } } } { "one_hertz_clock.bdf" "" { Schematic "C:/one_hertz_clock/one_hertz_clock.bdf" { { 2928 600 768 2944 "CLK" "" } } } } { "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" "" { CLK } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/one_hertz_clock/" { { 0 { 0 ""} 0 23 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1456243333504 ""} } { } 1 169085 "No exact pin location assignment(s) for %1!d! pins of %2!d! total pins" 0 0 "Fitter" 0 -1 1456243333504 ""}
+{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "one_hertz_clock.sdc " "Synopsys Design Constraints File file not found: 'one_hertz_clock.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Fitter" 0 -1 1456243333593 ""}
+{ "Info" "ISTA_NO_CLOCK_FOUND_NO_DERIVING_MSG" "base clocks " "No user constrained base clocks found in the design" { } { } 0 332144 "No user constrained %1!s! found in the design" 0 0 "Fitter" 0 -1 1456243333593 ""}
+{ "Info" "ISTA_NO_CLOCK_UNCERTAINTY_FOUND_DERIVING" "\"derive_clock_uncertainty\" " "No user constrained clock uncertainty found in the design. Calling \"derive_clock_uncertainty\"" { } { } 0 332143 "No user constrained clock uncertainty found in the design. Calling %1!s!" 0 0 "Fitter" 0 -1 1456243333594 ""}
+{ "Info" "ISTA_NO_UNCERTAINTY_FOUND" "" "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." { } { } 0 332154 "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." 0 0 "Fitter" 0 -1 1456243333594 ""}
+{ "Info" "ISTA_TDC_NO_DEFAULT_OPTIMIZATION_GOALS" "" "Timing requirements not specified -- quality metrics such as performance may be sacrificed to reduce compilation time." { } { } 0 332130 "Timing requirements not specified -- quality metrics such as performance may be sacrificed to reduce compilation time." 0 0 "Fitter" 0 -1 1456243333594 ""}
+{ "Info" "IFSAC_FSAC_ASSIGN_AUTO_GLOBAL_TO_SIGNAL" "CLK~input (placed in PIN G2 (CLK0, DIFFCLK_0p)) " "Automatically promoted node CLK~input (placed in PIN G2 (CLK0, DIFFCLK_0p))" { { "Info" "IFSAC_FSAC_ASSIGN_AUTO_GLOBAL_TO_SIGNAL_FANOUTS" "destinations Global Clock CLKCTRL_G4 " "Automatically promoted destinations to use location or clock signal Global Clock CLKCTRL_G4" { } { } 0 176355 "Automatically promoted %1!s! to use location or clock signal %2!s!" 0 0 "Quartus II" 0 -1 1456243333606 ""} } { { "one_hertz_clock.bdf" "" { Schematic "C:/one_hertz_clock/one_hertz_clock.bdf" { { 2928 600 768 2944 "CLK" "" } } } } { "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" "" { CLK~input } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/one_hertz_clock/" { { 0 { 0 ""} 0 79 9224 9983 0} } } } } 0 176353 "Automatically promoted node %1!s! %2!s!" 0 0 "Fitter" 0 -1 1456243333606 ""}
+{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_REGPACKING_INFO" "" "Starting register packing" { } { } 0 176233 "Starting register packing" 0 0 "Fitter" 0 -1 1456243333705 ""}
+{ "Extra Info" "IFSAC_FSAC_START_REG_LOCATION_PROCESSING" "" "Performing register packing on registers with non-logic cell location assignments" { } { } 1 176273 "Performing register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1456243333705 ""}
+{ "Extra Info" "IFSAC_FSAC_FINISH_REG_LOCATION_PROCESSING" "" "Completed register packing on registers with non-logic cell location assignments" { } { } 1 176274 "Completed register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1456243333705 ""}
+{ "Extra Info" "IFSAC_FSAC_REGISTER_PACKING_BEGIN_FAST_REGISTER_INFO" "" "Started Fast Input/Output/OE register processing" { } { } 1 176236 "Started Fast Input/Output/OE register processing" 1 0 "Fitter" 0 -1 1456243333706 ""}
+{ "Extra Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_FAST_REGISTER_INFO" "" "Finished Fast Input/Output/OE register processing" { } { } 1 176237 "Finished Fast Input/Output/OE register processing" 1 0 "Fitter" 0 -1 1456243333706 ""}
+{ "Extra Info" "IFSAC_FSAC_START_MAC_SCAN_CHAIN_INFERENCING" "" "Start inferring scan chains for DSP blocks" { } { } 1 176238 "Start inferring scan chains for DSP blocks" 1 0 "Fitter" 0 -1 1456243333706 ""}
+{ "Extra Info" "IFSAC_FSAC_FINISH_MAC_SCAN_CHAIN_INFERENCING" "" "Inferring scan chains for DSP blocks is complete" { } { } 1 176239 "Inferring scan chains for DSP blocks is complete" 1 0 "Fitter" 0 -1 1456243333706 ""}
+{ "Extra Info" "IFSAC_FSAC_START_IO_MULT_RAM_PACKING" "" "Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density" { } { } 1 176248 "Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density" 1 0 "Fitter" 0 -1 1456243333706 ""}
+{ "Extra Info" "IFSAC_FSAC_FINISH_IO_MULT_RAM_PACKING" "" "Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks" { } { } 1 176249 "Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks" 1 0 "Fitter" 0 -1 1456243333707 ""}
+{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Finished register packing" { { "Extra Info" "IFSAC_NO_REGISTERS_WERE_PACKED" "" "No registers were packed into other blocks" { } { } 1 176219 "No registers were packed into other blocks" 0 0 "Quartus II" 0 -1 1456243333707 ""} } { } 0 176235 "Finished register packing" 0 0 "Fitter" 0 -1 1456243333707 ""}
+{ "Info" "IFSAC_FSAC_IO_BANK_PIN_GROUP_STATISTICS" "I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement " "Statistics of I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement" { { "Info" "IFSAC_FSAC_SINGLE_IOC_GROUP_STATISTICS" "1 unused 2.5V 0 1 0 " "Number of I/O pins in group: 1 (unused VREF, 2.5V VCCIO, 0 input, 1 output, 0 bidirectional)" { { "Info" "IFSAC_FSAC_IO_STDS_IN_IOC_GROUP" "2.5 V. " "I/O standards used: 2.5 V." { } { } 0 176212 "I/O standards used: %1!s!" 0 0 "Quartus II" 0 -1 1456243333708 ""} } { } 0 176211 "Number of I/O pins in group: %1!d! (%2!s! VREF, %3!s! VCCIO, %4!d! input, %5!d! output, %6!d! bidirectional)" 0 0 "Quartus II" 0 -1 1456243333708 ""} } { } 0 176214 "Statistics of %1!s!" 0 0 "Fitter" 0 -1 1456243333708 ""}
+{ "Info" "IFSAC_FSAC_IO_STATS_BEFORE_AFTER_PLACEMENT" "before " "I/O bank details before I/O pin placement" { { "Info" "IFSAC_FSAC_IO_BANK_PIN_GROUP_STATISTICS" "I/O banks " "Statistics of I/O banks" { { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "1 does not use undetermined 5 28 " "I/O bank number 1 does not use VREF pins and has undetermined VCCIO pins. 5 total pin(s) used -- 28 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Quartus II" 0 -1 1456243333709 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "2 does not use undetermined 0 48 " "I/O bank number 2 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 48 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Quartus II" 0 -1 1456243333709 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "3 does not use undetermined 0 46 " "I/O bank number 3 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 46 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Quartus II" 0 -1 1456243333709 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "4 does not use undetermined 0 41 " "I/O bank number 4 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 41 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Quartus II" 0 -1 1456243333709 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "5 does not use undetermined 0 46 " "I/O bank number 5 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 46 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Quartus II" 0 -1 1456243333709 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "6 does not use undetermined 1 42 " "I/O bank number 6 does not use VREF pins and has undetermined VCCIO pins. 1 total pin(s) used -- 42 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Quartus II" 0 -1 1456243333709 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "7 does not use undetermined 0 47 " "I/O bank number 7 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 47 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Quartus II" 0 -1 1456243333709 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "8 does not use undetermined 0 43 " "I/O bank number 8 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 43 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Quartus II" 0 -1 1456243333709 ""} } { } 0 176214 "Statistics of %1!s!" 0 0 "Quartus II" 0 -1 1456243333709 ""} } { } 0 176215 "I/O bank details %1!s! I/O pin placement" 0 0 "Fitter" 0 -1 1456243333709 ""}
+{ "Info" "IFITCC_FITTER_PREPARATION_END" "00:00:01 " "Fitter preparation operations ending: elapsed time is 00:00:01" { } { } 0 171121 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1456243333713 ""}
+{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Fitter placement preparation operations beginning" { } { } 0 170189 "Fitter placement preparation operations beginning" 0 0 "Fitter" 0 -1 1456243334119 ""}
+{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:00 " "Fitter placement preparation operations ending: elapsed time is 00:00:00" { } { } 0 170190 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1456243334174 ""}
+{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_START" "" "Fitter placement operations beginning" { } { } 0 170191 "Fitter placement operations beginning" 0 0 "Fitter" 0 -1 1456243334181 ""}
+{ "Info" "IFITAPI_FITAPI_INFO_VPR_PLACEMENT_FINISH" "" "Fitter placement was successful" { } { } 0 170137 "Fitter placement was successful" 0 0 "Fitter" 0 -1 1456243334423 ""}
+{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_END" "00:00:00 " "Fitter placement operations ending: elapsed time is 00:00:00" { } { } 0 170192 "Fitter placement operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1456243334423 ""}
+{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_START" "" "Fitter routing operations beginning" { } { } 0 170193 "Fitter routing operations beginning" 0 0 "Fitter" 0 -1 1456243334558 ""}
+{ "Info" "IFITAPI_FITAPI_VPR_PERCENT_ROUTING_RESOURCE_USAGE" "0 " "Router estimated average interconnect usage is 0% of the available device resources" { { "Info" "IFITAPI_FITAPI_VPR_PEAK_ROUTING_REGION" "0 X31_Y10 X41_Y19 " "Router estimated peak interconnect usage is 0% of the available device resources in the region that extends from location X31_Y10 to location X41_Y19" { } { { "loc" "" { Generic "C:/one_hertz_clock/" { { 1 { 0 "Router estimated peak interconnect usage is 0% of the available device resources in the region that extends from location X31_Y10 to location X41_Y19"} { { 11 { 0 "Router estimated peak interconnect usage is 0% of the available device resources in the region that extends from location X31_Y10 to location X41_Y19"} 31 10 11 10 } } } } } } } 0 170196 "Router estimated peak interconnect usage is %1!d!%% of the available device resources in the region that extends from location %2!s! to location %3!s!" 0 0 "Quartus II" 0 -1 1456243334888 ""} } { } 0 170195 "Router estimated average interconnect usage is %1!d!%% of the available device resources" 0 0 "Fitter" 0 -1 1456243334888 ""}
+{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_END" "00:00:01 " "Fitter routing operations ending: elapsed time is 00:00:01" { } { } 0 170194 "Fitter routing operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1456243335398 ""}
+{ "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED" "" "The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." { { "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED_FOR_ROUTABILITY" "" "Optimizations that may affect the design's routability were skipped" { } { } 0 170201 "Optimizations that may affect the design's routability were skipped" 0 0 "Quartus II" 0 -1 1456243335400 ""} } { } 0 170199 "The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." 0 0 "Fitter" 0 -1 1456243335400 ""}
+{ "Info" "IVPR20K_VPR_TIMING_ANALYSIS_TIME" "0.27 " "Total time spent on timing analysis during the Fitter is 0.27 seconds." { } { } 0 11888 "Total time spent on timing analysis during the Fitter is %1!s! seconds." 0 0 "Fitter" 0 -1 1456243335404 ""}
+{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Fitter" 0 -1 1456243335429 ""}
+{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Fitter" 0 -1 1456243335638 ""}
+{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Fitter" 0 -1 1456243335661 ""}
+{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Fitter" 0 -1 1456243335724 ""}
+{ "Info" "IFITCC_FITTER_POST_OPERATION_END" "00:00:00 " "Fitter post-fit operations ending: elapsed time is 00:00:00" { } { } 0 11218 "Fitter post-fit operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1456243335992 ""}
+{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "C:/one_hertz_clock/output_files/one_hertz_clock.fit.smsg " "Generated suppressed messages file C:/one_hertz_clock/output_files/one_hertz_clock.fit.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Fitter" 0 -1 1456243336637 ""}
+{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 3 s Quartus II 64-Bit " "Quartus II 64-Bit Fitter was successful. 0 errors, 3 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "1090 " "Peak virtual memory: 1090 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1456243336774 ""} { "Info" "IQEXE_END_BANNER_TIME" "Tue Feb 23 16:02:16 2016 " "Processing ended: Tue Feb 23 16:02:16 2016" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1456243336774 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:05 " "Elapsed time: 00:00:05" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1456243336774 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:05 " "Total CPU time (on all processors): 00:00:05" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1456243336774 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1456243336774 ""}
diff --git a/one_hertz_clock/db/one_hertz_clock.hier_info b/one_hertz_clock/db/one_hertz_clock.hier_info
new file mode 100644
index 0000000..aa51ae1
--- /dev/null
+++ b/one_hertz_clock/db/one_hertz_clock.hier_info
@@ -0,0 +1,289 @@
+|one_hertz_clock
+1_Hz <= inst30.DB_MAX_OUTPUT_PORT_TYPE
+CLK => inst77.CLK
+CLK => inst72.CLK
+CLK => inst67.CLK
+CLK => inst63.CLK
+CLK => inst58.CLK
+CLK => inst48.CLK
+CLK => inst43.CLK
+CLK => inst389.CLK
+CLK => inst50.CLK
+CLK => inst459.CLK
+CLK => inst40.CLK
+CLK => inst38.CLK
+CLK => inst3799999.CLK
+CLK => inst82.CLK
+CLK => inst97.CLK
+CLK => inst92.CLK
+CLK => inst87.CLK
+CLK => inst102.CLK
+CLK => inst107.CLK
+
+
+|one_hertz_clock|full_adder:inst78
+SUM <= inst2.DB_MAX_OUTPUT_PORT_TYPE
+X => inst.IN0
+X => inst6.IN0
+X => inst4.IN1
+Y => inst.IN1
+Y => inst5.IN1
+Y => inst6.IN1
+Cin => inst2.IN1
+Cin => inst5.IN0
+Cin => inst4.IN0
+Cout <= inst3.DB_MAX_OUTPUT_PORT_TYPE
+
+
+|one_hertz_clock|full_adder:inst73
+SUM <= inst2.DB_MAX_OUTPUT_PORT_TYPE
+X => inst.IN0
+X => inst6.IN0
+X => inst4.IN1
+Y => inst.IN1
+Y => inst5.IN1
+Y => inst6.IN1
+Cin => inst2.IN1
+Cin => inst5.IN0
+Cin => inst4.IN0
+Cout <= inst3.DB_MAX_OUTPUT_PORT_TYPE
+
+
+|one_hertz_clock|full_adder:inst68
+SUM <= inst2.DB_MAX_OUTPUT_PORT_TYPE
+X => inst.IN0
+X => inst6.IN0
+X => inst4.IN1
+Y => inst.IN1
+Y => inst5.IN1
+Y => inst6.IN1
+Cin => inst2.IN1
+Cin => inst5.IN0
+Cin => inst4.IN0
+Cout <= inst3.DB_MAX_OUTPUT_PORT_TYPE
+
+
+|one_hertz_clock|full_adder:inst37
+SUM <= inst2.DB_MAX_OUTPUT_PORT_TYPE
+X => inst.IN0
+X => inst6.IN0
+X => inst4.IN1
+Y => inst.IN1
+Y => inst5.IN1
+Y => inst6.IN1
+Cin => inst2.IN1
+Cin => inst5.IN0
+Cin => inst4.IN0
+Cout <= inst3.DB_MAX_OUTPUT_PORT_TYPE
+
+
+|one_hertz_clock|full_adder:inst59
+SUM <= inst2.DB_MAX_OUTPUT_PORT_TYPE
+X => inst.IN0
+X => inst6.IN0
+X => inst4.IN1
+Y => inst.IN1
+Y => inst5.IN1
+Y => inst6.IN1
+Cin => inst2.IN1
+Cin => inst5.IN0
+Cin => inst4.IN0
+Cout <= inst3.DB_MAX_OUTPUT_PORT_TYPE
+
+
+|one_hertz_clock|full_adder:inst49
+SUM <= inst2.DB_MAX_OUTPUT_PORT_TYPE
+X => inst.IN0
+X => inst6.IN0
+X => inst4.IN1
+Y => inst.IN1
+Y => inst5.IN1
+Y => inst6.IN1
+Cin => inst2.IN1
+Cin => inst5.IN0
+Cin => inst4.IN0
+Cout <= inst3.DB_MAX_OUTPUT_PORT_TYPE
+
+
+|one_hertz_clock|full_adder:inst449
+SUM <= inst2.DB_MAX_OUTPUT_PORT_TYPE
+X => inst.IN0
+X => inst6.IN0
+X => inst4.IN1
+Y => inst.IN1
+Y => inst5.IN1
+Y => inst6.IN1
+Cin => inst2.IN1
+Cin => inst5.IN0
+Cin => inst4.IN0
+Cout <= inst3.DB_MAX_OUTPUT_PORT_TYPE
+
+
+|one_hertz_clock|full_adder:inst369
+SUM <= inst2.DB_MAX_OUTPUT_PORT_TYPE
+X => inst.IN0
+X => inst6.IN0
+X => inst4.IN1
+Y => inst.IN1
+Y => inst5.IN1
+Y => inst6.IN1
+Cin => inst2.IN1
+Cin => inst5.IN0
+Cin => inst4.IN0
+Cout <= inst3.DB_MAX_OUTPUT_PORT_TYPE
+
+
+|one_hertz_clock|full_adder:inst51
+SUM <= inst2.DB_MAX_OUTPUT_PORT_TYPE
+X => inst.IN0
+X => inst6.IN0
+X => inst4.IN1
+Y => inst.IN1
+Y => inst5.IN1
+Y => inst6.IN1
+Cin => inst2.IN1
+Cin => inst5.IN0
+Cin => inst4.IN0
+Cout <= inst3.DB_MAX_OUTPUT_PORT_TYPE
+
+
+|one_hertz_clock|full_adder:inst46
+SUM <= inst2.DB_MAX_OUTPUT_PORT_TYPE
+X => inst.IN0
+X => inst6.IN0
+X => inst4.IN1
+Y => inst.IN1
+Y => inst5.IN1
+Y => inst6.IN1
+Cin => inst2.IN1
+Cin => inst5.IN0
+Cin => inst4.IN0
+Cout <= inst3.DB_MAX_OUTPUT_PORT_TYPE
+
+
+|one_hertz_clock|full_adder:inst41
+SUM <= inst2.DB_MAX_OUTPUT_PORT_TYPE
+X => inst.IN0
+X => inst6.IN0
+X => inst4.IN1
+Y => inst.IN1
+Y => inst5.IN1
+Y => inst6.IN1
+Cin => inst2.IN1
+Cin => inst5.IN0
+Cin => inst4.IN0
+Cout <= inst3.DB_MAX_OUTPUT_PORT_TYPE
+
+
+|one_hertz_clock|full_adder:inst36
+SUM <= inst2.DB_MAX_OUTPUT_PORT_TYPE
+X => inst.IN0
+X => inst6.IN0
+X => inst4.IN1
+Y => inst.IN1
+Y => inst5.IN1
+Y => inst6.IN1
+Cin => inst2.IN1
+Cin => inst5.IN0
+Cin => inst4.IN0
+Cout <= inst3.DB_MAX_OUTPUT_PORT_TYPE
+
+
+|one_hertz_clock|full_adder:inst999
+SUM <= inst2.DB_MAX_OUTPUT_PORT_TYPE
+X => inst.IN0
+X => inst6.IN0
+X => inst4.IN1
+Y => inst.IN1
+Y => inst5.IN1
+Y => inst6.IN1
+Cin => inst2.IN1
+Cin => inst5.IN0
+Cin => inst4.IN0
+Cout <= inst3.DB_MAX_OUTPUT_PORT_TYPE
+
+
+|one_hertz_clock|full_adder:inst83
+SUM <= inst2.DB_MAX_OUTPUT_PORT_TYPE
+X => inst.IN0
+X => inst6.IN0
+X => inst4.IN1
+Y => inst.IN1
+Y => inst5.IN1
+Y => inst6.IN1
+Cin => inst2.IN1
+Cin => inst5.IN0
+Cin => inst4.IN0
+Cout <= inst3.DB_MAX_OUTPUT_PORT_TYPE
+
+
+|one_hertz_clock|full_adder:inst98
+SUM <= inst2.DB_MAX_OUTPUT_PORT_TYPE
+X => inst.IN0
+X => inst6.IN0
+X => inst4.IN1
+Y => inst.IN1
+Y => inst5.IN1
+Y => inst6.IN1
+Cin => inst2.IN1
+Cin => inst5.IN0
+Cin => inst4.IN0
+Cout <= inst3.DB_MAX_OUTPUT_PORT_TYPE
+
+
+|one_hertz_clock|full_adder:inst93
+SUM <= inst2.DB_MAX_OUTPUT_PORT_TYPE
+X => inst.IN0
+X => inst6.IN0
+X => inst4.IN1
+Y => inst.IN1
+Y => inst5.IN1
+Y => inst6.IN1
+Cin => inst2.IN1
+Cin => inst5.IN0
+Cin => inst4.IN0
+Cout <= inst3.DB_MAX_OUTPUT_PORT_TYPE
+
+
+|one_hertz_clock|full_adder:inst88
+SUM <= inst2.DB_MAX_OUTPUT_PORT_TYPE
+X => inst.IN0
+X => inst6.IN0
+X => inst4.IN1
+Y => inst.IN1
+Y => inst5.IN1
+Y => inst6.IN1
+Cin => inst2.IN1
+Cin => inst5.IN0
+Cin => inst4.IN0
+Cout <= inst3.DB_MAX_OUTPUT_PORT_TYPE
+
+
+|one_hertz_clock|full_adder:inst103
+SUM <= inst2.DB_MAX_OUTPUT_PORT_TYPE
+X => inst.IN0
+X => inst6.IN0
+X => inst4.IN1
+Y => inst.IN1
+Y => inst5.IN1
+Y => inst6.IN1
+Cin => inst2.IN1
+Cin => inst5.IN0
+Cin => inst4.IN0
+Cout <= inst3.DB_MAX_OUTPUT_PORT_TYPE
+
+
+|one_hertz_clock|full_adder:inst108
+SUM <= inst2.DB_MAX_OUTPUT_PORT_TYPE
+X => inst.IN0
+X => inst6.IN0
+X => inst4.IN1
+Y => inst.IN1
+Y => inst5.IN1
+Y => inst6.IN1
+Cin => inst2.IN1
+Cin => inst5.IN0
+Cin => inst4.IN0
+Cout <= inst3.DB_MAX_OUTPUT_PORT_TYPE
+
+
diff --git a/one_hertz_clock/db/one_hertz_clock.hif b/one_hertz_clock/db/one_hertz_clock.hif
new file mode 100644
index 0000000..1534d8f
--- /dev/null
+++ b/one_hertz_clock/db/one_hertz_clock.hif
Binary files differ
diff --git a/one_hertz_clock/db/one_hertz_clock.ipinfo b/one_hertz_clock/db/one_hertz_clock.ipinfo
new file mode 100644
index 0000000..3560eab
--- /dev/null
+++ b/one_hertz_clock/db/one_hertz_clock.ipinfo
Binary files differ
diff --git a/one_hertz_clock/db/one_hertz_clock.lpc.html b/one_hertz_clock/db/one_hertz_clock.lpc.html
new file mode 100644
index 0000000..2e863bc
--- /dev/null
+++ b/one_hertz_clock/db/one_hertz_clock.lpc.html
@@ -0,0 +1,322 @@
+<TABLE>
+<TR bgcolor="#C0C0C0">
+<TH>Hierarchy</TH>
+<TH>Input</TH>
+<TH>Constant Input</TH>
+<TH>Unused Input</TH>
+<TH>Floating Input</TH>
+<TH>Output</TH>
+<TH>Constant Output</TH>
+<TH>Unused Output</TH>
+<TH>Floating Output</TH>
+<TH>Bidir</TH>
+<TH>Constant Bidir</TH>
+<TH>Unused Bidir</TH>
+<TH>Input only Bidir</TH>
+<TH>Output only Bidir</TH>
+</TR>
+<TR >
+<TD >inst108</TD>
+<TD >3</TD>
+<TD >2</TD>
+<TD >0</TD>
+<TD >2</TD>
+<TD >2</TD>
+<TD >2</TD>
+<TD >2</TD>
+<TD >2</TD>
+<TD >0</TD>
+<TD >0</TD>
+<TD >0</TD>
+<TD >0</TD>
+<TD >0</TD>
+</TR>
+<TR >
+<TD >inst103</TD>
+<TD >3</TD>
+<TD >1</TD>
+<TD >0</TD>
+<TD >1</TD>
+<TD >2</TD>
+<TD >1</TD>
+<TD >1</TD>
+<TD >1</TD>
+<TD >0</TD>
+<TD >0</TD>
+<TD >0</TD>
+<TD >0</TD>
+<TD >0</TD>
+</TR>
+<TR >
+<TD >inst88</TD>
+<TD >3</TD>
+<TD >1</TD>
+<TD >0</TD>
+<TD >1</TD>
+<TD >2</TD>
+<TD >1</TD>
+<TD >1</TD>
+<TD >1</TD>
+<TD >0</TD>
+<TD >0</TD>
+<TD >0</TD>
+<TD >0</TD>
+<TD >0</TD>
+</TR>
+<TR >
+<TD >inst93</TD>
+<TD >3</TD>
+<TD >1</TD>
+<TD >0</TD>
+<TD >1</TD>
+<TD >2</TD>
+<TD >1</TD>
+<TD >1</TD>
+<TD >1</TD>
+<TD >0</TD>
+<TD >0</TD>
+<TD >0</TD>
+<TD >0</TD>
+<TD >0</TD>
+</TR>
+<TR >
+<TD >inst98</TD>
+<TD >3</TD>
+<TD >1</TD>
+<TD >0</TD>
+<TD >1</TD>
+<TD >2</TD>
+<TD >1</TD>
+<TD >1</TD>
+<TD >1</TD>
+<TD >0</TD>
+<TD >0</TD>
+<TD >0</TD>
+<TD >0</TD>
+<TD >0</TD>
+</TR>
+<TR >
+<TD >inst83</TD>
+<TD >3</TD>
+<TD >1</TD>
+<TD >0</TD>
+<TD >1</TD>
+<TD >2</TD>
+<TD >1</TD>
+<TD >1</TD>
+<TD >1</TD>
+<TD >0</TD>
+<TD >0</TD>
+<TD >0</TD>
+<TD >0</TD>
+<TD >0</TD>
+</TR>
+<TR >
+<TD >inst999</TD>
+<TD >3</TD>
+<TD >2</TD>
+<TD >0</TD>
+<TD >2</TD>
+<TD >2</TD>
+<TD >2</TD>
+<TD >2</TD>
+<TD >2</TD>
+<TD >0</TD>
+<TD >0</TD>
+<TD >0</TD>
+<TD >0</TD>
+<TD >0</TD>
+</TR>
+<TR >
+<TD >inst36</TD>
+<TD >3</TD>
+<TD >1</TD>
+<TD >0</TD>
+<TD >1</TD>
+<TD >2</TD>
+<TD >1</TD>
+<TD >1</TD>
+<TD >1</TD>
+<TD >0</TD>
+<TD >0</TD>
+<TD >0</TD>
+<TD >0</TD>
+<TD >0</TD>
+</TR>
+<TR >
+<TD >inst41</TD>
+<TD >3</TD>
+<TD >1</TD>
+<TD >0</TD>
+<TD >1</TD>
+<TD >2</TD>
+<TD >1</TD>
+<TD >1</TD>
+<TD >1</TD>
+<TD >0</TD>
+<TD >0</TD>
+<TD >0</TD>
+<TD >0</TD>
+<TD >0</TD>
+</TR>
+<TR >
+<TD >inst46</TD>
+<TD >3</TD>
+<TD >1</TD>
+<TD >0</TD>
+<TD >1</TD>
+<TD >2</TD>
+<TD >1</TD>
+<TD >1</TD>
+<TD >1</TD>
+<TD >0</TD>
+<TD >0</TD>
+<TD >0</TD>
+<TD >0</TD>
+<TD >0</TD>
+</TR>
+<TR >
+<TD >inst51</TD>
+<TD >3</TD>
+<TD >1</TD>
+<TD >0</TD>
+<TD >1</TD>
+<TD >2</TD>
+<TD >1</TD>
+<TD >1</TD>
+<TD >1</TD>
+<TD >0</TD>
+<TD >0</TD>
+<TD >0</TD>
+<TD >0</TD>
+<TD >0</TD>
+</TR>
+<TR >
+<TD >inst369</TD>
+<TD >3</TD>
+<TD >1</TD>
+<TD >0</TD>
+<TD >1</TD>
+<TD >2</TD>
+<TD >1</TD>
+<TD >1</TD>
+<TD >1</TD>
+<TD >0</TD>
+<TD >0</TD>
+<TD >0</TD>
+<TD >0</TD>
+<TD >0</TD>
+</TR>
+<TR >
+<TD >inst449</TD>
+<TD >3</TD>
+<TD >1</TD>
+<TD >0</TD>
+<TD >1</TD>
+<TD >2</TD>
+<TD >1</TD>
+<TD >1</TD>
+<TD >1</TD>
+<TD >0</TD>
+<TD >0</TD>
+<TD >0</TD>
+<TD >0</TD>
+<TD >0</TD>
+</TR>
+<TR >
+<TD >inst49</TD>
+<TD >3</TD>
+<TD >1</TD>
+<TD >0</TD>
+<TD >1</TD>
+<TD >2</TD>
+<TD >1</TD>
+<TD >1</TD>
+<TD >1</TD>
+<TD >0</TD>
+<TD >0</TD>
+<TD >0</TD>
+<TD >0</TD>
+<TD >0</TD>
+</TR>
+<TR >
+<TD >inst59</TD>
+<TD >3</TD>
+<TD >1</TD>
+<TD >0</TD>
+<TD >1</TD>
+<TD >2</TD>
+<TD >1</TD>
+<TD >1</TD>
+<TD >1</TD>
+<TD >0</TD>
+<TD >0</TD>
+<TD >0</TD>
+<TD >0</TD>
+<TD >0</TD>
+</TR>
+<TR >
+<TD >inst37</TD>
+<TD >3</TD>
+<TD >1</TD>
+<TD >0</TD>
+<TD >1</TD>
+<TD >2</TD>
+<TD >1</TD>
+<TD >1</TD>
+<TD >1</TD>
+<TD >0</TD>
+<TD >0</TD>
+<TD >0</TD>
+<TD >0</TD>
+<TD >0</TD>
+</TR>
+<TR >
+<TD >inst68</TD>
+<TD >3</TD>
+<TD >1</TD>
+<TD >0</TD>
+<TD >1</TD>
+<TD >2</TD>
+<TD >1</TD>
+<TD >1</TD>
+<TD >1</TD>
+<TD >0</TD>
+<TD >0</TD>
+<TD >0</TD>
+<TD >0</TD>
+<TD >0</TD>
+</TR>
+<TR >
+<TD >inst73</TD>
+<TD >3</TD>
+<TD >1</TD>
+<TD >0</TD>
+<TD >1</TD>
+<TD >2</TD>
+<TD >1</TD>
+<TD >1</TD>
+<TD >1</TD>
+<TD >0</TD>
+<TD >0</TD>
+<TD >0</TD>
+<TD >0</TD>
+<TD >0</TD>
+</TR>
+<TR >
+<TD >inst78</TD>
+<TD >3</TD>
+<TD >1</TD>
+<TD >0</TD>
+<TD >1</TD>
+<TD >2</TD>
+<TD >1</TD>
+<TD >1</TD>
+<TD >1</TD>
+<TD >0</TD>
+<TD >0</TD>
+<TD >0</TD>
+<TD >0</TD>
+<TD >0</TD>
+</TR>
+</TABLE>
diff --git a/one_hertz_clock/db/one_hertz_clock.lpc.rdb b/one_hertz_clock/db/one_hertz_clock.lpc.rdb
new file mode 100644
index 0000000..25efad3
--- /dev/null
+++ b/one_hertz_clock/db/one_hertz_clock.lpc.rdb
Binary files differ
diff --git a/one_hertz_clock/db/one_hertz_clock.lpc.txt b/one_hertz_clock/db/one_hertz_clock.lpc.txt
new file mode 100644
index 0000000..af7f492
--- /dev/null
+++ b/one_hertz_clock/db/one_hertz_clock.lpc.txt
@@ -0,0 +1,25 @@
++----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
+; Legal Partition Candidates ;
++-----------+-------+----------------+--------------+----------------+--------+-----------------+---------------+-----------------+-------+----------------+--------------+------------------+-------------------+
+; Hierarchy ; Input ; Constant Input ; Unused Input ; Floating Input ; Output ; Constant Output ; Unused Output ; Floating Output ; Bidir ; Constant Bidir ; Unused Bidir ; Input only Bidir ; Output only Bidir ;
++-----------+-------+----------------+--------------+----------------+--------+-----------------+---------------+-----------------+-------+----------------+--------------+------------------+-------------------+
+; inst108 ; 3 ; 2 ; 0 ; 2 ; 2 ; 2 ; 2 ; 2 ; 0 ; 0 ; 0 ; 0 ; 0 ;
+; inst103 ; 3 ; 1 ; 0 ; 1 ; 2 ; 1 ; 1 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ;
+; inst88 ; 3 ; 1 ; 0 ; 1 ; 2 ; 1 ; 1 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ;
+; inst93 ; 3 ; 1 ; 0 ; 1 ; 2 ; 1 ; 1 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ;
+; inst98 ; 3 ; 1 ; 0 ; 1 ; 2 ; 1 ; 1 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ;
+; inst83 ; 3 ; 1 ; 0 ; 1 ; 2 ; 1 ; 1 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ;
+; inst999 ; 3 ; 2 ; 0 ; 2 ; 2 ; 2 ; 2 ; 2 ; 0 ; 0 ; 0 ; 0 ; 0 ;
+; inst36 ; 3 ; 1 ; 0 ; 1 ; 2 ; 1 ; 1 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ;
+; inst41 ; 3 ; 1 ; 0 ; 1 ; 2 ; 1 ; 1 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ;
+; inst46 ; 3 ; 1 ; 0 ; 1 ; 2 ; 1 ; 1 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ;
+; inst51 ; 3 ; 1 ; 0 ; 1 ; 2 ; 1 ; 1 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ;
+; inst369 ; 3 ; 1 ; 0 ; 1 ; 2 ; 1 ; 1 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ;
+; inst449 ; 3 ; 1 ; 0 ; 1 ; 2 ; 1 ; 1 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ;
+; inst49 ; 3 ; 1 ; 0 ; 1 ; 2 ; 1 ; 1 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ;
+; inst59 ; 3 ; 1 ; 0 ; 1 ; 2 ; 1 ; 1 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ;
+; inst37 ; 3 ; 1 ; 0 ; 1 ; 2 ; 1 ; 1 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ;
+; inst68 ; 3 ; 1 ; 0 ; 1 ; 2 ; 1 ; 1 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ;
+; inst73 ; 3 ; 1 ; 0 ; 1 ; 2 ; 1 ; 1 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ;
+; inst78 ; 3 ; 1 ; 0 ; 1 ; 2 ; 1 ; 1 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ;
++-----------+-------+----------------+--------------+----------------+--------+-----------------+---------------+-----------------+-------+----------------+--------------+------------------+-------------------+
diff --git a/one_hertz_clock/db/one_hertz_clock.map.ammdb b/one_hertz_clock/db/one_hertz_clock.map.ammdb
new file mode 100644
index 0000000..8b8ff04
--- /dev/null
+++ b/one_hertz_clock/db/one_hertz_clock.map.ammdb
Binary files differ
diff --git a/one_hertz_clock/db/one_hertz_clock.map.bpm b/one_hertz_clock/db/one_hertz_clock.map.bpm
new file mode 100644
index 0000000..a0089db
--- /dev/null
+++ b/one_hertz_clock/db/one_hertz_clock.map.bpm
Binary files differ
diff --git a/one_hertz_clock/db/one_hertz_clock.map.cdb b/one_hertz_clock/db/one_hertz_clock.map.cdb
new file mode 100644
index 0000000..9a0d54b
--- /dev/null
+++ b/one_hertz_clock/db/one_hertz_clock.map.cdb
Binary files differ
diff --git a/one_hertz_clock/db/one_hertz_clock.map.hdb b/one_hertz_clock/db/one_hertz_clock.map.hdb
new file mode 100644
index 0000000..aa7c760
--- /dev/null
+++ b/one_hertz_clock/db/one_hertz_clock.map.hdb
Binary files differ
diff --git a/one_hertz_clock/db/one_hertz_clock.map.kpt b/one_hertz_clock/db/one_hertz_clock.map.kpt
new file mode 100644
index 0000000..eef70e9
--- /dev/null
+++ b/one_hertz_clock/db/one_hertz_clock.map.kpt
Binary files differ
diff --git a/one_hertz_clock/db/one_hertz_clock.map.logdb b/one_hertz_clock/db/one_hertz_clock.map.logdb
new file mode 100644
index 0000000..626799f
--- /dev/null
+++ b/one_hertz_clock/db/one_hertz_clock.map.logdb
@@ -0,0 +1 @@
+v1
diff --git a/one_hertz_clock/db/one_hertz_clock.map.qmsg b/one_hertz_clock/db/one_hertz_clock.map.qmsg
new file mode 100644
index 0000000..af6a07c
--- /dev/null
+++ b/one_hertz_clock/db/one_hertz_clock.map.qmsg
@@ -0,0 +1,14 @@
+{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1456243330079 ""}
+{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus II 64-Bit " "Running Quartus II 64-Bit Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Full Version " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Full Version" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1456243330080 ""} { "Info" "IQEXE_START_BANNER_TIME" "Tue Feb 23 16:02:09 2016 " "Processing started: Tue Feb 23 16:02:09 2016" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1456243330080 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1456243330080 ""}
+{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off one_hertz_clock -c one_hertz_clock " "Command: quartus_map --read_settings_files=on --write_settings_files=off one_hertz_clock -c one_hertz_clock" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1456243330080 ""}
+{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS_MORE_LOGICAL" "4 4 8 " "Parallel Compilation has detected 8 hyper-threaded processors. However, the extra hyper-threaded processors will not be used by default. Parallel Compilation will use 4 of the 4 physical processors detected instead." { } { } 0 11104 "Parallel Compilation has detected %3!i! hyper-threaded processors. However, the extra hyper-threaded processors will not be used by default. Parallel Compilation will use %1!i! of the %2!i! physical processors detected instead." 0 0 "Quartus II" 0 -1 1456243330319 ""}
+{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/adder/full_adder.bdf 1 1 " "Found 1 design units, including 1 entities, in source file /adder/full_adder.bdf" { { "Info" "ISGN_ENTITY_NAME" "1 full_adder " "Found entity 1: full_adder" { } { { "../adder/full_adder.bdf" "" { Schematic "C:/adder/full_adder.bdf" { } } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1456243330356 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1456243330356 ""}
+{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "one_hertz_clock.bdf 1 1 " "Found 1 design units, including 1 entities, in source file one_hertz_clock.bdf" { { "Info" "ISGN_ENTITY_NAME" "1 one_hertz_clock " "Found entity 1: one_hertz_clock" { } { { "one_hertz_clock.bdf" "" { Schematic "C:/one_hertz_clock/one_hertz_clock.bdf" { } } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1456243330357 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1456243330357 ""}
+{ "Info" "ISGN_START_ELABORATION_TOP" "one_hertz_clock " "Elaborating entity \"one_hertz_clock\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Quartus II" 0 -1 1456243330376 ""}
+{ "Warning" "WGDFX_NOT_ALL_BITS_USED" "N\[14..5\] " "Not all bits in bus \"N\[14..5\]\" are used" { } { { "one_hertz_clock.bdf" "" { Schematic "C:/one_hertz_clock/one_hertz_clock.bdf" { { 464 312 341 480 "N\[5\]" "" } { 480 312 336 496 "N\[6\]" "" } { 496 312 336 512 "N\[7\]" "" } { 472 496 521 488 "N\[9\]" "" } { 488 496 526 504 "N\[10\]" "" } { 504 496 526 520 "N\[11\]" "" } { 520 496 526 536 "N\[12\]" "" } { 552 496 523 568 "N\[14\]" "" } { 1288 968 997 1304 "N\[5\]" "" } { 1408 968 997 1424 "N\[6\]" "" } { 1528 968 997 1544 "N\[7\]" "" } { 1768 968 997 1784 "N\[9\]" "" } { 1888 968 1003 1904 "N\[10\]" "" } { 2008 968 1003 2024 "N\[11\]" "" } { 2128 968 1003 2144 "N\[12\]" "" } { 2368 968 1003 2384 "N\[14\]" "" } } } } } 0 275089 "Not all bits in bus \"%1!s!\" are used" 0 0 "Quartus II" 0 -1 1456243330384 ""}
+{ "Warning" "WGDFX_PROCESSING_BUS_NAME_WITH_MAXPLUS_II_NAMING" "N " "Converted elements in bus name \"N\" using legacy naming rules. Make any assignments on the new names, not on the original names." { { "Warning" "WGDFX_CONVERTING_BUS_NAME" "N\[5\] N5 " "Converted element name(s) from \"N\[5\]\" to \"N5\"" { } { { "one_hertz_clock.bdf" "" { Schematic "C:/one_hertz_clock/one_hertz_clock.bdf" { { 464 312 341 480 "N\[5\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1456243330384 ""} { "Warning" "WGDFX_CONVERTING_BUS_NAME" "N\[6\] N6 " "Converted element name(s) from \"N\[6\]\" to \"N6\"" { } { { "one_hertz_clock.bdf" "" { Schematic "C:/one_hertz_clock/one_hertz_clock.bdf" { { 480 312 336 496 "N\[6\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1456243330384 ""} { "Warning" "WGDFX_CONVERTING_BUS_NAME" "N\[7\] N7 " "Converted element name(s) from \"N\[7\]\" to \"N7\"" { } { { "one_hertz_clock.bdf" "" { Schematic "C:/one_hertz_clock/one_hertz_clock.bdf" { { 496 312 336 512 "N\[7\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1456243330384 ""} { "Warning" "WGDFX_CONVERTING_BUS_NAME" "N\[9\] N9 " "Converted element name(s) from \"N\[9\]\" to \"N9\"" { } { { "one_hertz_clock.bdf" "" { Schematic "C:/one_hertz_clock/one_hertz_clock.bdf" { { 472 496 521 488 "N\[9\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1456243330384 ""} { "Warning" "WGDFX_CONVERTING_BUS_NAME" "N\[10\] N10 " "Converted element name(s) from \"N\[10\]\" to \"N10\"" { } { { "one_hertz_clock.bdf" "" { Schematic "C:/one_hertz_clock/one_hertz_clock.bdf" { { 488 496 526 504 "N\[10\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1456243330384 ""} { "Warning" "WGDFX_CONVERTING_BUS_NAME" "N\[11\] N11 " "Converted element name(s) from \"N\[11\]\" to \"N11\"" { } { { "one_hertz_clock.bdf" "" { Schematic "C:/one_hertz_clock/one_hertz_clock.bdf" { { 504 496 526 520 "N\[11\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1456243330384 ""} { "Warning" "WGDFX_CONVERTING_BUS_NAME" "N\[12\] N12 " "Converted element name(s) from \"N\[12\]\" to \"N12\"" { } { { "one_hertz_clock.bdf" "" { Schematic "C:/one_hertz_clock/one_hertz_clock.bdf" { { 520 496 526 536 "N\[12\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1456243330384 ""} { "Warning" "WGDFX_CONVERTING_BUS_NAME" "N\[14\] N14 " "Converted element name(s) from \"N\[14\]\" to \"N14\"" { } { { "one_hertz_clock.bdf" "" { Schematic "C:/one_hertz_clock/one_hertz_clock.bdf" { { 552 496 523 568 "N\[14\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1456243330384 ""} { "Warning" "WGDFX_CONVERTING_BUS_NAME" "N\[5\] N5 " "Converted element name(s) from \"N\[5\]\" to \"N5\"" { } { { "one_hertz_clock.bdf" "" { Schematic "C:/one_hertz_clock/one_hertz_clock.bdf" { { 1288 968 997 1304 "N\[5\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1456243330384 ""} { "Warning" "WGDFX_CONVERTING_BUS_NAME" "N\[6\] N6 " "Converted element name(s) from \"N\[6\]\" to \"N6\"" { } { { "one_hertz_clock.bdf" "" { Schematic "C:/one_hertz_clock/one_hertz_clock.bdf" { { 1408 968 997 1424 "N\[6\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1456243330384 ""} { "Warning" "WGDFX_CONVERTING_BUS_NAME" "N\[7\] N7 " "Converted element name(s) from \"N\[7\]\" to \"N7\"" { } { { "one_hertz_clock.bdf" "" { Schematic "C:/one_hertz_clock/one_hertz_clock.bdf" { { 1528 968 997 1544 "N\[7\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1456243330384 ""} { "Warning" "WGDFX_CONVERTING_BUS_NAME" "N\[9\] N9 " "Converted element name(s) from \"N\[9\]\" to \"N9\"" { } { { "one_hertz_clock.bdf" "" { Schematic "C:/one_hertz_clock/one_hertz_clock.bdf" { { 1768 968 997 1784 "N\[9\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1456243330384 ""} { "Warning" "WGDFX_CONVERTING_BUS_NAME" "N\[10\] N10 " "Converted element name(s) from \"N\[10\]\" to \"N10\"" { } { { "one_hertz_clock.bdf" "" { Schematic "C:/one_hertz_clock/one_hertz_clock.bdf" { { 1888 968 1003 1904 "N\[10\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1456243330384 ""} { "Warning" "WGDFX_CONVERTING_BUS_NAME" "N\[11\] N11 " "Converted element name(s) from \"N\[11\]\" to \"N11\"" { } { { "one_hertz_clock.bdf" "" { Schematic "C:/one_hertz_clock/one_hertz_clock.bdf" { { 2008 968 1003 2024 "N\[11\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1456243330384 ""} { "Warning" "WGDFX_CONVERTING_BUS_NAME" "N\[12\] N12 " "Converted element name(s) from \"N\[12\]\" to \"N12\"" { } { { "one_hertz_clock.bdf" "" { Schematic "C:/one_hertz_clock/one_hertz_clock.bdf" { { 2128 968 1003 2144 "N\[12\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1456243330384 ""} { "Warning" "WGDFX_CONVERTING_BUS_NAME" "N\[14\] N14 " "Converted element name(s) from \"N\[14\]\" to \"N14\"" { } { { "one_hertz_clock.bdf" "" { Schematic "C:/one_hertz_clock/one_hertz_clock.bdf" { { 2368 968 1003 2384 "N\[14\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1456243330384 ""} } { { "one_hertz_clock.bdf" "" { Schematic "C:/one_hertz_clock/one_hertz_clock.bdf" { { 464 312 341 480 "N\[5\]" "" } { 480 312 336 496 "N\[6\]" "" } { 496 312 336 512 "N\[7\]" "" } { 472 496 521 488 "N\[9\]" "" } { 488 496 526 504 "N\[10\]" "" } { 504 496 526 520 "N\[11\]" "" } { 520 496 526 536 "N\[12\]" "" } { 552 496 523 568 "N\[14\]" "" } { 1288 968 997 1304 "N\[5\]" "" } { 1408 968 997 1424 "N\[6\]" "" } { 1528 968 997 1544 "N\[7\]" "" } { 1768 968 997 1784 "N\[9\]" "" } { 1888 968 1003 1904 "N\[10\]" "" } { 2008 968 1003 2024 "N\[11\]" "" } { 2128 968 1003 2144 "N\[12\]" "" } { 2368 968 1003 2384 "N\[14\]" "" } } } } } 0 275080 "Converted elements in bus name \"%1!s!\" using legacy naming rules. Make any assignments on the new names, not on the original names." 0 0 "Quartus II" 0 -1 1456243330384 ""}
+{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "full_adder full_adder:inst78 " "Elaborating entity \"full_adder\" for hierarchy \"full_adder:inst78\"" { } { { "one_hertz_clock.bdf" "inst78" { Schematic "C:/one_hertz_clock/one_hertz_clock.bdf" { { 2120 488 584 2216 "inst78" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1456243330391 ""}
+{ "Info" "ISUTIL_TIMING_DRIVEN_SYNTHESIS_RUNNING" "" "Timing-Driven Synthesis is running" { } { } 0 286030 "Timing-Driven Synthesis is running" 0 0 "Quartus II" 0 -1 1456243330809 ""}
+{ "Info" "IBPM_HARD_BLOCK_PARTITION_CREATED" "hard_block:auto_generated_inst " "Generating hard_block partition \"hard_block:auto_generated_inst\"" { { "Info" "IBPM_HARD_BLOCK_PARTITION_NODE" "0 0 0 0 0 " "Adding 0 node(s), including 0 DDIO, 0 PLL, 0 transceiver and 0 LCELL" { } { } 0 16011 "Adding %1!d! node(s), including %2!d! DDIO, %3!d! PLL, %4!d! transceiver and %5!d! LCELL" 0 0 "Quartus II" 0 -1 1456243330972 ""} } { } 0 16010 "Generating hard_block partition \"%1!s!\"" 0 0 "Quartus II" 0 -1 1456243330972 ""}
+{ "Info" "ICUT_CUT_TM_SUMMARY" "37 " "Implemented 37 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "1 " "Implemented 1 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Quartus II" 0 -1 1456243330994 ""} { "Info" "ICUT_CUT_TM_OPINS" "1 " "Implemented 1 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Quartus II" 0 -1 1456243330994 ""} { "Info" "ICUT_CUT_TM_LCELLS" "35 " "Implemented 35 logic cells" { } { } 0 21061 "Implemented %1!d! logic cells" 0 0 "Quartus II" 0 -1 1456243330994 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Quartus II" 0 -1 1456243330994 ""}
+{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 18 s Quartus II 64-Bit " "Quartus II 64-Bit Analysis & Synthesis was successful. 0 errors, 18 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "464 " "Peak virtual memory: 464 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1456243331005 ""} { "Info" "IQEXE_END_BANNER_TIME" "Tue Feb 23 16:02:11 2016 " "Processing ended: Tue Feb 23 16:02:11 2016" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1456243331005 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:02 " "Elapsed time: 00:00:02" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1456243331005 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1456243331005 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1456243331005 ""}
diff --git a/one_hertz_clock/db/one_hertz_clock.map.rdb b/one_hertz_clock/db/one_hertz_clock.map.rdb
new file mode 100644
index 0000000..636156a
--- /dev/null
+++ b/one_hertz_clock/db/one_hertz_clock.map.rdb
Binary files differ
diff --git a/one_hertz_clock/db/one_hertz_clock.map_bb.cdb b/one_hertz_clock/db/one_hertz_clock.map_bb.cdb
new file mode 100644
index 0000000..33749bd
--- /dev/null
+++ b/one_hertz_clock/db/one_hertz_clock.map_bb.cdb
Binary files differ
diff --git a/one_hertz_clock/db/one_hertz_clock.map_bb.hdb b/one_hertz_clock/db/one_hertz_clock.map_bb.hdb
new file mode 100644
index 0000000..82ddcc8
--- /dev/null
+++ b/one_hertz_clock/db/one_hertz_clock.map_bb.hdb
Binary files differ
diff --git a/one_hertz_clock/db/one_hertz_clock.map_bb.logdb b/one_hertz_clock/db/one_hertz_clock.map_bb.logdb
new file mode 100644
index 0000000..626799f
--- /dev/null
+++ b/one_hertz_clock/db/one_hertz_clock.map_bb.logdb
@@ -0,0 +1 @@
+v1
diff --git a/one_hertz_clock/db/one_hertz_clock.pre_map.hdb b/one_hertz_clock/db/one_hertz_clock.pre_map.hdb
new file mode 100644
index 0000000..ad7e2a1
--- /dev/null
+++ b/one_hertz_clock/db/one_hertz_clock.pre_map.hdb
Binary files differ
diff --git a/one_hertz_clock/db/one_hertz_clock.pti_db_list.ddb b/one_hertz_clock/db/one_hertz_clock.pti_db_list.ddb
new file mode 100644
index 0000000..4c5fa0d
--- /dev/null
+++ b/one_hertz_clock/db/one_hertz_clock.pti_db_list.ddb
Binary files differ
diff --git a/one_hertz_clock/db/one_hertz_clock.root_partition.map.reg_db.cdb b/one_hertz_clock/db/one_hertz_clock.root_partition.map.reg_db.cdb
new file mode 100644
index 0000000..140922b
--- /dev/null
+++ b/one_hertz_clock/db/one_hertz_clock.root_partition.map.reg_db.cdb
Binary files differ
diff --git a/one_hertz_clock/db/one_hertz_clock.routing.rdb b/one_hertz_clock/db/one_hertz_clock.routing.rdb
new file mode 100644
index 0000000..5ebf43f
--- /dev/null
+++ b/one_hertz_clock/db/one_hertz_clock.routing.rdb
Binary files differ
diff --git a/one_hertz_clock/db/one_hertz_clock.rtlv.hdb b/one_hertz_clock/db/one_hertz_clock.rtlv.hdb
new file mode 100644
index 0000000..5b75f98
--- /dev/null
+++ b/one_hertz_clock/db/one_hertz_clock.rtlv.hdb
Binary files differ
diff --git a/one_hertz_clock/db/one_hertz_clock.rtlv_sg.cdb b/one_hertz_clock/db/one_hertz_clock.rtlv_sg.cdb
new file mode 100644
index 0000000..f539613
--- /dev/null
+++ b/one_hertz_clock/db/one_hertz_clock.rtlv_sg.cdb
Binary files differ
diff --git a/one_hertz_clock/db/one_hertz_clock.rtlv_sg_swap.cdb b/one_hertz_clock/db/one_hertz_clock.rtlv_sg_swap.cdb
new file mode 100644
index 0000000..e344c01
--- /dev/null
+++ b/one_hertz_clock/db/one_hertz_clock.rtlv_sg_swap.cdb
Binary files differ
diff --git a/one_hertz_clock/db/one_hertz_clock.sgdiff.cdb b/one_hertz_clock/db/one_hertz_clock.sgdiff.cdb
new file mode 100644
index 0000000..de82f00
--- /dev/null
+++ b/one_hertz_clock/db/one_hertz_clock.sgdiff.cdb
Binary files differ
diff --git a/one_hertz_clock/db/one_hertz_clock.sgdiff.hdb b/one_hertz_clock/db/one_hertz_clock.sgdiff.hdb
new file mode 100644
index 0000000..43d3754
--- /dev/null
+++ b/one_hertz_clock/db/one_hertz_clock.sgdiff.hdb
Binary files differ
diff --git a/one_hertz_clock/db/one_hertz_clock.sld_design_entry.sci b/one_hertz_clock/db/one_hertz_clock.sld_design_entry.sci
new file mode 100644
index 0000000..91c4798
--- /dev/null
+++ b/one_hertz_clock/db/one_hertz_clock.sld_design_entry.sci
Binary files differ
diff --git a/one_hertz_clock/db/one_hertz_clock.sld_design_entry_dsc.sci b/one_hertz_clock/db/one_hertz_clock.sld_design_entry_dsc.sci
new file mode 100644
index 0000000..91c4798
--- /dev/null
+++ b/one_hertz_clock/db/one_hertz_clock.sld_design_entry_dsc.sci
Binary files differ
diff --git a/one_hertz_clock/db/one_hertz_clock.smart_action.txt b/one_hertz_clock/db/one_hertz_clock.smart_action.txt
new file mode 100644
index 0000000..c8e8a13
--- /dev/null
+++ b/one_hertz_clock/db/one_hertz_clock.smart_action.txt
@@ -0,0 +1 @@
+DONE
diff --git a/one_hertz_clock/db/one_hertz_clock.sta.qmsg b/one_hertz_clock/db/one_hertz_clock.sta.qmsg
new file mode 100644
index 0000000..34cca06
--- /dev/null
+++ b/one_hertz_clock/db/one_hertz_clock.sta.qmsg
@@ -0,0 +1,42 @@
+{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1456243339865 ""}
+{ "Info" "IQEXE_START_BANNER_PRODUCT" "TimeQuest Timing Analyzer Quartus II 64-Bit " "Running Quartus II 64-Bit TimeQuest Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Full Version " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Full Version" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1456243339865 ""} { "Info" "IQEXE_START_BANNER_TIME" "Tue Feb 23 16:02:19 2016 " "Processing started: Tue Feb 23 16:02:19 2016" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1456243339865 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1456243339865 ""}
+{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta one_hertz_clock -c one_hertz_clock " "Command: quartus_sta one_hertz_clock -c one_hertz_clock" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1456243339865 ""}
+{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Quartus II" 0 0 1456243339923 ""}
+{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS_MORE_LOGICAL" "4 4 8 " "Parallel Compilation has detected 8 hyper-threaded processors. However, the extra hyper-threaded processors will not be used by default. Parallel Compilation will use 4 of the 4 physical processors detected instead." { } { } 0 11104 "Parallel Compilation has detected %3!i! hyper-threaded processors. However, the extra hyper-threaded processors will not be used by default. Parallel Compilation will use %1!i! of the %2!i! physical processors detected instead." 0 0 "Quartus II" 0 -1 1456243340006 ""}
+{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Core supply voltage 1.2V " "Core supply voltage is 1.2V" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1456243340006 ""}
+{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1456243340048 ""}
+{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1456243340049 ""}
+{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "one_hertz_clock.sdc " "Synopsys Design Constraints File file not found: 'one_hertz_clock.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Quartus II" 0 -1 1456243340161 ""}
+{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Quartus II" 0 -1 1456243340161 ""}
+{ "Info" "ISTA_DERIVE_CLOCKS_INFO" "Deriving Clocks " "Deriving Clocks" { { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name CLK CLK " "create_clock -period 1.000 -name CLK CLK" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1456243340162 ""} } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1456243340162 ""}
+{ "Info" "ISTA_NO_CLOCK_UNCERTAINTY_FOUND_DERIVING" "\"derive_clock_uncertainty\" " "No user constrained clock uncertainty found in the design. Calling \"derive_clock_uncertainty\"" { } { } 0 332143 "No user constrained clock uncertainty found in the design. Calling %1!s!" 0 0 "Quartus II" 0 -1 1456243340260 ""}
+{ "Info" "ISTA_DERIVE_CLOCK_UNCERTAINTY_INFO" "Deriving Clock Uncertainty. Please refer to report_sdc in TimeQuest to see clock uncertainties. " "Deriving Clock Uncertainty. Please refer to report_sdc in TimeQuest to see clock uncertainties." { } { } 0 332123 "%1!s!" 0 0 "Quartus II" 0 -1 1456243340260 ""}
+{ "Info" "0" "" "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Quartus II" 0 0 1456243340261 ""}
+{ "Info" "0" "" "Analyzing Slow 1200mV 85C Model" { } { } 0 0 "Analyzing Slow 1200mV 85C Model" 0 0 "Quartus II" 0 0 1456243340267 ""}
+{ "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { { "Info" "ISTA_TIMING_NOT_MET_USE_ADA" "" "For recommendations on closing timing, run Report Timing Closure Recommendations in the TimeQuest Timing Analyzer." { } { } 0 11105 "For recommendations on closing timing, run Report Timing Closure Recommendations in the TimeQuest Timing Analyzer." 0 0 "Quartus II" 0 -1 1456243340273 ""} } { } 1 332148 "Timing requirements not met" 0 0 "Quartus II" 0 -1 1456243340273 ""}
+{ "Info" "ISTA_WORST_CASE_SLACK" "setup -1.948 " "Worst-case setup slack is -1.948" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456243340275 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456243340275 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -1.948 -30.172 CLK " " -1.948 -30.172 CLK " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456243340275 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1456243340275 ""}
+{ "Info" "ISTA_WORST_CASE_SLACK" "hold 0.344 " "Worst-case hold slack is 0.344" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456243340276 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456243340276 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 0.344 0.000 CLK " " 0.344 0.000 CLK " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456243340276 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1456243340276 ""}
+{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1456243340278 ""}
+{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1456243340279 ""}
+{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width -3.000 " "Worst-case minimum pulse width slack is -3.000" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456243340280 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456243340280 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -3.000 -22.000 CLK " " -3.000 -22.000 CLK " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456243340280 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1456243340280 ""}
+{ "Info" "0" "" "Analyzing Slow 1200mV 0C Model" { } { } 0 0 "Analyzing Slow 1200mV 0C Model" 0 0 "Quartus II" 0 0 1456243340297 ""}
+{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Quartus II" 0 -1 1456243340315 ""}
+{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Quartus II" 0 -1 1456243340603 ""}
+{ "Info" "ISTA_DERIVE_CLOCK_UNCERTAINTY_INFO" "Deriving Clock Uncertainty. Please refer to report_sdc in TimeQuest to see clock uncertainties. " "Deriving Clock Uncertainty. Please refer to report_sdc in TimeQuest to see clock uncertainties." { } { } 0 332123 "%1!s!" 0 0 "Quartus II" 0 -1 1456243340629 ""}
+{ "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { { "Info" "ISTA_TIMING_NOT_MET_USE_ADA" "" "For recommendations on closing timing, run Report Timing Closure Recommendations in the TimeQuest Timing Analyzer." { } { } 0 11105 "For recommendations on closing timing, run Report Timing Closure Recommendations in the TimeQuest Timing Analyzer." 0 0 "Quartus II" 0 -1 1456243340633 ""} } { } 1 332148 "Timing requirements not met" 0 0 "Quartus II" 0 -1 1456243340633 ""}
+{ "Info" "ISTA_WORST_CASE_SLACK" "setup -1.632 " "Worst-case setup slack is -1.632" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456243340635 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456243340635 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -1.632 -25.089 CLK " " -1.632 -25.089 CLK " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456243340635 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1456243340635 ""}
+{ "Info" "ISTA_WORST_CASE_SLACK" "hold 0.298 " "Worst-case hold slack is 0.298" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456243340637 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456243340637 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 0.298 0.000 CLK " " 0.298 0.000 CLK " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456243340637 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1456243340637 ""}
+{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1456243340639 ""}
+{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1456243340641 ""}
+{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width -3.000 " "Worst-case minimum pulse width slack is -3.000" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456243340643 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456243340643 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -3.000 -22.000 CLK " " -3.000 -22.000 CLK " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456243340643 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1456243340643 ""}
+{ "Info" "0" "" "Analyzing Fast 1200mV 0C Model" { } { } 0 0 "Analyzing Fast 1200mV 0C Model" 0 0 "Quartus II" 0 0 1456243340662 ""}
+{ "Info" "ISTA_DERIVE_CLOCK_UNCERTAINTY_INFO" "Deriving Clock Uncertainty. Please refer to report_sdc in TimeQuest to see clock uncertainties. " "Deriving Clock Uncertainty. Please refer to report_sdc in TimeQuest to see clock uncertainties." { } { } 0 332123 "%1!s!" 0 0 "Quartus II" 0 -1 1456243340713 ""}
+{ "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { { "Info" "ISTA_TIMING_NOT_MET_USE_ADA" "" "For recommendations on closing timing, run Report Timing Closure Recommendations in the TimeQuest Timing Analyzer." { } { } 0 11105 "For recommendations on closing timing, run Report Timing Closure Recommendations in the TimeQuest Timing Analyzer." 0 0 "Quartus II" 0 -1 1456243340714 ""} } { } 1 332148 "Timing requirements not met" 0 0 "Quartus II" 0 -1 1456243340714 ""}
+{ "Info" "ISTA_WORST_CASE_SLACK" "setup -0.652 " "Worst-case setup slack is -0.652" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456243340717 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456243340717 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -0.652 -8.911 CLK " " -0.652 -8.911 CLK " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456243340717 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1456243340717 ""}
+{ "Info" "ISTA_WORST_CASE_SLACK" "hold 0.180 " "Worst-case hold slack is 0.180" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456243340719 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456243340719 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 0.180 0.000 CLK " " 0.180 0.000 CLK " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456243340719 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1456243340719 ""}
+{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1456243340722 ""}
+{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1456243340725 ""}
+{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width -3.000 " "Worst-case minimum pulse width slack is -3.000" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456243340727 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456243340727 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -3.000 -23.093 CLK " " -3.000 -23.093 CLK " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456243340727 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1456243340727 ""}
+{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1456243340832 ""}
+{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1456243340832 ""}
+{ "Info" "IQEXE_ERROR_COUNT" "TimeQuest Timing Analyzer 0 s 4 s Quartus II 64-Bit " "Quartus II 64-Bit TimeQuest Timing Analyzer was successful. 0 errors, 4 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "485 " "Peak virtual memory: 485 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1456243340868 ""} { "Info" "IQEXE_END_BANNER_TIME" "Tue Feb 23 16:02:20 2016 " "Processing ended: Tue Feb 23 16:02:20 2016" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1456243340868 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1456243340868 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1456243340868 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1456243340868 ""}
diff --git a/one_hertz_clock/db/one_hertz_clock.sta.rdb b/one_hertz_clock/db/one_hertz_clock.sta.rdb
new file mode 100644
index 0000000..1816c90
--- /dev/null
+++ b/one_hertz_clock/db/one_hertz_clock.sta.rdb
Binary files differ
diff --git a/one_hertz_clock/db/one_hertz_clock.sta_cmp.6_slow_1200mv_85c.tdb b/one_hertz_clock/db/one_hertz_clock.sta_cmp.6_slow_1200mv_85c.tdb
new file mode 100644
index 0000000..b723eae
--- /dev/null
+++ b/one_hertz_clock/db/one_hertz_clock.sta_cmp.6_slow_1200mv_85c.tdb
Binary files differ
diff --git a/one_hertz_clock/db/one_hertz_clock.syn_hier_info b/one_hertz_clock/db/one_hertz_clock.syn_hier_info
new file mode 100644
index 0000000..e69de29
--- /dev/null
+++ b/one_hertz_clock/db/one_hertz_clock.syn_hier_info
diff --git a/one_hertz_clock/db/one_hertz_clock.tis_db_list.ddb b/one_hertz_clock/db/one_hertz_clock.tis_db_list.ddb
new file mode 100644
index 0000000..ba46866
--- /dev/null
+++ b/one_hertz_clock/db/one_hertz_clock.tis_db_list.ddb
Binary files differ
diff --git a/one_hertz_clock/db/one_hertz_clock.tiscmp.fast_1200mv_0c.ddb b/one_hertz_clock/db/one_hertz_clock.tiscmp.fast_1200mv_0c.ddb
new file mode 100644
index 0000000..28109ad
--- /dev/null
+++ b/one_hertz_clock/db/one_hertz_clock.tiscmp.fast_1200mv_0c.ddb
Binary files differ
diff --git a/one_hertz_clock/db/one_hertz_clock.tiscmp.slow_1200mv_0c.ddb b/one_hertz_clock/db/one_hertz_clock.tiscmp.slow_1200mv_0c.ddb
new file mode 100644
index 0000000..c208981
--- /dev/null
+++ b/one_hertz_clock/db/one_hertz_clock.tiscmp.slow_1200mv_0c.ddb
Binary files differ
diff --git a/one_hertz_clock/db/one_hertz_clock.tiscmp.slow_1200mv_85c.ddb b/one_hertz_clock/db/one_hertz_clock.tiscmp.slow_1200mv_85c.ddb
new file mode 100644
index 0000000..1172d3c
--- /dev/null
+++ b/one_hertz_clock/db/one_hertz_clock.tiscmp.slow_1200mv_85c.ddb
Binary files differ
diff --git a/one_hertz_clock/db/one_hertz_clock.tmw_info b/one_hertz_clock/db/one_hertz_clock.tmw_info
new file mode 100644
index 0000000..ca0e6d2
--- /dev/null
+++ b/one_hertz_clock/db/one_hertz_clock.tmw_info
@@ -0,0 +1,6 @@
+start_full_compilation:s:00:00:12
+start_analysis_synthesis:s:00:00:02-start_full_compilation
+start_analysis_elaboration:s-start_full_compilation
+start_fitter:s:00:00:06-start_full_compilation
+start_assembler:s:00:00:02-start_full_compilation
+start_timing_analyzer:s:00:00:02-start_full_compilation
diff --git a/one_hertz_clock/db/one_hertz_clock.vpr.ammdb b/one_hertz_clock/db/one_hertz_clock.vpr.ammdb
new file mode 100644
index 0000000..f721fcc
--- /dev/null
+++ b/one_hertz_clock/db/one_hertz_clock.vpr.ammdb
Binary files differ
diff --git a/one_hertz_clock/db/prev_cmp_one_hertz_clock.qmsg b/one_hertz_clock/db/prev_cmp_one_hertz_clock.qmsg
new file mode 100644
index 0000000..c0b690d
--- /dev/null
+++ b/one_hertz_clock/db/prev_cmp_one_hertz_clock.qmsg
@@ -0,0 +1,125 @@
+{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1456243190033 ""}
+{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus II 64-Bit " "Running Quartus II 64-Bit Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Full Version " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Full Version" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1456243190033 ""} { "Info" "IQEXE_START_BANNER_TIME" "Tue Feb 23 15:59:49 2016 " "Processing started: Tue Feb 23 15:59:49 2016" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1456243190033 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1456243190033 ""}
+{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off one_hertz_clock -c one_hertz_clock " "Command: quartus_map --read_settings_files=on --write_settings_files=off one_hertz_clock -c one_hertz_clock" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1456243190033 ""}
+{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS_MORE_LOGICAL" "4 4 8 " "Parallel Compilation has detected 8 hyper-threaded processors. However, the extra hyper-threaded processors will not be used by default. Parallel Compilation will use 4 of the 4 physical processors detected instead." { } { } 0 11104 "Parallel Compilation has detected %3!i! hyper-threaded processors. However, the extra hyper-threaded processors will not be used by default. Parallel Compilation will use %1!i! of the %2!i! physical processors detected instead." 0 0 "Quartus II" 0 -1 1456243190240 ""}
+{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/adder/full_adder.bdf 1 1 " "Found 1 design units, including 1 entities, in source file /adder/full_adder.bdf" { { "Info" "ISGN_ENTITY_NAME" "1 full_adder " "Found entity 1: full_adder" { } { { "../adder/full_adder.bdf" "" { Schematic "C:/adder/full_adder.bdf" { } } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1456243190281 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1456243190281 ""}
+{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "one_hertz_clock.bdf 1 1 " "Found 1 design units, including 1 entities, in source file one_hertz_clock.bdf" { { "Info" "ISGN_ENTITY_NAME" "1 one_hertz_clock " "Found entity 1: one_hertz_clock" { } { { "one_hertz_clock.bdf" "" { Schematic "C:/one_hertz_clock/one_hertz_clock.bdf" { } } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1456243190282 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1456243190282 ""}
+{ "Info" "ISGN_START_ELABORATION_TOP" "one_hertz_clock " "Elaborating entity \"one_hertz_clock\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Quartus II" 0 -1 1456243190299 ""}
+{ "Warning" "WGDFX_PRIMITIVE_IGNORED" "NOT inst112 " "Primitive \"NOT\" of instance \"inst112\" not used" { } { { "one_hertz_clock.bdf" "" { Schematic "C:/one_hertz_clock/one_hertz_clock.bdf" { { 2848 920 968 2880 "inst112" "" } } } } } 0 275008 "Primitive \"%1!s!\" of instance \"%2!s!\" not used" 0 0 "Quartus II" 0 -1 1456243190315 ""}
+{ "Warning" "WGDFX_PRIMITIVE_IGNORED" "NOT inst113 " "Primitive \"NOT\" of instance \"inst113\" not used" { } { { "one_hertz_clock.bdf" "" { Schematic "C:/one_hertz_clock/one_hertz_clock.bdf" { { 2728 920 968 2760 "inst113" "" } } } } } 0 275008 "Primitive \"%1!s!\" of instance \"%2!s!\" not used" 0 0 "Quartus II" 0 -1 1456243190315 ""}
+{ "Warning" "WGDFX_PRIMITIVE_IGNORED" "NOT inst114 " "Primitive \"NOT\" of instance \"inst114\" not used" { } { { "one_hertz_clock.bdf" "" { Schematic "C:/one_hertz_clock/one_hertz_clock.bdf" { { 2608 920 968 2640 "inst114" "" } } } } } 0 275008 "Primitive \"%1!s!\" of instance \"%2!s!\" not used" 0 0 "Quartus II" 0 -1 1456243190315 ""}
+{ "Warning" "WGDFX_PRIMITIVE_IGNORED" "NOT inst115 " "Primitive \"NOT\" of instance \"inst115\" not used" { } { { "one_hertz_clock.bdf" "" { Schematic "C:/one_hertz_clock/one_hertz_clock.bdf" { { 2488 920 968 2520 "inst115" "" } } } } } 0 275008 "Primitive \"%1!s!\" of instance \"%2!s!\" not used" 0 0 "Quartus II" 0 -1 1456243190315 ""}
+{ "Warning" "WGDFX_PRIMITIVE_IGNORED" "NOT inst117 " "Primitive \"NOT\" of instance \"inst117\" not used" { } { { "one_hertz_clock.bdf" "" { Schematic "C:/one_hertz_clock/one_hertz_clock.bdf" { { 2248 920 968 2280 "inst117" "" } } } } } 0 275008 "Primitive \"%1!s!\" of instance \"%2!s!\" not used" 0 0 "Quartus II" 0 -1 1456243190315 ""}
+{ "Warning" "WGDFX_PRIMITIVE_IGNORED" "NOT inst123 " "Primitive \"NOT\" of instance \"inst123\" not used" { } { { "one_hertz_clock.bdf" "" { Schematic "C:/one_hertz_clock/one_hertz_clock.bdf" { { 1168 920 968 1200 "inst123" "" } } } } } 0 275008 "Primitive \"%1!s!\" of instance \"%2!s!\" not used" 0 0 "Quartus II" 0 -1 1456243190315 ""}
+{ "Warning" "WGDFX_PRIMITIVE_IGNORED" "NOT inst124 " "Primitive \"NOT\" of instance \"inst124\" not used" { } { { "one_hertz_clock.bdf" "" { Schematic "C:/one_hertz_clock/one_hertz_clock.bdf" { { 1048 920 968 1080 "inst124" "" } } } } } 0 275008 "Primitive \"%1!s!\" of instance \"%2!s!\" not used" 0 0 "Quartus II" 0 -1 1456243190315 ""}
+{ "Warning" "WGDFX_PRIMITIVE_IGNORED" "NOT inst125 " "Primitive \"NOT\" of instance \"inst125\" not used" { } { { "one_hertz_clock.bdf" "" { Schematic "C:/one_hertz_clock/one_hertz_clock.bdf" { { 928 920 968 960 "inst125" "" } } } } } 0 275008 "Primitive \"%1!s!\" of instance \"%2!s!\" not used" 0 0 "Quartus II" 0 -1 1456243190315 ""}
+{ "Warning" "WGDFX_PRIMITIVE_IGNORED" "NOT inst126 " "Primitive \"NOT\" of instance \"inst126\" not used" { } { { "one_hertz_clock.bdf" "" { Schematic "C:/one_hertz_clock/one_hertz_clock.bdf" { { 808 920 968 840 "inst126" "" } } } } } 0 275008 "Primitive \"%1!s!\" of instance \"%2!s!\" not used" 0 0 "Quartus II" 0 -1 1456243190315 ""}
+{ "Warning" "WGDFX_PRIMITIVE_IGNORED" "NOT inst127 " "Primitive \"NOT\" of instance \"inst127\" not used" { } { { "one_hertz_clock.bdf" "" { Schematic "C:/one_hertz_clock/one_hertz_clock.bdf" { { 688 920 968 720 "inst127" "" } } } } } 0 275008 "Primitive \"%1!s!\" of instance \"%2!s!\" not used" 0 0 "Quartus II" 0 -1 1456243190315 ""}
+{ "Warning" "WGDFX_PRIMITIVE_IGNORED" "NOT inst130 " "Primitive \"NOT\" of instance \"inst130\" not used" { } { { "one_hertz_clock.bdf" "" { Schematic "C:/one_hertz_clock/one_hertz_clock.bdf" { { 1648 920 968 1680 "inst130" "" } } } } } 0 275008 "Primitive \"%1!s!\" of instance \"%2!s!\" not used" 0 0 "Quartus II" 0 -1 1456243190316 ""}
+{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "full_adder full_adder:inst78 " "Elaborating entity \"full_adder\" for hierarchy \"full_adder:inst78\"" { } { { "one_hertz_clock.bdf" "inst78" { Schematic "C:/one_hertz_clock/one_hertz_clock.bdf" { { 2120 488 584 2216 "inst78" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1456243190320 ""}
+{ "Info" "ISUTIL_TIMING_DRIVEN_SYNTHESIS_RUNNING" "" "Timing-Driven Synthesis is running" { } { } 0 286030 "Timing-Driven Synthesis is running" 0 0 "Quartus II" 0 -1 1456243190806 ""}
+{ "Info" "IBPM_HARD_BLOCK_PARTITION_CREATED" "hard_block:auto_generated_inst " "Generating hard_block partition \"hard_block:auto_generated_inst\"" { { "Info" "IBPM_HARD_BLOCK_PARTITION_NODE" "0 0 0 0 0 " "Adding 0 node(s), including 0 DDIO, 0 PLL, 0 transceiver and 0 LCELL" { } { } 0 16011 "Adding %1!d! node(s), including %2!d! DDIO, %3!d! PLL, %4!d! transceiver and %5!d! LCELL" 0 0 "Quartus II" 0 -1 1456243190983 ""} } { } 0 16010 "Generating hard_block partition \"%1!s!\"" 0 0 "Quartus II" 0 -1 1456243190983 ""}
+{ "Info" "ICUT_CUT_TM_SUMMARY" "37 " "Implemented 37 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "1 " "Implemented 1 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Quartus II" 0 -1 1456243191004 ""} { "Info" "ICUT_CUT_TM_OPINS" "1 " "Implemented 1 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Quartus II" 0 -1 1456243191004 ""} { "Info" "ICUT_CUT_TM_LCELLS" "35 " "Implemented 35 logic cells" { } { } 0 21061 "Implemented %1!d! logic cells" 0 0 "Quartus II" 0 -1 1456243191004 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Quartus II" 0 -1 1456243191004 ""}
+{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 11 s Quartus II 64-Bit " "Quartus II 64-Bit Analysis & Synthesis was successful. 0 errors, 11 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "464 " "Peak virtual memory: 464 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1456243191015 ""} { "Info" "IQEXE_END_BANNER_TIME" "Tue Feb 23 15:59:51 2016 " "Processing ended: Tue Feb 23 15:59:51 2016" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1456243191015 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:02 " "Elapsed time: 00:00:02" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1456243191015 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1456243191015 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1456243191015 ""}
+{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1456243192189 ""}
+{ "Info" "IQEXE_START_BANNER_PRODUCT" "Fitter Quartus II 64-Bit " "Running Quartus II 64-Bit Fitter" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Full Version " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Full Version" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1456243192189 ""} { "Info" "IQEXE_START_BANNER_TIME" "Tue Feb 23 15:59:51 2016 " "Processing started: Tue Feb 23 15:59:51 2016" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1456243192189 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Fitter" 0 -1 1456243192189 ""}
+{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_fit --read_settings_files=off --write_settings_files=off one_hertz_clock -c one_hertz_clock " "Command: quartus_fit --read_settings_files=off --write_settings_files=off one_hertz_clock -c one_hertz_clock" { } { } 0 0 "Command: %1!s!" 0 0 "Fitter" 0 -1 1456243192189 ""}
+{ "Info" "0" "" "qfit2_default_script.tcl version: #1" { } { } 0 0 "qfit2_default_script.tcl version: #1" 0 0 "Fitter" 0 0 1456243192255 ""}
+{ "Info" "0" "" "Project = one_hertz_clock" { } { } 0 0 "Project = one_hertz_clock" 0 0 "Fitter" 0 0 1456243192256 ""}
+{ "Info" "0" "" "Revision = one_hertz_clock" { } { } 0 0 "Revision = one_hertz_clock" 0 0 "Fitter" 0 0 1456243192256 ""}
+{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS_MORE_LOGICAL" "4 4 8 " "Parallel Compilation has detected 8 hyper-threaded processors. However, the extra hyper-threaded processors will not be used by default. Parallel Compilation will use 4 of the 4 physical processors detected instead." { } { } 0 11104 "Parallel Compilation has detected %3!i! hyper-threaded processors. However, the extra hyper-threaded processors will not be used by default. Parallel Compilation will use %1!i! of the %2!i! physical processors detected instead." 0 0 "Fitter" 0 -1 1456243192293 ""}
+{ "Info" "IMPP_MPP_USER_DEVICE" "one_hertz_clock EP3C16U484C6 " "Selected device EP3C16U484C6 for design \"one_hertz_clock\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1456243192527 ""}
+{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Core supply voltage 1.2V " "Core supply voltage is 1.2V" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1456243192594 ""}
+{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1456243192595 ""}
+{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1456243192595 ""}
+{ "Info" "IFITCC_FITCC_INFO_AUTO_FIT_COMPILATION_ON" "" "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" { } { } 0 171003 "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" 0 0 "Fitter" 0 -1 1456243192656 ""}
+{ "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED" "" "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" { { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP3C40U484C6 " "Device EP3C40U484C6 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1456243192832 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP3C55U484C6 " "Device EP3C55U484C6 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1456243192832 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP3C80U484C6 " "Device EP3C80U484C6 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1456243192832 ""} } { } 2 176444 "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" 0 0 "Fitter" 0 -1 1456243192832 ""}
+{ "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION" "5 " "Fitter converted 5 user pins into dedicated programming pins" { { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_ASDO_DATA1~ D1 " "Pin ~ALTERA_ASDO_DATA1~ is reserved at location D1" { } { { "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" { ~ALTERA_ASDO_DATA1~ } } } { "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" "" { ~ALTERA_ASDO_DATA1~ } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/one_hertz_clock/" { { 0 { 0 ""} 0 84 9224 9983 0} } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Quartus II" 0 -1 1456243192833 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_FLASH_nCE_nCSO~ E2 " "Pin ~ALTERA_FLASH_nCE_nCSO~ is reserved at location E2" { } { { "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" { ~ALTERA_FLASH_nCE_nCSO~ } } } { "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" "" { ~ALTERA_FLASH_nCE_nCSO~ } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/one_hertz_clock/" { { 0 { 0 ""} 0 86 9224 9983 0} } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Quartus II" 0 -1 1456243192833 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_DCLK~ K2 " "Pin ~ALTERA_DCLK~ is reserved at location K2" { } { { "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" { ~ALTERA_DCLK~ } } } { "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" "" { ~ALTERA_DCLK~ } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/one_hertz_clock/" { { 0 { 0 ""} 0 88 9224 9983 0} } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Quartus II" 0 -1 1456243192833 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_DATA0~ K1 " "Pin ~ALTERA_DATA0~ is reserved at location K1" { } { { "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" { ~ALTERA_DATA0~ } } } { "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" "" { ~ALTERA_DATA0~ } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/one_hertz_clock/" { { 0 { 0 ""} 0 90 9224 9983 0} } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Quartus II" 0 -1 1456243192833 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_nCEO~ K22 " "Pin ~ALTERA_nCEO~ is reserved at location K22" { } { { "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" { ~ALTERA_nCEO~ } } } { "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" "" { ~ALTERA_nCEO~ } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/one_hertz_clock/" { { 0 { 0 ""} 0 92 9224 9983 0} } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Quartus II" 0 -1 1456243192833 ""} } { } 0 169124 "Fitter converted %1!d! user pins into dedicated programming pins" 0 0 "Fitter" 0 -1 1456243192833 ""}
+{ "Warning" "WCUT_CUT_ATOM_PINS_WITH_INCOMPLETE_IO_ASSIGNMENTS" "" "Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details" { } { } 0 15714 "Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details" 0 0 "Fitter" 0 -1 1456243192834 ""}
+{ "Critical Warning" "WFIOMGR_PINS_MISSING_LOCATION_INFO" "2 2 " "No exact pin location assignment(s) for 2 pins of 2 total pins" { { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "1_Hz " "Pin 1_Hz not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" { 1_Hz } } } { "one_hertz_clock.bdf" "" { Schematic "C:/one_hertz_clock/one_hertz_clock.bdf" { { 536 744 920 552 "1_Hz" "" } } } } { "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" "" { 1_Hz } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/one_hertz_clock/" { { 0 { 0 ""} 0 22 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1456243193487 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "CLK " "Pin CLK not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" { CLK } } } { "one_hertz_clock.bdf" "" { Schematic "C:/one_hertz_clock/one_hertz_clock.bdf" { { 2928 600 768 2944 "CLK" "" } } } } { "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" "" { CLK } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/one_hertz_clock/" { { 0 { 0 ""} 0 23 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1456243193487 ""} } { } 1 169085 "No exact pin location assignment(s) for %1!d! pins of %2!d! total pins" 0 0 "Fitter" 0 -1 1456243193487 ""}
+{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "one_hertz_clock.sdc " "Synopsys Design Constraints File file not found: 'one_hertz_clock.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Fitter" 0 -1 1456243193573 ""}
+{ "Info" "ISTA_NO_CLOCK_FOUND_NO_DERIVING_MSG" "base clocks " "No user constrained base clocks found in the design" { } { } 0 332144 "No user constrained %1!s! found in the design" 0 0 "Fitter" 0 -1 1456243193573 ""}
+{ "Info" "ISTA_NO_CLOCK_UNCERTAINTY_FOUND_DERIVING" "\"derive_clock_uncertainty\" " "No user constrained clock uncertainty found in the design. Calling \"derive_clock_uncertainty\"" { } { } 0 332143 "No user constrained clock uncertainty found in the design. Calling %1!s!" 0 0 "Fitter" 0 -1 1456243193574 ""}
+{ "Info" "ISTA_NO_UNCERTAINTY_FOUND" "" "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." { } { } 0 332154 "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." 0 0 "Fitter" 0 -1 1456243193574 ""}
+{ "Info" "ISTA_TDC_NO_DEFAULT_OPTIMIZATION_GOALS" "" "Timing requirements not specified -- quality metrics such as performance may be sacrificed to reduce compilation time." { } { } 0 332130 "Timing requirements not specified -- quality metrics such as performance may be sacrificed to reduce compilation time." 0 0 "Fitter" 0 -1 1456243193574 ""}
+{ "Info" "IFSAC_FSAC_ASSIGN_AUTO_GLOBAL_TO_SIGNAL" "CLK~input (placed in PIN G2 (CLK0, DIFFCLK_0p)) " "Automatically promoted node CLK~input (placed in PIN G2 (CLK0, DIFFCLK_0p))" { { "Info" "IFSAC_FSAC_ASSIGN_AUTO_GLOBAL_TO_SIGNAL_FANOUTS" "destinations Global Clock CLKCTRL_G4 " "Automatically promoted destinations to use location or clock signal Global Clock CLKCTRL_G4" { } { } 0 176355 "Automatically promoted %1!s! to use location or clock signal %2!s!" 0 0 "Quartus II" 0 -1 1456243193587 ""} } { { "one_hertz_clock.bdf" "" { Schematic "C:/one_hertz_clock/one_hertz_clock.bdf" { { 2928 600 768 2944 "CLK" "" } } } } { "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" "" { CLK~input } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/one_hertz_clock/" { { 0 { 0 ""} 0 79 9224 9983 0} } } } } 0 176353 "Automatically promoted node %1!s! %2!s!" 0 0 "Fitter" 0 -1 1456243193587 ""}
+{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_REGPACKING_INFO" "" "Starting register packing" { } { } 0 176233 "Starting register packing" 0 0 "Fitter" 0 -1 1456243193685 ""}
+{ "Extra Info" "IFSAC_FSAC_START_REG_LOCATION_PROCESSING" "" "Performing register packing on registers with non-logic cell location assignments" { } { } 1 176273 "Performing register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1456243193686 ""}
+{ "Extra Info" "IFSAC_FSAC_FINISH_REG_LOCATION_PROCESSING" "" "Completed register packing on registers with non-logic cell location assignments" { } { } 1 176274 "Completed register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1456243193686 ""}
+{ "Extra Info" "IFSAC_FSAC_REGISTER_PACKING_BEGIN_FAST_REGISTER_INFO" "" "Started Fast Input/Output/OE register processing" { } { } 1 176236 "Started Fast Input/Output/OE register processing" 1 0 "Fitter" 0 -1 1456243193686 ""}
+{ "Extra Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_FAST_REGISTER_INFO" "" "Finished Fast Input/Output/OE register processing" { } { } 1 176237 "Finished Fast Input/Output/OE register processing" 1 0 "Fitter" 0 -1 1456243193686 ""}
+{ "Extra Info" "IFSAC_FSAC_START_MAC_SCAN_CHAIN_INFERENCING" "" "Start inferring scan chains for DSP blocks" { } { } 1 176238 "Start inferring scan chains for DSP blocks" 1 0 "Fitter" 0 -1 1456243193687 ""}
+{ "Extra Info" "IFSAC_FSAC_FINISH_MAC_SCAN_CHAIN_INFERENCING" "" "Inferring scan chains for DSP blocks is complete" { } { } 1 176239 "Inferring scan chains for DSP blocks is complete" 1 0 "Fitter" 0 -1 1456243193687 ""}
+{ "Extra Info" "IFSAC_FSAC_START_IO_MULT_RAM_PACKING" "" "Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density" { } { } 1 176248 "Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density" 1 0 "Fitter" 0 -1 1456243193687 ""}
+{ "Extra Info" "IFSAC_FSAC_FINISH_IO_MULT_RAM_PACKING" "" "Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks" { } { } 1 176249 "Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks" 1 0 "Fitter" 0 -1 1456243193687 ""}
+{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Finished register packing" { { "Extra Info" "IFSAC_NO_REGISTERS_WERE_PACKED" "" "No registers were packed into other blocks" { } { } 1 176219 "No registers were packed into other blocks" 0 0 "Quartus II" 0 -1 1456243193687 ""} } { } 0 176235 "Finished register packing" 0 0 "Fitter" 0 -1 1456243193687 ""}
+{ "Info" "IFSAC_FSAC_IO_BANK_PIN_GROUP_STATISTICS" "I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement " "Statistics of I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement" { { "Info" "IFSAC_FSAC_SINGLE_IOC_GROUP_STATISTICS" "1 unused 2.5V 0 1 0 " "Number of I/O pins in group: 1 (unused VREF, 2.5V VCCIO, 0 input, 1 output, 0 bidirectional)" { { "Info" "IFSAC_FSAC_IO_STDS_IN_IOC_GROUP" "2.5 V. " "I/O standards used: 2.5 V." { } { } 0 176212 "I/O standards used: %1!s!" 0 0 "Quartus II" 0 -1 1456243193688 ""} } { } 0 176211 "Number of I/O pins in group: %1!d! (%2!s! VREF, %3!s! VCCIO, %4!d! input, %5!d! output, %6!d! bidirectional)" 0 0 "Quartus II" 0 -1 1456243193688 ""} } { } 0 176214 "Statistics of %1!s!" 0 0 "Fitter" 0 -1 1456243193688 ""}
+{ "Info" "IFSAC_FSAC_IO_STATS_BEFORE_AFTER_PLACEMENT" "before " "I/O bank details before I/O pin placement" { { "Info" "IFSAC_FSAC_IO_BANK_PIN_GROUP_STATISTICS" "I/O banks " "Statistics of I/O banks" { { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "1 does not use undetermined 5 28 " "I/O bank number 1 does not use VREF pins and has undetermined VCCIO pins. 5 total pin(s) used -- 28 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Quartus II" 0 -1 1456243193689 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "2 does not use undetermined 0 48 " "I/O bank number 2 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 48 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Quartus II" 0 -1 1456243193689 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "3 does not use undetermined 0 46 " "I/O bank number 3 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 46 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Quartus II" 0 -1 1456243193689 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "4 does not use undetermined 0 41 " "I/O bank number 4 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 41 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Quartus II" 0 -1 1456243193689 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "5 does not use undetermined 0 46 " "I/O bank number 5 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 46 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Quartus II" 0 -1 1456243193689 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "6 does not use undetermined 1 42 " "I/O bank number 6 does not use VREF pins and has undetermined VCCIO pins. 1 total pin(s) used -- 42 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Quartus II" 0 -1 1456243193689 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "7 does not use undetermined 0 47 " "I/O bank number 7 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 47 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Quartus II" 0 -1 1456243193689 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "8 does not use undetermined 0 43 " "I/O bank number 8 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 43 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Quartus II" 0 -1 1456243193689 ""} } { } 0 176214 "Statistics of %1!s!" 0 0 "Quartus II" 0 -1 1456243193689 ""} } { } 0 176215 "I/O bank details %1!s! I/O pin placement" 0 0 "Fitter" 0 -1 1456243193689 ""}
+{ "Info" "IFITCC_FITTER_PREPARATION_END" "00:00:01 " "Fitter preparation operations ending: elapsed time is 00:00:01" { } { } 0 171121 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1456243193695 ""}
+{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Fitter placement preparation operations beginning" { } { } 0 170189 "Fitter placement preparation operations beginning" 0 0 "Fitter" 0 -1 1456243194084 ""}
+{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:00 " "Fitter placement preparation operations ending: elapsed time is 00:00:00" { } { } 0 170190 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1456243194142 ""}
+{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_START" "" "Fitter placement operations beginning" { } { } 0 170191 "Fitter placement operations beginning" 0 0 "Fitter" 0 -1 1456243194149 ""}
+{ "Info" "IFITAPI_FITAPI_INFO_VPR_PLACEMENT_FINISH" "" "Fitter placement was successful" { } { } 0 170137 "Fitter placement was successful" 0 0 "Fitter" 0 -1 1456243194393 ""}
+{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_END" "00:00:00 " "Fitter placement operations ending: elapsed time is 00:00:00" { } { } 0 170192 "Fitter placement operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1456243194393 ""}
+{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_START" "" "Fitter routing operations beginning" { } { } 0 170193 "Fitter routing operations beginning" 0 0 "Fitter" 0 -1 1456243194527 ""}
+{ "Info" "IFITAPI_FITAPI_VPR_PERCENT_ROUTING_RESOURCE_USAGE" "0 " "Router estimated average interconnect usage is 0% of the available device resources" { { "Info" "IFITAPI_FITAPI_VPR_PEAK_ROUTING_REGION" "0 X31_Y10 X41_Y19 " "Router estimated peak interconnect usage is 0% of the available device resources in the region that extends from location X31_Y10 to location X41_Y19" { } { { "loc" "" { Generic "C:/one_hertz_clock/" { { 1 { 0 "Router estimated peak interconnect usage is 0% of the available device resources in the region that extends from location X31_Y10 to location X41_Y19"} { { 11 { 0 "Router estimated peak interconnect usage is 0% of the available device resources in the region that extends from location X31_Y10 to location X41_Y19"} 31 10 11 10 } } } } } } } 0 170196 "Router estimated peak interconnect usage is %1!d!%% of the available device resources in the region that extends from location %2!s! to location %3!s!" 0 0 "Quartus II" 0 -1 1456243194857 ""} } { } 0 170195 "Router estimated average interconnect usage is %1!d!%% of the available device resources" 0 0 "Fitter" 0 -1 1456243194857 ""}
+{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_END" "00:00:01 " "Fitter routing operations ending: elapsed time is 00:00:01" { } { } 0 170194 "Fitter routing operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1456243195358 ""}
+{ "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED" "" "The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." { { "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED_FOR_ROUTABILITY" "" "Optimizations that may affect the design's routability were skipped" { } { } 0 170201 "Optimizations that may affect the design's routability were skipped" 0 0 "Quartus II" 0 -1 1456243195360 ""} } { } 0 170199 "The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." 0 0 "Fitter" 0 -1 1456243195360 ""}
+{ "Info" "IVPR20K_VPR_TIMING_ANALYSIS_TIME" "0.17 " "Total time spent on timing analysis during the Fitter is 0.17 seconds." { } { } 0 11888 "Total time spent on timing analysis during the Fitter is %1!s! seconds." 0 0 "Fitter" 0 -1 1456243195364 ""}
+{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Fitter" 0 -1 1456243195389 ""}
+{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Fitter" 0 -1 1456243195598 ""}
+{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Fitter" 0 -1 1456243195621 ""}
+{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Fitter" 0 -1 1456243195684 ""}
+{ "Info" "IFITCC_FITTER_POST_OPERATION_END" "00:00:00 " "Fitter post-fit operations ending: elapsed time is 00:00:00" { } { } 0 11218 "Fitter post-fit operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1456243195952 ""}
+{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "C:/one_hertz_clock/output_files/one_hertz_clock.fit.smsg " "Generated suppressed messages file C:/one_hertz_clock/output_files/one_hertz_clock.fit.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Fitter" 0 -1 1456243196589 ""}
+{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 3 s Quartus II 64-Bit " "Quartus II 64-Bit Fitter was successful. 0 errors, 3 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "1101 " "Peak virtual memory: 1101 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1456243196726 ""} { "Info" "IQEXE_END_BANNER_TIME" "Tue Feb 23 15:59:56 2016 " "Processing ended: Tue Feb 23 15:59:56 2016" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1456243196726 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:05 " "Elapsed time: 00:00:05" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1456243196726 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:05 " "Total CPU time (on all processors): 00:00:05" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1456243196726 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1456243196726 ""}
+{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Fitter" 0 -1 1456243197808 ""}
+{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus II 64-Bit " "Running Quartus II 64-Bit Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Full Version " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Full Version" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1456243197809 ""} { "Info" "IQEXE_START_BANNER_TIME" "Tue Feb 23 15:59:57 2016 " "Processing started: Tue Feb 23 15:59:57 2016" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1456243197809 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1456243197809 ""}
+{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off one_hertz_clock -c one_hertz_clock " "Command: quartus_asm --read_settings_files=off --write_settings_files=off one_hertz_clock -c one_hertz_clock" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1456243197810 ""}
+{ "Info" "IASM_ASM_GENERATING_POWER_DATA" "" "Writing out detailed assembly data for power analysis" { } { } 0 115031 "Writing out detailed assembly data for power analysis" 0 0 "Assembler" 0 -1 1456243198429 ""}
+{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1456243198447 ""}
+{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 0 s Quartus II 64-Bit " "Quartus II 64-Bit Assembler was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "420 " "Peak virtual memory: 420 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1456243198663 ""} { "Info" "IQEXE_END_BANNER_TIME" "Tue Feb 23 15:59:58 2016 " "Processing ended: Tue Feb 23 15:59:58 2016" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1456243198663 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1456243198663 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1456243198663 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1456243198663 ""}
+{ "Info" "IFLOW_DISABLED_MODULE" "PowerPlay Power Analyzer FLOW_ENABLE_POWER_ANALYZER " "Skipped module PowerPlay Power Analyzer due to the assignment FLOW_ENABLE_POWER_ANALYZER" { } { } 0 293026 "Skipped module %1!s! due to the assignment %2!s!" 0 0 "Assembler" 0 -1 1456243199282 ""}
+{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Assembler" 0 -1 1456243199844 ""}
+{ "Info" "IQEXE_START_BANNER_PRODUCT" "TimeQuest Timing Analyzer Quartus II 64-Bit " "Running Quartus II 64-Bit TimeQuest Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Full Version " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Full Version" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1456243199844 ""} { "Info" "IQEXE_START_BANNER_TIME" "Tue Feb 23 15:59:59 2016 " "Processing started: Tue Feb 23 15:59:59 2016" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1456243199844 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1456243199844 ""}
+{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta one_hertz_clock -c one_hertz_clock " "Command: quartus_sta one_hertz_clock -c one_hertz_clock" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1456243199844 ""}
+{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Quartus II" 0 0 1456243199902 ""}
+{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS_MORE_LOGICAL" "4 4 8 " "Parallel Compilation has detected 8 hyper-threaded processors. However, the extra hyper-threaded processors will not be used by default. Parallel Compilation will use 4 of the 4 physical processors detected instead." { } { } 0 11104 "Parallel Compilation has detected %3!i! hyper-threaded processors. However, the extra hyper-threaded processors will not be used by default. Parallel Compilation will use %1!i! of the %2!i! physical processors detected instead." 0 0 "Quartus II" 0 -1 1456243199985 ""}
+{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Core supply voltage 1.2V " "Core supply voltage is 1.2V" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1456243199986 ""}
+{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1456243200027 ""}
+{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1456243200027 ""}
+{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "one_hertz_clock.sdc " "Synopsys Design Constraints File file not found: 'one_hertz_clock.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Quartus II" 0 -1 1456243200138 ""}
+{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Quartus II" 0 -1 1456243200139 ""}
+{ "Info" "ISTA_DERIVE_CLOCKS_INFO" "Deriving Clocks " "Deriving Clocks" { { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name CLK CLK " "create_clock -period 1.000 -name CLK CLK" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1456243200139 ""} } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1456243200139 ""}
+{ "Info" "ISTA_NO_CLOCK_UNCERTAINTY_FOUND_DERIVING" "\"derive_clock_uncertainty\" " "No user constrained clock uncertainty found in the design. Calling \"derive_clock_uncertainty\"" { } { } 0 332143 "No user constrained clock uncertainty found in the design. Calling %1!s!" 0 0 "Quartus II" 0 -1 1456243200236 ""}
+{ "Info" "ISTA_DERIVE_CLOCK_UNCERTAINTY_INFO" "Deriving Clock Uncertainty. Please refer to report_sdc in TimeQuest to see clock uncertainties. " "Deriving Clock Uncertainty. Please refer to report_sdc in TimeQuest to see clock uncertainties." { } { } 0 332123 "%1!s!" 0 0 "Quartus II" 0 -1 1456243200236 ""}
+{ "Info" "0" "" "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Quartus II" 0 0 1456243200236 ""}
+{ "Info" "0" "" "Analyzing Slow 1200mV 85C Model" { } { } 0 0 "Analyzing Slow 1200mV 85C Model" 0 0 "Quartus II" 0 0 1456243200241 ""}
+{ "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { { "Info" "ISTA_TIMING_NOT_MET_USE_ADA" "" "For recommendations on closing timing, run Report Timing Closure Recommendations in the TimeQuest Timing Analyzer." { } { } 0 11105 "For recommendations on closing timing, run Report Timing Closure Recommendations in the TimeQuest Timing Analyzer." 0 0 "Quartus II" 0 -1 1456243200248 ""} } { } 1 332148 "Timing requirements not met" 0 0 "Quartus II" 0 -1 1456243200248 ""}
+{ "Info" "ISTA_WORST_CASE_SLACK" "setup -1.948 " "Worst-case setup slack is -1.948" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456243200249 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456243200249 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -1.948 -30.172 CLK " " -1.948 -30.172 CLK " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456243200249 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1456243200249 ""}
+{ "Info" "ISTA_WORST_CASE_SLACK" "hold 0.344 " "Worst-case hold slack is 0.344" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456243200251 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456243200251 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 0.344 0.000 CLK " " 0.344 0.000 CLK " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456243200251 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1456243200251 ""}
+{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1456243200252 ""}
+{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1456243200254 ""}
+{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width -3.000 " "Worst-case minimum pulse width slack is -3.000" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456243200255 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456243200255 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -3.000 -22.000 CLK " " -3.000 -22.000 CLK " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456243200255 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1456243200255 ""}
+{ "Info" "0" "" "Analyzing Slow 1200mV 0C Model" { } { } 0 0 "Analyzing Slow 1200mV 0C Model" 0 0 "Quartus II" 0 0 1456243200283 ""}
+{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Quartus II" 0 -1 1456243200301 ""}
+{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Quartus II" 0 -1 1456243200589 ""}
+{ "Info" "ISTA_DERIVE_CLOCK_UNCERTAINTY_INFO" "Deriving Clock Uncertainty. Please refer to report_sdc in TimeQuest to see clock uncertainties. " "Deriving Clock Uncertainty. Please refer to report_sdc in TimeQuest to see clock uncertainties." { } { } 0 332123 "%1!s!" 0 0 "Quartus II" 0 -1 1456243200608 ""}
+{ "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { { "Info" "ISTA_TIMING_NOT_MET_USE_ADA" "" "For recommendations on closing timing, run Report Timing Closure Recommendations in the TimeQuest Timing Analyzer." { } { } 0 11105 "For recommendations on closing timing, run Report Timing Closure Recommendations in the TimeQuest Timing Analyzer." 0 0 "Quartus II" 0 -1 1456243200611 ""} } { } 1 332148 "Timing requirements not met" 0 0 "Quartus II" 0 -1 1456243200611 ""}
+{ "Info" "ISTA_WORST_CASE_SLACK" "setup -1.632 " "Worst-case setup slack is -1.632" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456243200613 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456243200613 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -1.632 -25.089 CLK " " -1.632 -25.089 CLK " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456243200613 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1456243200613 ""}
+{ "Info" "ISTA_WORST_CASE_SLACK" "hold 0.298 " "Worst-case hold slack is 0.298" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456243200615 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456243200615 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 0.298 0.000 CLK " " 0.298 0.000 CLK " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456243200615 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1456243200615 ""}
+{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1456243200617 ""}
+{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1456243200620 ""}
+{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width -3.000 " "Worst-case minimum pulse width slack is -3.000" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456243200621 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456243200621 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -3.000 -22.000 CLK " " -3.000 -22.000 CLK " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456243200621 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1456243200621 ""}
+{ "Info" "0" "" "Analyzing Fast 1200mV 0C Model" { } { } 0 0 "Analyzing Fast 1200mV 0C Model" 0 0 "Quartus II" 0 0 1456243200642 ""}
+{ "Info" "ISTA_DERIVE_CLOCK_UNCERTAINTY_INFO" "Deriving Clock Uncertainty. Please refer to report_sdc in TimeQuest to see clock uncertainties. " "Deriving Clock Uncertainty. Please refer to report_sdc in TimeQuest to see clock uncertainties." { } { } 0 332123 "%1!s!" 0 0 "Quartus II" 0 -1 1456243200692 ""}
+{ "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { { "Info" "ISTA_TIMING_NOT_MET_USE_ADA" "" "For recommendations on closing timing, run Report Timing Closure Recommendations in the TimeQuest Timing Analyzer." { } { } 0 11105 "For recommendations on closing timing, run Report Timing Closure Recommendations in the TimeQuest Timing Analyzer." 0 0 "Quartus II" 0 -1 1456243200693 ""} } { } 1 332148 "Timing requirements not met" 0 0 "Quartus II" 0 -1 1456243200693 ""}
+{ "Info" "ISTA_WORST_CASE_SLACK" "setup -0.652 " "Worst-case setup slack is -0.652" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456243200696 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456243200696 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -0.652 -8.911 CLK " " -0.652 -8.911 CLK " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456243200696 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1456243200696 ""}
+{ "Info" "ISTA_WORST_CASE_SLACK" "hold 0.180 " "Worst-case hold slack is 0.180" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456243200699 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456243200699 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 0.180 0.000 CLK " " 0.180 0.000 CLK " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456243200699 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1456243200699 ""}
+{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1456243200701 ""}
+{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1456243200704 ""}
+{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width -3.000 " "Worst-case minimum pulse width slack is -3.000" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456243200706 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456243200706 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -3.000 -23.093 CLK " " -3.000 -23.093 CLK " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456243200706 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1456243200706 ""}
+{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1456243200810 ""}
+{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1456243200810 ""}
+{ "Info" "IQEXE_ERROR_COUNT" "TimeQuest Timing Analyzer 0 s 4 s Quartus II 64-Bit " "Quartus II 64-Bit TimeQuest Timing Analyzer was successful. 0 errors, 4 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "485 " "Peak virtual memory: 485 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1456243200848 ""} { "Info" "IQEXE_END_BANNER_TIME" "Tue Feb 23 16:00:00 2016 " "Processing ended: Tue Feb 23 16:00:00 2016" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1456243200848 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1456243200848 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1456243200848 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1456243200848 ""}
+{ "Info" "IFLOW_ERROR_COUNT" "Full Compilation 0 s 18 s " "Quartus II Full Compilation was successful. 0 errors, 18 warnings" { } { } 0 293000 "Quartus II %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1456243201476 ""}
diff --git a/one_hertz_clock/incremental_db/README b/one_hertz_clock/incremental_db/README
new file mode 100644
index 0000000..9f62dcd
--- /dev/null
+++ b/one_hertz_clock/incremental_db/README
@@ -0,0 +1,11 @@
+This folder contains data for incremental compilation.
+
+The compiled_partitions sub-folder contains previous compilation results for each partition.
+As long as this folder is preserved, incremental compilation results from earlier compiles
+can be re-used. To perform a clean compilation from source files for all partitions, both
+the db and incremental_db folder should be removed.
+
+The imported_partitions sub-folder contains the last imported QXP for each imported partition.
+As long as this folder is preserved, imported partitions will be automatically re-imported
+when the db or incremental_db/compiled_partitions folders are removed.
+
diff --git a/one_hertz_clock/incremental_db/compiled_partitions/one_hertz_clock.db_info b/one_hertz_clock/incremental_db/compiled_partitions/one_hertz_clock.db_info
new file mode 100644
index 0000000..7e4ecaa
--- /dev/null
+++ b/one_hertz_clock/incremental_db/compiled_partitions/one_hertz_clock.db_info
@@ -0,0 +1,3 @@
+Quartus_Version = Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Full Version
+Version_Index = 302049280
+Creation_Time = Tue Feb 23 14:51:00 2016
diff --git a/one_hertz_clock/incremental_db/compiled_partitions/one_hertz_clock.root_partition.cmp.ammdb b/one_hertz_clock/incremental_db/compiled_partitions/one_hertz_clock.root_partition.cmp.ammdb
new file mode 100644
index 0000000..ba6afff
--- /dev/null
+++ b/one_hertz_clock/incremental_db/compiled_partitions/one_hertz_clock.root_partition.cmp.ammdb
Binary files differ
diff --git a/one_hertz_clock/incremental_db/compiled_partitions/one_hertz_clock.root_partition.cmp.cdb b/one_hertz_clock/incremental_db/compiled_partitions/one_hertz_clock.root_partition.cmp.cdb
new file mode 100644
index 0000000..66321bb
--- /dev/null
+++ b/one_hertz_clock/incremental_db/compiled_partitions/one_hertz_clock.root_partition.cmp.cdb
Binary files differ
diff --git a/one_hertz_clock/incremental_db/compiled_partitions/one_hertz_clock.root_partition.cmp.dfp b/one_hertz_clock/incremental_db/compiled_partitions/one_hertz_clock.root_partition.cmp.dfp
new file mode 100644
index 0000000..b1c67d6
--- /dev/null
+++ b/one_hertz_clock/incremental_db/compiled_partitions/one_hertz_clock.root_partition.cmp.dfp
Binary files differ
diff --git a/one_hertz_clock/incremental_db/compiled_partitions/one_hertz_clock.root_partition.cmp.hdb b/one_hertz_clock/incremental_db/compiled_partitions/one_hertz_clock.root_partition.cmp.hdb
new file mode 100644
index 0000000..0b8cfef
--- /dev/null
+++ b/one_hertz_clock/incremental_db/compiled_partitions/one_hertz_clock.root_partition.cmp.hdb
Binary files differ
diff --git a/one_hertz_clock/incremental_db/compiled_partitions/one_hertz_clock.root_partition.cmp.kpt b/one_hertz_clock/incremental_db/compiled_partitions/one_hertz_clock.root_partition.cmp.kpt
new file mode 100644
index 0000000..b1479c0
--- /dev/null
+++ b/one_hertz_clock/incremental_db/compiled_partitions/one_hertz_clock.root_partition.cmp.kpt
Binary files differ
diff --git a/one_hertz_clock/incremental_db/compiled_partitions/one_hertz_clock.root_partition.cmp.logdb b/one_hertz_clock/incremental_db/compiled_partitions/one_hertz_clock.root_partition.cmp.logdb
new file mode 100644
index 0000000..626799f
--- /dev/null
+++ b/one_hertz_clock/incremental_db/compiled_partitions/one_hertz_clock.root_partition.cmp.logdb
@@ -0,0 +1 @@
+v1
diff --git a/one_hertz_clock/incremental_db/compiled_partitions/one_hertz_clock.root_partition.cmp.rcfdb b/one_hertz_clock/incremental_db/compiled_partitions/one_hertz_clock.root_partition.cmp.rcfdb
new file mode 100644
index 0000000..1e85087
--- /dev/null
+++ b/one_hertz_clock/incremental_db/compiled_partitions/one_hertz_clock.root_partition.cmp.rcfdb
Binary files differ
diff --git a/one_hertz_clock/incremental_db/compiled_partitions/one_hertz_clock.root_partition.map.cdb b/one_hertz_clock/incremental_db/compiled_partitions/one_hertz_clock.root_partition.map.cdb
new file mode 100644
index 0000000..cdfa405
--- /dev/null
+++ b/one_hertz_clock/incremental_db/compiled_partitions/one_hertz_clock.root_partition.map.cdb
Binary files differ
diff --git a/one_hertz_clock/incremental_db/compiled_partitions/one_hertz_clock.root_partition.map.dpi b/one_hertz_clock/incremental_db/compiled_partitions/one_hertz_clock.root_partition.map.dpi
new file mode 100644
index 0000000..d6cb6e2
--- /dev/null
+++ b/one_hertz_clock/incremental_db/compiled_partitions/one_hertz_clock.root_partition.map.dpi
Binary files differ
diff --git a/one_hertz_clock/incremental_db/compiled_partitions/one_hertz_clock.root_partition.map.hbdb.cdb b/one_hertz_clock/incremental_db/compiled_partitions/one_hertz_clock.root_partition.map.hbdb.cdb
new file mode 100644
index 0000000..83b2a7b
--- /dev/null
+++ b/one_hertz_clock/incremental_db/compiled_partitions/one_hertz_clock.root_partition.map.hbdb.cdb
Binary files differ
diff --git a/one_hertz_clock/incremental_db/compiled_partitions/one_hertz_clock.root_partition.map.hbdb.hb_info b/one_hertz_clock/incremental_db/compiled_partitions/one_hertz_clock.root_partition.map.hbdb.hb_info
new file mode 100644
index 0000000..8210c55
--- /dev/null
+++ b/one_hertz_clock/incremental_db/compiled_partitions/one_hertz_clock.root_partition.map.hbdb.hb_info
Binary files differ
diff --git a/one_hertz_clock/incremental_db/compiled_partitions/one_hertz_clock.root_partition.map.hbdb.hdb b/one_hertz_clock/incremental_db/compiled_partitions/one_hertz_clock.root_partition.map.hbdb.hdb
new file mode 100644
index 0000000..7df70a0
--- /dev/null
+++ b/one_hertz_clock/incremental_db/compiled_partitions/one_hertz_clock.root_partition.map.hbdb.hdb
Binary files differ
diff --git a/one_hertz_clock/incremental_db/compiled_partitions/one_hertz_clock.root_partition.map.hbdb.sig b/one_hertz_clock/incremental_db/compiled_partitions/one_hertz_clock.root_partition.map.hbdb.sig
new file mode 100644
index 0000000..ef58eaa
--- /dev/null
+++ b/one_hertz_clock/incremental_db/compiled_partitions/one_hertz_clock.root_partition.map.hbdb.sig
@@ -0,0 +1 @@
+d1187c24d5e18b5b14f48701f0f8928b \ No newline at end of file
diff --git a/one_hertz_clock/incremental_db/compiled_partitions/one_hertz_clock.root_partition.map.hdb b/one_hertz_clock/incremental_db/compiled_partitions/one_hertz_clock.root_partition.map.hdb
new file mode 100644
index 0000000..1cac056
--- /dev/null
+++ b/one_hertz_clock/incremental_db/compiled_partitions/one_hertz_clock.root_partition.map.hdb
Binary files differ
diff --git a/one_hertz_clock/incremental_db/compiled_partitions/one_hertz_clock.root_partition.map.kpt b/one_hertz_clock/incremental_db/compiled_partitions/one_hertz_clock.root_partition.map.kpt
new file mode 100644
index 0000000..94c6b1b
--- /dev/null
+++ b/one_hertz_clock/incremental_db/compiled_partitions/one_hertz_clock.root_partition.map.kpt
Binary files differ
diff --git a/one_hertz_clock/one_hertz_clock.bdf b/one_hertz_clock/one_hertz_clock.bdf
new file mode 100644
index 0000000..798e347
--- /dev/null
+++ b/one_hertz_clock/one_hertz_clock.bdf
@@ -0,0 +1,4467 @@
+/*
+WARNING: Do NOT edit the input and output ports in this file in a text
+editor if you plan to continue editing the block that represents it in
+the Block Editor! File corruption is VERY likely to occur.
+*/
+/*
+Copyright (C) 1991-2013 Altera Corporation
+Your use of Altera Corporation's design tools, logic functions
+and other software and tools, and its AMPP partner logic
+functions, and any output files from any of the foregoing
+(including device programming or simulation files), and any
+associated documentation or information are expressly subject
+to the terms and conditions of the Altera Program License
+Subscription Agreement, Altera MegaCore Function License
+Agreement, or other applicable license agreement, including,
+without limitation, that your use is for the sole purpose of
+programming logic devices manufactured by Altera and sold by
+Altera or its authorized distributors. Please refer to the
+applicable agreement for further details.
+*/
+(header "graphic" (version "1.4"))
+(pin
+ (input)
+ (rect 600 2928 768 2944)
+ (text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6)))
+ (text "CLK" (rect 5 0 27 12)(font "Arial" ))
+ (pt 168 8)
+ (drawing
+ (line (pt 84 12)(pt 109 12))
+ (line (pt 84 4)(pt 109 4))
+ (line (pt 113 8)(pt 168 8))
+ (line (pt 84 12)(pt 84 4))
+ (line (pt 109 4)(pt 113 8))
+ (line (pt 109 12)(pt 113 8))
+ )
+ (text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6)))
+)
+(pin
+ (output)
+ (rect 744 536 920 552)
+ (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6)))
+ (text "1_Hz" (rect 90 0 114 12)(font "Arial" ))
+ (pt 0 8)
+ (drawing
+ (line (pt 0 8)(pt 52 8))
+ (line (pt 52 4)(pt 78 4))
+ (line (pt 52 12)(pt 78 12))
+ (line (pt 52 12)(pt 52 4))
+ (line (pt 78 4)(pt 82 8))
+ (line (pt 82 8)(pt 78 12))
+ (line (pt 78 12)(pt 82 8))
+ )
+)
+(symbol
+ (rect 712 680 776 728)
+ (text "AND2" (rect 1 0 25 10)(font "Arial" (font_size 6)))
+ (text "inst29" (rect 3 37 32 49)(font "Arial" ))
+ (port
+ (pt 0 16)
+ (input)
+ (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
+ (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
+ (line (pt 0 16)(pt 14 16))
+ )
+ (port
+ (pt 0 32)
+ (input)
+ (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible))
+ (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible))
+ (line (pt 0 32)(pt 14 32))
+ )
+ (port
+ (pt 64 24)
+ (output)
+ (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
+ (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
+ (line (pt 42 24)(pt 64 24))
+ )
+ (drawing
+ (line (pt 14 12)(pt 30 12))
+ (line (pt 14 37)(pt 31 37))
+ (line (pt 14 12)(pt 14 37))
+ (arc (pt 31 37)(pt 30 12)(rect 18 12 43 37))
+ )
+)
+(symbol
+ (rect 520 440 584 648)
+ (text "AND12" (rect 1 0 30 10)(font "Arial" (font_size 6)))
+ (text "inst30" (rect 3 197 32 209)(font "Arial" ))
+ (port
+ (pt 0 96)
+ (input)
+ (text "IN6" (rect 2 87 19 99)(font "Courier New" (bold))(invisible))
+ (text "IN6" (rect 2 87 19 99)(font "Courier New" (bold))(invisible))
+ (line (pt 0 96)(pt 18 96))
+ )
+ (port
+ (pt 0 112)
+ (input)
+ (text "IN7" (rect 2 103 19 115)(font "Courier New" (bold))(invisible))
+ (text "IN7" (rect 2 103 19 115)(font "Courier New" (bold))(invisible))
+ (line (pt 0 112)(pt 18 112))
+ )
+ (port
+ (pt 0 32)
+ (input)
+ (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible))
+ (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible))
+ (line (pt 0 32)(pt 18 32))
+ )
+ (port
+ (pt 0 48)
+ (input)
+ (text "IN3" (rect 2 39 19 51)(font "Courier New" (bold))(invisible))
+ (text "IN3" (rect 2 39 19 51)(font "Courier New" (bold))(invisible))
+ (line (pt 0 48)(pt 18 48))
+ )
+ (port
+ (pt 0 160)
+ (input)
+ (text "IN10" (rect 2 151 25 163)(font "Courier New" (bold))(invisible))
+ (text "IN10" (rect 2 151 25 163)(font "Courier New" (bold))(invisible))
+ (line (pt 0 160)(pt 18 160))
+ )
+ (port
+ (pt 0 128)
+ (input)
+ (text "IN8" (rect 2 119 19 131)(font "Courier New" (bold))(invisible))
+ (text "IN8" (rect 2 119 19 131)(font "Courier New" (bold))(invisible))
+ (line (pt 0 128)(pt 18 128))
+ )
+ (port
+ (pt 0 144)
+ (input)
+ (text "IN9" (rect 2 135 19 147)(font "Courier New" (bold))(invisible))
+ (text "IN9" (rect 2 135 19 147)(font "Courier New" (bold))(invisible))
+ (line (pt 0 144)(pt 18 144))
+ )
+ (port
+ (pt 0 176)
+ (input)
+ (text "IN11" (rect 2 167 25 179)(font "Courier New" (bold))(invisible))
+ (text "IN11" (rect 2 167 25 179)(font "Courier New" (bold))(invisible))
+ (line (pt 0 176)(pt 18 176))
+ )
+ (port
+ (pt 0 16)
+ (input)
+ (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
+ (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
+ (line (pt 0 16)(pt 18 16))
+ )
+ (port
+ (pt 0 80)
+ (input)
+ (text "IN5" (rect 2 71 19 83)(font "Courier New" (bold))(invisible))
+ (text "IN5" (rect 2 71 19 83)(font "Courier New" (bold))(invisible))
+ (line (pt 0 80)(pt 18 80))
+ )
+ (port
+ (pt 0 64)
+ (input)
+ (text "IN4" (rect 2 55 19 67)(font "Courier New" (bold))(invisible))
+ (text "IN4" (rect 2 55 19 67)(font "Courier New" (bold))(invisible))
+ (line (pt 0 64)(pt 18 64))
+ )
+ (port
+ (pt 0 192)
+ (input)
+ (text "IN12" (rect 2 183 25 195)(font "Courier New" (bold))(invisible))
+ (text "IN12" (rect 2 183 25 195)(font "Courier New" (bold))(invisible))
+ (line (pt 0 192)(pt 18 192))
+ )
+ (port
+ (pt 64 104)
+ (output)
+ (text "OUT" (rect 48 95 65 107)(font "Courier New" (bold))(invisible))
+ (text "OUT" (rect 48 95 65 107)(font "Courier New" (bold))(invisible))
+ (line (pt 44 104)(pt 64 104))
+ )
+ (drawing
+ (line (pt 18 92)(pt 32 92))
+ (line (pt 18 195)(pt 18 13))
+ (line (pt 18 116)(pt 18 92))
+ (line (pt 19 117)(pt 32 117))
+ (arc (pt 32 116)(pt 32 92)(rect 20 92 45 117))
+ )
+)
+(symbol
+ (rect 336 384 400 528)
+ (text "AND8" (rect 1 0 25 10)(font "Arial" (font_size 6)))
+ (text "inst31" (rect 3 133 32 145)(font "Arial" ))
+ (port
+ (pt 0 16)
+ (input)
+ (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
+ (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
+ (line (pt 0 16)(pt 18 16))
+ )
+ (port
+ (pt 0 48)
+ (input)
+ (text "IN3" (rect 2 39 19 51)(font "Courier New" (bold))(invisible))
+ (text "IN3" (rect 2 39 19 51)(font "Courier New" (bold))(invisible))
+ (line (pt 0 48)(pt 18 48))
+ )
+ (port
+ (pt 0 32)
+ (input)
+ (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible))
+ (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible))
+ (line (pt 0 32)(pt 18 32))
+ )
+ (port
+ (pt 0 64)
+ (input)
+ (text "IN4" (rect 2 55 19 67)(font "Courier New" (bold))(invisible))
+ (text "IN4" (rect 2 55 19 67)(font "Courier New" (bold))(invisible))
+ (line (pt 0 64)(pt 18 64))
+ )
+ (port
+ (pt 0 112)
+ (input)
+ (text "IN7" (rect 2 103 19 115)(font "Courier New" (bold))(invisible))
+ (text "IN7" (rect 2 103 19 115)(font "Courier New" (bold))(invisible))
+ (line (pt 0 112)(pt 18 112))
+ )
+ (port
+ (pt 0 96)
+ (input)
+ (text "IN6" (rect 2 87 19 99)(font "Courier New" (bold))(invisible))
+ (text "IN6" (rect 2 87 19 99)(font "Courier New" (bold))(invisible))
+ (line (pt 0 96)(pt 18 96))
+ )
+ (port
+ (pt 0 128)
+ (input)
+ (text "IN8" (rect 2 119 19 131)(font "Courier New" (bold))(invisible))
+ (text "IN8" (rect 2 119 19 131)(font "Courier New" (bold))(invisible))
+ (line (pt 0 128)(pt 18 128))
+ )
+ (port
+ (pt 0 80)
+ (input)
+ (text "IN5" (rect 2 71 19 83)(font "Courier New" (bold))(invisible))
+ (text "IN5" (rect 2 71 19 83)(font "Courier New" (bold))(invisible))
+ (line (pt 0 80)(pt 18 80))
+ )
+ (port
+ (pt 64 72)
+ (output)
+ (text "OUT" (rect 48 63 65 75)(font "Courier New" (bold))(invisible))
+ (text "OUT" (rect 48 63 65 75)(font "Courier New" (bold))(invisible))
+ (line (pt 46 72)(pt 64 72))
+ )
+ (drawing
+ (line (pt 18 131)(pt 18 13))
+ (line (pt 18 59)(pt 33 59))
+ (line (pt 18 86)(pt 33 86))
+ (arc (pt 33 85)(pt 33 59)(rect 20 59 47 86))
+ )
+)
+(symbol
+ (rect 400 728 432 760)
+ (text "GND" (rect 6 8 16 29)(font "Arial" (font_size 6))(vertical))
+ (text "inst35" (rect 0 2 12 31)(font "Arial" )(vertical)(invisible))
+ (port
+ (pt 32 16)
+ (output)
+ (text "1" (rect 18 0 23 12)(font "Courier New" (bold))(invisible))
+ (text "1" (rect 20 18 32 23)(font "Courier New" (bold))(vertical)(invisible))
+ (line (pt 24 16)(pt 32 16))
+ )
+ (drawing
+ (line (pt 24 8)(pt 16 16))
+ (line (pt 16 16)(pt 24 24))
+ (line (pt 24 8)(pt 24 24))
+ )
+ (rotate270)
+)
+(symbol
+ (rect 832 800 896 880)
+ (text "DFF" (rect 1 0 19 10)(font "Arial" (font_size 6)))
+ (text "inst38" (rect 3 68 32 80)(font "Arial" ))
+ (port
+ (pt 32 80)
+ (input)
+ (text "CLRN" (rect 21 59 44 71)(font "Courier New" (bold)))
+ (text "CLRN" (rect 21 58 44 70)(font "Courier New" (bold)))
+ (line (pt 32 80)(pt 32 76))
+ )
+ (port
+ (pt 0 40)
+ (input)
+ (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible))
+ (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible))
+ (line (pt 0 40)(pt 12 40))
+ )
+ (port
+ (pt 0 24)
+ (input)
+ (text "D" (rect 14 20 19 32)(font "Courier New" (bold)))
+ (text "D" (rect 14 20 19 32)(font "Courier New" (bold)))
+ (line (pt 0 24)(pt 12 24))
+ )
+ (port
+ (pt 32 0)
+ (input)
+ (text "PRN" (rect 24 13 41 25)(font "Courier New" (bold)))
+ (text "PRN" (rect 24 11 41 23)(font "Courier New" (bold)))
+ (line (pt 32 4)(pt 32 0))
+ )
+ (port
+ (pt 64 24)
+ (output)
+ (text "Q" (rect 45 20 50 32)(font "Courier New" (bold)))
+ (text "Q" (rect 43 20 48 32)(font "Courier New" (bold)))
+ (line (pt 52 24)(pt 64 24))
+ )
+ (drawing
+ (line (pt 12 12)(pt 52 12))
+ (line (pt 12 68)(pt 52 68))
+ (line (pt 52 68)(pt 52 12))
+ (line (pt 12 68)(pt 12 12))
+ (line (pt 19 40)(pt 12 47))
+ (line (pt 12 32)(pt 20 40))
+ (circle (rect 28 4 36 12))
+ (circle (rect 28 68 36 76))
+ )
+)
+(symbol
+ (rect 488 800 584 896)
+ (text "full_adder" (rect 5 0 61 14)(font "Arial" (font_size 8)))
+ (text "inst36" (rect 8 80 37 92)(font "Arial" ))
+ (port
+ (pt 0 32)
+ (input)
+ (text "X" (rect 0 0 8 14)(font "Arial" (font_size 8)))
+ (text "X" (rect 21 27 29 41)(font "Arial" (font_size 8)))
+ (line (pt 0 32)(pt 16 32))
+ )
+ (port
+ (pt 0 48)
+ (input)
+ (text "Y" (rect 0 0 9 14)(font "Arial" (font_size 8)))
+ (text "Y" (rect 21 43 30 57)(font "Arial" (font_size 8)))
+ (line (pt 0 48)(pt 16 48))
+ )
+ (port
+ (pt 0 64)
+ (input)
+ (text "Cin" (rect 0 0 17 14)(font "Arial" (font_size 8)))
+ (text "Cin" (rect 21 59 38 73)(font "Arial" (font_size 8)))
+ (line (pt 0 64)(pt 16 64))
+ )
+ (port
+ (pt 96 32)
+ (output)
+ (text "SUM" (rect 0 0 25 14)(font "Arial" (font_size 8)))
+ (text "SUM" (rect 50 27 75 41)(font "Arial" (font_size 8)))
+ (line (pt 96 32)(pt 80 32))
+ )
+ (port
+ (pt 96 48)
+ (output)
+ (text "Cout" (rect 0 0 25 14)(font "Arial" (font_size 8)))
+ (text "Cout" (rect 50 43 75 57)(font "Arial" (font_size 8)))
+ (line (pt 96 48)(pt 80 48))
+ )
+ (drawing
+ (rectangle (rect 16 16 80 80))
+ )
+)
+(symbol
+ (rect 712 800 776 848)
+ (text "AND2" (rect 1 0 25 10)(font "Arial" (font_size 6)))
+ (text "inst39" (rect 3 37 32 49)(font "Arial" ))
+ (port
+ (pt 0 16)
+ (input)
+ (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
+ (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
+ (line (pt 0 16)(pt 14 16))
+ )
+ (port
+ (pt 0 32)
+ (input)
+ (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible))
+ (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible))
+ (line (pt 0 32)(pt 14 32))
+ )
+ (port
+ (pt 64 24)
+ (output)
+ (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
+ (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
+ (line (pt 42 24)(pt 64 24))
+ )
+ (drawing
+ (line (pt 14 12)(pt 30 12))
+ (line (pt 14 37)(pt 31 37))
+ (line (pt 14 12)(pt 14 37))
+ (arc (pt 31 37)(pt 30 12)(rect 18 12 43 37))
+ )
+)
+(symbol
+ (rect 440 712 456 744)
+ (text "VCC" (rect 0 5 10 25)(font "Arial" (font_size 6))(vertical))
+ (text "inst" (rect 5 12 17 29)(font "Arial" )(vertical)(invisible))
+ (port
+ (pt 16 16)
+ (output)
+ (text "1" (rect 19 7 24 19)(font "Courier New" (bold))(invisible))
+ (text "1" (rect 7 8 19 13)(font "Courier New" (bold))(vertical)(invisible))
+ (line (pt 16 16)(pt 8 16))
+ )
+ (drawing
+ (line (pt 8 24)(pt 8 8))
+ )
+ (rotate90)
+)
+(symbol
+ (rect 832 920 896 1000)
+ (text "DFF" (rect 1 0 19 10)(font "Arial" (font_size 6)))
+ (text "inst40" (rect 3 68 32 80)(font "Arial" ))
+ (port
+ (pt 32 80)
+ (input)
+ (text "CLRN" (rect 21 59 44 71)(font "Courier New" (bold)))
+ (text "CLRN" (rect 21 58 44 70)(font "Courier New" (bold)))
+ (line (pt 32 80)(pt 32 76))
+ )
+ (port
+ (pt 0 40)
+ (input)
+ (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible))
+ (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible))
+ (line (pt 0 40)(pt 12 40))
+ )
+ (port
+ (pt 0 24)
+ (input)
+ (text "D" (rect 14 20 19 32)(font "Courier New" (bold)))
+ (text "D" (rect 14 20 19 32)(font "Courier New" (bold)))
+ (line (pt 0 24)(pt 12 24))
+ )
+ (port
+ (pt 32 0)
+ (input)
+ (text "PRN" (rect 24 13 41 25)(font "Courier New" (bold)))
+ (text "PRN" (rect 24 11 41 23)(font "Courier New" (bold)))
+ (line (pt 32 4)(pt 32 0))
+ )
+ (port
+ (pt 64 24)
+ (output)
+ (text "Q" (rect 45 20 50 32)(font "Courier New" (bold)))
+ (text "Q" (rect 43 20 48 32)(font "Courier New" (bold)))
+ (line (pt 52 24)(pt 64 24))
+ )
+ (drawing
+ (line (pt 12 12)(pt 52 12))
+ (line (pt 12 68)(pt 52 68))
+ (line (pt 52 68)(pt 52 12))
+ (line (pt 12 68)(pt 12 12))
+ (line (pt 19 40)(pt 12 47))
+ (line (pt 12 32)(pt 20 40))
+ (circle (rect 28 4 36 12))
+ (circle (rect 28 68 36 76))
+ )
+)
+(symbol
+ (rect 488 920 584 1016)
+ (text "full_adder" (rect 5 0 61 14)(font "Arial" (font_size 8)))
+ (text "inst41" (rect 8 80 37 92)(font "Arial" ))
+ (port
+ (pt 0 32)
+ (input)
+ (text "X" (rect 0 0 8 14)(font "Arial" (font_size 8)))
+ (text "X" (rect 21 27 29 41)(font "Arial" (font_size 8)))
+ (line (pt 0 32)(pt 16 32))
+ )
+ (port
+ (pt 0 48)
+ (input)
+ (text "Y" (rect 0 0 9 14)(font "Arial" (font_size 8)))
+ (text "Y" (rect 21 43 30 57)(font "Arial" (font_size 8)))
+ (line (pt 0 48)(pt 16 48))
+ )
+ (port
+ (pt 0 64)
+ (input)
+ (text "Cin" (rect 0 0 17 14)(font "Arial" (font_size 8)))
+ (text "Cin" (rect 21 59 38 73)(font "Arial" (font_size 8)))
+ (line (pt 0 64)(pt 16 64))
+ )
+ (port
+ (pt 96 32)
+ (output)
+ (text "SUM" (rect 0 0 25 14)(font "Arial" (font_size 8)))
+ (text "SUM" (rect 50 27 75 41)(font "Arial" (font_size 8)))
+ (line (pt 96 32)(pt 80 32))
+ )
+ (port
+ (pt 96 48)
+ (output)
+ (text "Cout" (rect 0 0 25 14)(font "Arial" (font_size 8)))
+ (text "Cout" (rect 50 43 75 57)(font "Arial" (font_size 8)))
+ (line (pt 96 48)(pt 80 48))
+ )
+ (drawing
+ (rectangle (rect 16 16 80 80))
+ )
+)
+(symbol
+ (rect 400 968 432 1000)
+ (text "GND" (rect 6 8 16 29)(font "Arial" (font_size 6))(vertical))
+ (text "inst44" (rect 0 2 12 31)(font "Arial" )(vertical)(invisible))
+ (port
+ (pt 32 16)
+ (output)
+ (text "1" (rect 18 0 23 12)(font "Courier New" (bold))(invisible))
+ (text "1" (rect 20 18 32 23)(font "Courier New" (bold))(vertical)(invisible))
+ (line (pt 24 16)(pt 32 16))
+ )
+ (drawing
+ (line (pt 24 8)(pt 16 16))
+ (line (pt 16 16)(pt 24 24))
+ (line (pt 24 8)(pt 24 24))
+ )
+ (rotate270)
+)
+(symbol
+ (rect 488 1040 584 1136)
+ (text "full_adder" (rect 5 0 61 14)(font "Arial" (font_size 8)))
+ (text "inst46" (rect 8 80 37 92)(font "Arial" ))
+ (port
+ (pt 0 32)
+ (input)
+ (text "X" (rect 0 0 8 14)(font "Arial" (font_size 8)))
+ (text "X" (rect 21 27 29 41)(font "Arial" (font_size 8)))
+ (line (pt 0 32)(pt 16 32))
+ )
+ (port
+ (pt 0 48)
+ (input)
+ (text "Y" (rect 0 0 9 14)(font "Arial" (font_size 8)))
+ (text "Y" (rect 21 43 30 57)(font "Arial" (font_size 8)))
+ (line (pt 0 48)(pt 16 48))
+ )
+ (port
+ (pt 0 64)
+ (input)
+ (text "Cin" (rect 0 0 17 14)(font "Arial" (font_size 8)))
+ (text "Cin" (rect 21 59 38 73)(font "Arial" (font_size 8)))
+ (line (pt 0 64)(pt 16 64))
+ )
+ (port
+ (pt 96 32)
+ (output)
+ (text "SUM" (rect 0 0 25 14)(font "Arial" (font_size 8)))
+ (text "SUM" (rect 50 27 75 41)(font "Arial" (font_size 8)))
+ (line (pt 96 32)(pt 80 32))
+ )
+ (port
+ (pt 96 48)
+ (output)
+ (text "Cout" (rect 0 0 25 14)(font "Arial" (font_size 8)))
+ (text "Cout" (rect 50 43 75 57)(font "Arial" (font_size 8)))
+ (line (pt 96 48)(pt 80 48))
+ )
+ (drawing
+ (rectangle (rect 16 16 80 80))
+ )
+)
+(symbol
+ (rect 832 1160 896 1240)
+ (text "DFF" (rect 1 0 19 10)(font "Arial" (font_size 6)))
+ (text "inst50" (rect 3 68 32 80)(font "Arial" ))
+ (port
+ (pt 32 80)
+ (input)
+ (text "CLRN" (rect 21 59 44 71)(font "Courier New" (bold)))
+ (text "CLRN" (rect 21 58 44 70)(font "Courier New" (bold)))
+ (line (pt 32 80)(pt 32 76))
+ )
+ (port
+ (pt 0 40)
+ (input)
+ (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible))
+ (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible))
+ (line (pt 0 40)(pt 12 40))
+ )
+ (port
+ (pt 0 24)
+ (input)
+ (text "D" (rect 14 20 19 32)(font "Courier New" (bold)))
+ (text "D" (rect 14 20 19 32)(font "Courier New" (bold)))
+ (line (pt 0 24)(pt 12 24))
+ )
+ (port
+ (pt 32 0)
+ (input)
+ (text "PRN" (rect 24 13 41 25)(font "Courier New" (bold)))
+ (text "PRN" (rect 24 11 41 23)(font "Courier New" (bold)))
+ (line (pt 32 4)(pt 32 0))
+ )
+ (port
+ (pt 64 24)
+ (output)
+ (text "Q" (rect 45 20 50 32)(font "Courier New" (bold)))
+ (text "Q" (rect 43 20 48 32)(font "Courier New" (bold)))
+ (line (pt 52 24)(pt 64 24))
+ )
+ (drawing
+ (line (pt 12 12)(pt 52 12))
+ (line (pt 12 68)(pt 52 68))
+ (line (pt 52 68)(pt 52 12))
+ (line (pt 12 68)(pt 12 12))
+ (line (pt 19 40)(pt 12 47))
+ (line (pt 12 32)(pt 20 40))
+ (circle (rect 28 4 36 12))
+ (circle (rect 28 68 36 76))
+ )
+)
+(symbol
+ (rect 488 1160 584 1256)
+ (text "full_adder" (rect 5 0 61 14)(font "Arial" (font_size 8)))
+ (text "inst51" (rect 8 80 37 92)(font "Arial" ))
+ (port
+ (pt 0 32)
+ (input)
+ (text "X" (rect 0 0 8 14)(font "Arial" (font_size 8)))
+ (text "X" (rect 21 27 29 41)(font "Arial" (font_size 8)))
+ (line (pt 0 32)(pt 16 32))
+ )
+ (port
+ (pt 0 48)
+ (input)
+ (text "Y" (rect 0 0 9 14)(font "Arial" (font_size 8)))
+ (text "Y" (rect 21 43 30 57)(font "Arial" (font_size 8)))
+ (line (pt 0 48)(pt 16 48))
+ )
+ (port
+ (pt 0 64)
+ (input)
+ (text "Cin" (rect 0 0 17 14)(font "Arial" (font_size 8)))
+ (text "Cin" (rect 21 59 38 73)(font "Arial" (font_size 8)))
+ (line (pt 0 64)(pt 16 64))
+ )
+ (port
+ (pt 96 32)
+ (output)
+ (text "SUM" (rect 0 0 25 14)(font "Arial" (font_size 8)))
+ (text "SUM" (rect 50 27 75 41)(font "Arial" (font_size 8)))
+ (line (pt 96 32)(pt 80 32))
+ )
+ (port
+ (pt 96 48)
+ (output)
+ (text "Cout" (rect 0 0 25 14)(font "Arial" (font_size 8)))
+ (text "Cout" (rect 50 43 75 57)(font "Arial" (font_size 8)))
+ (line (pt 96 48)(pt 80 48))
+ )
+ (drawing
+ (rectangle (rect 16 16 80 80))
+ )
+)
+(symbol
+ (rect 712 1160 776 1208)
+ (text "AND2" (rect 1 0 25 10)(font "Arial" (font_size 6)))
+ (text "inst52" (rect 3 37 32 49)(font "Arial" ))
+ (port
+ (pt 0 16)
+ (input)
+ (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
+ (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
+ (line (pt 0 16)(pt 14 16))
+ )
+ (port
+ (pt 0 32)
+ (input)
+ (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible))
+ (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible))
+ (line (pt 0 32)(pt 14 32))
+ )
+ (port
+ (pt 64 24)
+ (output)
+ (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
+ (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
+ (line (pt 42 24)(pt 64 24))
+ )
+ (drawing
+ (line (pt 14 12)(pt 30 12))
+ (line (pt 14 37)(pt 31 37))
+ (line (pt 14 12)(pt 14 37))
+ (arc (pt 31 37)(pt 30 12)(rect 18 12 43 37))
+ )
+)
+(symbol
+ (rect 400 1208 432 1240)
+ (text "GND" (rect 6 8 16 29)(font "Arial" (font_size 6))(vertical))
+ (text "inst54" (rect 0 2 12 31)(font "Arial" )(vertical)(invisible))
+ (port
+ (pt 32 16)
+ (output)
+ (text "1" (rect 18 0 23 12)(font "Courier New" (bold))(invisible))
+ (text "1" (rect 20 18 32 23)(font "Courier New" (bold))(vertical)(invisible))
+ (line (pt 24 16)(pt 32 16))
+ )
+ (drawing
+ (line (pt 24 8)(pt 16 16))
+ (line (pt 16 16)(pt 24 24))
+ (line (pt 24 8)(pt 24 24))
+ )
+ (rotate270)
+)
+(symbol
+ (rect 400 1328 432 1360)
+ (text "GND" (rect 6 8 16 29)(font "Arial" (font_size 6))(vertical))
+ (text "inst42" (rect 0 2 12 31)(font "Arial" )(vertical)(invisible))
+ (port
+ (pt 32 16)
+ (output)
+ (text "1" (rect 18 0 23 12)(font "Courier New" (bold))(invisible))
+ (text "1" (rect 20 18 32 23)(font "Courier New" (bold))(vertical)(invisible))
+ (line (pt 24 16)(pt 32 16))
+ )
+ (drawing
+ (line (pt 24 8)(pt 16 16))
+ (line (pt 16 16)(pt 24 24))
+ (line (pt 24 8)(pt 24 24))
+ )
+ (rotate270)
+)
+(symbol
+ (rect 832 1400 896 1480)
+ (text "DFF" (rect 1 0 19 10)(font "Arial" (font_size 6)))
+ (text "inst43" (rect 3 68 32 80)(font "Arial" ))
+ (port
+ (pt 32 80)
+ (input)
+ (text "CLRN" (rect 21 59 44 71)(font "Courier New" (bold)))
+ (text "CLRN" (rect 21 58 44 70)(font "Courier New" (bold)))
+ (line (pt 32 80)(pt 32 76))
+ )
+ (port
+ (pt 0 40)
+ (input)
+ (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible))
+ (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible))
+ (line (pt 0 40)(pt 12 40))
+ )
+ (port
+ (pt 0 24)
+ (input)
+ (text "D" (rect 14 20 19 32)(font "Courier New" (bold)))
+ (text "D" (rect 14 20 19 32)(font "Courier New" (bold)))
+ (line (pt 0 24)(pt 12 24))
+ )
+ (port
+ (pt 32 0)
+ (input)
+ (text "PRN" (rect 24 13 41 25)(font "Courier New" (bold)))
+ (text "PRN" (rect 24 11 41 23)(font "Courier New" (bold)))
+ (line (pt 32 4)(pt 32 0))
+ )
+ (port
+ (pt 64 24)
+ (output)
+ (text "Q" (rect 45 20 50 32)(font "Courier New" (bold)))
+ (text "Q" (rect 43 20 48 32)(font "Courier New" (bold)))
+ (line (pt 52 24)(pt 64 24))
+ )
+ (drawing
+ (line (pt 12 12)(pt 52 12))
+ (line (pt 12 68)(pt 52 68))
+ (line (pt 52 68)(pt 52 12))
+ (line (pt 12 68)(pt 12 12))
+ (line (pt 19 40)(pt 12 47))
+ (line (pt 12 32)(pt 20 40))
+ (circle (rect 28 4 36 12))
+ (circle (rect 28 68 36 76))
+ )
+)
+(symbol
+ (rect 712 1400 776 1448)
+ (text "AND2" (rect 1 0 25 10)(font "Arial" (font_size 6)))
+ (text "inst45" (rect 3 37 32 49)(font "Arial" ))
+ (port
+ (pt 0 16)
+ (input)
+ (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
+ (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
+ (line (pt 0 16)(pt 14 16))
+ )
+ (port
+ (pt 0 32)
+ (input)
+ (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible))
+ (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible))
+ (line (pt 0 32)(pt 14 32))
+ )
+ (port
+ (pt 64 24)
+ (output)
+ (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
+ (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
+ (line (pt 42 24)(pt 64 24))
+ )
+ (drawing
+ (line (pt 14 12)(pt 30 12))
+ (line (pt 14 37)(pt 31 37))
+ (line (pt 14 12)(pt 14 37))
+ (arc (pt 31 37)(pt 30 12)(rect 18 12 43 37))
+ )
+)
+(symbol
+ (rect 400 1448 432 1480)
+ (text "GND" (rect 6 8 16 29)(font "Arial" (font_size 6))(vertical))
+ (text "inst47" (rect 0 2 12 31)(font "Arial" )(vertical)(invisible))
+ (port
+ (pt 32 16)
+ (output)
+ (text "1" (rect 18 0 23 12)(font "Courier New" (bold))(invisible))
+ (text "1" (rect 20 18 32 23)(font "Courier New" (bold))(vertical)(invisible))
+ (line (pt 24 16)(pt 32 16))
+ )
+ (drawing
+ (line (pt 24 8)(pt 16 16))
+ (line (pt 16 16)(pt 24 24))
+ (line (pt 24 8)(pt 24 24))
+ )
+ (rotate270)
+)
+(symbol
+ (rect 832 1520 896 1600)
+ (text "DFF" (rect 1 0 19 10)(font "Arial" (font_size 6)))
+ (text "inst48" (rect 3 68 32 80)(font "Arial" ))
+ (port
+ (pt 32 80)
+ (input)
+ (text "CLRN" (rect 21 59 44 71)(font "Courier New" (bold)))
+ (text "CLRN" (rect 21 58 44 70)(font "Courier New" (bold)))
+ (line (pt 32 80)(pt 32 76))
+ )
+ (port
+ (pt 0 40)
+ (input)
+ (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible))
+ (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible))
+ (line (pt 0 40)(pt 12 40))
+ )
+ (port
+ (pt 0 24)
+ (input)
+ (text "D" (rect 14 20 19 32)(font "Courier New" (bold)))
+ (text "D" (rect 14 20 19 32)(font "Courier New" (bold)))
+ (line (pt 0 24)(pt 12 24))
+ )
+ (port
+ (pt 32 0)
+ (input)
+ (text "PRN" (rect 24 13 41 25)(font "Courier New" (bold)))
+ (text "PRN" (rect 24 11 41 23)(font "Courier New" (bold)))
+ (line (pt 32 4)(pt 32 0))
+ )
+ (port
+ (pt 64 24)
+ (output)
+ (text "Q" (rect 45 20 50 32)(font "Courier New" (bold)))
+ (text "Q" (rect 43 20 48 32)(font "Courier New" (bold)))
+ (line (pt 52 24)(pt 64 24))
+ )
+ (drawing
+ (line (pt 12 12)(pt 52 12))
+ (line (pt 12 68)(pt 52 68))
+ (line (pt 52 68)(pt 52 12))
+ (line (pt 12 68)(pt 12 12))
+ (line (pt 19 40)(pt 12 47))
+ (line (pt 12 32)(pt 20 40))
+ (circle (rect 28 4 36 12))
+ (circle (rect 28 68 36 76))
+ )
+)
+(symbol
+ (rect 488 1520 584 1616)
+ (text "full_adder" (rect 5 0 61 14)(font "Arial" (font_size 8)))
+ (text "inst49" (rect 8 80 37 92)(font "Arial" ))
+ (port
+ (pt 0 32)
+ (input)
+ (text "X" (rect 0 0 8 14)(font "Arial" (font_size 8)))
+ (text "X" (rect 21 27 29 41)(font "Arial" (font_size 8)))
+ (line (pt 0 32)(pt 16 32))
+ )
+ (port
+ (pt 0 48)
+ (input)
+ (text "Y" (rect 0 0 9 14)(font "Arial" (font_size 8)))
+ (text "Y" (rect 21 43 30 57)(font "Arial" (font_size 8)))
+ (line (pt 0 48)(pt 16 48))
+ )
+ (port
+ (pt 0 64)
+ (input)
+ (text "Cin" (rect 0 0 17 14)(font "Arial" (font_size 8)))
+ (text "Cin" (rect 21 59 38 73)(font "Arial" (font_size 8)))
+ (line (pt 0 64)(pt 16 64))
+ )
+ (port
+ (pt 96 32)
+ (output)
+ (text "SUM" (rect 0 0 25 14)(font "Arial" (font_size 8)))
+ (text "SUM" (rect 50 27 75 41)(font "Arial" (font_size 8)))
+ (line (pt 96 32)(pt 80 32))
+ )
+ (port
+ (pt 96 48)
+ (output)
+ (text "Cout" (rect 0 0 25 14)(font "Arial" (font_size 8)))
+ (text "Cout" (rect 50 43 75 57)(font "Arial" (font_size 8)))
+ (line (pt 96 48)(pt 80 48))
+ )
+ (drawing
+ (rectangle (rect 16 16 80 80))
+ )
+)
+(symbol
+ (rect 712 1520 776 1568)
+ (text "AND2" (rect 1 0 25 10)(font "Arial" (font_size 6)))
+ (text "inst55" (rect 3 37 32 49)(font "Arial" ))
+ (port
+ (pt 0 16)
+ (input)
+ (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
+ (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
+ (line (pt 0 16)(pt 14 16))
+ )
+ (port
+ (pt 0 32)
+ (input)
+ (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible))
+ (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible))
+ (line (pt 0 32)(pt 14 32))
+ )
+ (port
+ (pt 64 24)
+ (output)
+ (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
+ (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
+ (line (pt 42 24)(pt 64 24))
+ )
+ (drawing
+ (line (pt 14 12)(pt 30 12))
+ (line (pt 14 37)(pt 31 37))
+ (line (pt 14 12)(pt 14 37))
+ (arc (pt 31 37)(pt 30 12)(rect 18 12 43 37))
+ )
+)
+(symbol
+ (rect 400 1568 432 1600)
+ (text "GND" (rect 6 8 16 29)(font "Arial" (font_size 6))(vertical))
+ (text "inst57" (rect 0 2 12 31)(font "Arial" )(vertical)(invisible))
+ (port
+ (pt 32 16)
+ (output)
+ (text "1" (rect 18 0 23 12)(font "Courier New" (bold))(invisible))
+ (text "1" (rect 20 18 32 23)(font "Courier New" (bold))(vertical)(invisible))
+ (line (pt 24 16)(pt 32 16))
+ )
+ (drawing
+ (line (pt 24 8)(pt 16 16))
+ (line (pt 16 16)(pt 24 24))
+ (line (pt 24 8)(pt 24 24))
+ )
+ (rotate270)
+)
+(symbol
+ (rect 832 1640 896 1720)
+ (text "DFF" (rect 1 0 19 10)(font "Arial" (font_size 6)))
+ (text "inst58" (rect 3 68 32 80)(font "Arial" ))
+ (port
+ (pt 32 80)
+ (input)
+ (text "CLRN" (rect 21 59 44 71)(font "Courier New" (bold)))
+ (text "CLRN" (rect 21 58 44 70)(font "Courier New" (bold)))
+ (line (pt 32 80)(pt 32 76))
+ )
+ (port
+ (pt 0 40)
+ (input)
+ (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible))
+ (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible))
+ (line (pt 0 40)(pt 12 40))
+ )
+ (port
+ (pt 0 24)
+ (input)
+ (text "D" (rect 14 20 19 32)(font "Courier New" (bold)))
+ (text "D" (rect 14 20 19 32)(font "Courier New" (bold)))
+ (line (pt 0 24)(pt 12 24))
+ )
+ (port
+ (pt 32 0)
+ (input)
+ (text "PRN" (rect 24 13 41 25)(font "Courier New" (bold)))
+ (text "PRN" (rect 24 11 41 23)(font "Courier New" (bold)))
+ (line (pt 32 4)(pt 32 0))
+ )
+ (port
+ (pt 64 24)
+ (output)
+ (text "Q" (rect 45 20 50 32)(font "Courier New" (bold)))
+ (text "Q" (rect 43 20 48 32)(font "Courier New" (bold)))
+ (line (pt 52 24)(pt 64 24))
+ )
+ (drawing
+ (line (pt 12 12)(pt 52 12))
+ (line (pt 12 68)(pt 52 68))
+ (line (pt 52 68)(pt 52 12))
+ (line (pt 12 68)(pt 12 12))
+ (line (pt 19 40)(pt 12 47))
+ (line (pt 12 32)(pt 20 40))
+ (circle (rect 28 4 36 12))
+ (circle (rect 28 68 36 76))
+ )
+)
+(symbol
+ (rect 488 1640 584 1736)
+ (text "full_adder" (rect 5 0 61 14)(font "Arial" (font_size 8)))
+ (text "inst59" (rect 8 80 37 92)(font "Arial" ))
+ (port
+ (pt 0 32)
+ (input)
+ (text "X" (rect 0 0 8 14)(font "Arial" (font_size 8)))
+ (text "X" (rect 21 27 29 41)(font "Arial" (font_size 8)))
+ (line (pt 0 32)(pt 16 32))
+ )
+ (port
+ (pt 0 48)
+ (input)
+ (text "Y" (rect 0 0 9 14)(font "Arial" (font_size 8)))
+ (text "Y" (rect 21 43 30 57)(font "Arial" (font_size 8)))
+ (line (pt 0 48)(pt 16 48))
+ )
+ (port
+ (pt 0 64)
+ (input)
+ (text "Cin" (rect 0 0 17 14)(font "Arial" (font_size 8)))
+ (text "Cin" (rect 21 59 38 73)(font "Arial" (font_size 8)))
+ (line (pt 0 64)(pt 16 64))
+ )
+ (port
+ (pt 96 32)
+ (output)
+ (text "SUM" (rect 0 0 25 14)(font "Arial" (font_size 8)))
+ (text "SUM" (rect 50 27 75 41)(font "Arial" (font_size 8)))
+ (line (pt 96 32)(pt 80 32))
+ )
+ (port
+ (pt 96 48)
+ (output)
+ (text "Cout" (rect 0 0 25 14)(font "Arial" (font_size 8)))
+ (text "Cout" (rect 50 43 75 57)(font "Arial" (font_size 8)))
+ (line (pt 96 48)(pt 80 48))
+ )
+ (drawing
+ (rectangle (rect 16 16 80 80))
+ )
+)
+(symbol
+ (rect 712 1640 776 1688)
+ (text "AND2" (rect 1 0 25 10)(font "Arial" (font_size 6)))
+ (text "inst60" (rect 3 37 32 49)(font "Arial" ))
+ (port
+ (pt 0 16)
+ (input)
+ (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
+ (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
+ (line (pt 0 16)(pt 14 16))
+ )
+ (port
+ (pt 0 32)
+ (input)
+ (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible))
+ (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible))
+ (line (pt 0 32)(pt 14 32))
+ )
+ (port
+ (pt 64 24)
+ (output)
+ (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
+ (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
+ (line (pt 42 24)(pt 64 24))
+ )
+ (drawing
+ (line (pt 14 12)(pt 30 12))
+ (line (pt 14 37)(pt 31 37))
+ (line (pt 14 12)(pt 14 37))
+ (arc (pt 31 37)(pt 30 12)(rect 18 12 43 37))
+ )
+)
+(symbol
+ (rect 400 1688 432 1720)
+ (text "GND" (rect 6 8 16 29)(font "Arial" (font_size 6))(vertical))
+ (text "inst62" (rect 0 2 12 31)(font "Arial" )(vertical)(invisible))
+ (port
+ (pt 32 16)
+ (output)
+ (text "1" (rect 18 0 23 12)(font "Courier New" (bold))(invisible))
+ (text "1" (rect 20 18 32 23)(font "Courier New" (bold))(vertical)(invisible))
+ (line (pt 24 16)(pt 32 16))
+ )
+ (drawing
+ (line (pt 24 8)(pt 16 16))
+ (line (pt 16 16)(pt 24 24))
+ (line (pt 24 8)(pt 24 24))
+ )
+ (rotate270)
+)
+(symbol
+ (rect 832 1760 896 1840)
+ (text "DFF" (rect 1 0 19 10)(font "Arial" (font_size 6)))
+ (text "inst63" (rect 3 68 32 80)(font "Arial" ))
+ (port
+ (pt 32 80)
+ (input)
+ (text "CLRN" (rect 21 59 44 71)(font "Courier New" (bold)))
+ (text "CLRN" (rect 21 58 44 70)(font "Courier New" (bold)))
+ (line (pt 32 80)(pt 32 76))
+ )
+ (port
+ (pt 0 40)
+ (input)
+ (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible))
+ (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible))
+ (line (pt 0 40)(pt 12 40))
+ )
+ (port
+ (pt 0 24)
+ (input)
+ (text "D" (rect 14 20 19 32)(font "Courier New" (bold)))
+ (text "D" (rect 14 20 19 32)(font "Courier New" (bold)))
+ (line (pt 0 24)(pt 12 24))
+ )
+ (port
+ (pt 32 0)
+ (input)
+ (text "PRN" (rect 24 13 41 25)(font "Courier New" (bold)))
+ (text "PRN" (rect 24 11 41 23)(font "Courier New" (bold)))
+ (line (pt 32 4)(pt 32 0))
+ )
+ (port
+ (pt 64 24)
+ (output)
+ (text "Q" (rect 45 20 50 32)(font "Courier New" (bold)))
+ (text "Q" (rect 43 20 48 32)(font "Courier New" (bold)))
+ (line (pt 52 24)(pt 64 24))
+ )
+ (drawing
+ (line (pt 12 12)(pt 52 12))
+ (line (pt 12 68)(pt 52 68))
+ (line (pt 52 68)(pt 52 12))
+ (line (pt 12 68)(pt 12 12))
+ (line (pt 19 40)(pt 12 47))
+ (line (pt 12 32)(pt 20 40))
+ (circle (rect 28 4 36 12))
+ (circle (rect 28 68 36 76))
+ )
+)
+(symbol
+ (rect 488 1760 584 1856)
+ (text "full_adder" (rect 5 0 61 14)(font "Arial" (font_size 8)))
+ (text "inst37" (rect 8 80 37 92)(font "Arial" ))
+ (port
+ (pt 0 32)
+ (input)
+ (text "X" (rect 0 0 8 14)(font "Arial" (font_size 8)))
+ (text "X" (rect 21 27 29 41)(font "Arial" (font_size 8)))
+ (line (pt 0 32)(pt 16 32))
+ )
+ (port
+ (pt 0 48)
+ (input)
+ (text "Y" (rect 0 0 9 14)(font "Arial" (font_size 8)))
+ (text "Y" (rect 21 43 30 57)(font "Arial" (font_size 8)))
+ (line (pt 0 48)(pt 16 48))
+ )
+ (port
+ (pt 0 64)
+ (input)
+ (text "Cin" (rect 0 0 17 14)(font "Arial" (font_size 8)))
+ (text "Cin" (rect 21 59 38 73)(font "Arial" (font_size 8)))
+ (line (pt 0 64)(pt 16 64))
+ )
+ (port
+ (pt 96 32)
+ (output)
+ (text "SUM" (rect 0 0 25 14)(font "Arial" (font_size 8)))
+ (text "SUM" (rect 50 27 75 41)(font "Arial" (font_size 8)))
+ (line (pt 96 32)(pt 80 32))
+ )
+ (port
+ (pt 96 48)
+ (output)
+ (text "Cout" (rect 0 0 25 14)(font "Arial" (font_size 8)))
+ (text "Cout" (rect 50 43 75 57)(font "Arial" (font_size 8)))
+ (line (pt 96 48)(pt 80 48))
+ )
+ (drawing
+ (rectangle (rect 16 16 80 80))
+ )
+)
+(symbol
+ (rect 712 1760 776 1808)
+ (text "AND2" (rect 1 0 25 10)(font "Arial" (font_size 6)))
+ (text "inst64" (rect 3 37 32 49)(font "Arial" ))
+ (port
+ (pt 0 16)
+ (input)
+ (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
+ (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
+ (line (pt 0 16)(pt 14 16))
+ )
+ (port
+ (pt 0 32)
+ (input)
+ (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible))
+ (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible))
+ (line (pt 0 32)(pt 14 32))
+ )
+ (port
+ (pt 64 24)
+ (output)
+ (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
+ (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
+ (line (pt 42 24)(pt 64 24))
+ )
+ (drawing
+ (line (pt 14 12)(pt 30 12))
+ (line (pt 14 37)(pt 31 37))
+ (line (pt 14 12)(pt 14 37))
+ (arc (pt 31 37)(pt 30 12)(rect 18 12 43 37))
+ )
+)
+(symbol
+ (rect 400 1808 432 1840)
+ (text "GND" (rect 6 8 16 29)(font "Arial" (font_size 6))(vertical))
+ (text "inst66" (rect 0 2 12 31)(font "Arial" )(vertical)(invisible))
+ (port
+ (pt 32 16)
+ (output)
+ (text "1" (rect 18 0 23 12)(font "Courier New" (bold))(invisible))
+ (text "1" (rect 20 18 32 23)(font "Courier New" (bold))(vertical)(invisible))
+ (line (pt 24 16)(pt 32 16))
+ )
+ (drawing
+ (line (pt 24 8)(pt 16 16))
+ (line (pt 16 16)(pt 24 24))
+ (line (pt 24 8)(pt 24 24))
+ )
+ (rotate270)
+)
+(symbol
+ (rect 832 1880 896 1960)
+ (text "DFF" (rect 1 0 19 10)(font "Arial" (font_size 6)))
+ (text "inst67" (rect 3 68 32 80)(font "Arial" ))
+ (port
+ (pt 32 80)
+ (input)
+ (text "CLRN" (rect 21 59 44 71)(font "Courier New" (bold)))
+ (text "CLRN" (rect 21 58 44 70)(font "Courier New" (bold)))
+ (line (pt 32 80)(pt 32 76))
+ )
+ (port
+ (pt 0 40)
+ (input)
+ (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible))
+ (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible))
+ (line (pt 0 40)(pt 12 40))
+ )
+ (port
+ (pt 0 24)
+ (input)
+ (text "D" (rect 14 20 19 32)(font "Courier New" (bold)))
+ (text "D" (rect 14 20 19 32)(font "Courier New" (bold)))
+ (line (pt 0 24)(pt 12 24))
+ )
+ (port
+ (pt 32 0)
+ (input)
+ (text "PRN" (rect 24 13 41 25)(font "Courier New" (bold)))
+ (text "PRN" (rect 24 11 41 23)(font "Courier New" (bold)))
+ (line (pt 32 4)(pt 32 0))
+ )
+ (port
+ (pt 64 24)
+ (output)
+ (text "Q" (rect 45 20 50 32)(font "Courier New" (bold)))
+ (text "Q" (rect 43 20 48 32)(font "Courier New" (bold)))
+ (line (pt 52 24)(pt 64 24))
+ )
+ (drawing
+ (line (pt 12 12)(pt 52 12))
+ (line (pt 12 68)(pt 52 68))
+ (line (pt 52 68)(pt 52 12))
+ (line (pt 12 68)(pt 12 12))
+ (line (pt 19 40)(pt 12 47))
+ (line (pt 12 32)(pt 20 40))
+ (circle (rect 28 4 36 12))
+ (circle (rect 28 68 36 76))
+ )
+)
+(symbol
+ (rect 488 1880 584 1976)
+ (text "full_adder" (rect 5 0 61 14)(font "Arial" (font_size 8)))
+ (text "inst68" (rect 8 80 37 92)(font "Arial" ))
+ (port
+ (pt 0 32)
+ (input)
+ (text "X" (rect 0 0 8 14)(font "Arial" (font_size 8)))
+ (text "X" (rect 21 27 29 41)(font "Arial" (font_size 8)))
+ (line (pt 0 32)(pt 16 32))
+ )
+ (port
+ (pt 0 48)
+ (input)
+ (text "Y" (rect 0 0 9 14)(font "Arial" (font_size 8)))
+ (text "Y" (rect 21 43 30 57)(font "Arial" (font_size 8)))
+ (line (pt 0 48)(pt 16 48))
+ )
+ (port
+ (pt 0 64)
+ (input)
+ (text "Cin" (rect 0 0 17 14)(font "Arial" (font_size 8)))
+ (text "Cin" (rect 21 59 38 73)(font "Arial" (font_size 8)))
+ (line (pt 0 64)(pt 16 64))
+ )
+ (port
+ (pt 96 32)
+ (output)
+ (text "SUM" (rect 0 0 25 14)(font "Arial" (font_size 8)))
+ (text "SUM" (rect 50 27 75 41)(font "Arial" (font_size 8)))
+ (line (pt 96 32)(pt 80 32))
+ )
+ (port
+ (pt 96 48)
+ (output)
+ (text "Cout" (rect 0 0 25 14)(font "Arial" (font_size 8)))
+ (text "Cout" (rect 50 43 75 57)(font "Arial" (font_size 8)))
+ (line (pt 96 48)(pt 80 48))
+ )
+ (drawing
+ (rectangle (rect 16 16 80 80))
+ )
+)
+(symbol
+ (rect 712 1880 776 1928)
+ (text "AND2" (rect 1 0 25 10)(font "Arial" (font_size 6)))
+ (text "inst69" (rect 3 37 32 49)(font "Arial" ))
+ (port
+ (pt 0 16)
+ (input)
+ (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
+ (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
+ (line (pt 0 16)(pt 14 16))
+ )
+ (port
+ (pt 0 32)
+ (input)
+ (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible))
+ (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible))
+ (line (pt 0 32)(pt 14 32))
+ )
+ (port
+ (pt 64 24)
+ (output)
+ (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
+ (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
+ (line (pt 42 24)(pt 64 24))
+ )
+ (drawing
+ (line (pt 14 12)(pt 30 12))
+ (line (pt 14 37)(pt 31 37))
+ (line (pt 14 12)(pt 14 37))
+ (arc (pt 31 37)(pt 30 12)(rect 18 12 43 37))
+ )
+)
+(symbol
+ (rect 400 1928 432 1960)
+ (text "GND" (rect 6 8 16 29)(font "Arial" (font_size 6))(vertical))
+ (text "inst71" (rect 0 2 12 31)(font "Arial" )(vertical)(invisible))
+ (port
+ (pt 32 16)
+ (output)
+ (text "1" (rect 18 0 23 12)(font "Courier New" (bold))(invisible))
+ (text "1" (rect 20 18 32 23)(font "Courier New" (bold))(vertical)(invisible))
+ (line (pt 24 16)(pt 32 16))
+ )
+ (drawing
+ (line (pt 24 8)(pt 16 16))
+ (line (pt 16 16)(pt 24 24))
+ (line (pt 24 8)(pt 24 24))
+ )
+ (rotate270)
+)
+(symbol
+ (rect 832 2000 896 2080)
+ (text "DFF" (rect 1 0 19 10)(font "Arial" (font_size 6)))
+ (text "inst72" (rect 3 68 32 80)(font "Arial" ))
+ (port
+ (pt 32 80)
+ (input)
+ (text "CLRN" (rect 21 59 44 71)(font "Courier New" (bold)))
+ (text "CLRN" (rect 21 58 44 70)(font "Courier New" (bold)))
+ (line (pt 32 80)(pt 32 76))
+ )
+ (port
+ (pt 0 40)
+ (input)
+ (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible))
+ (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible))
+ (line (pt 0 40)(pt 12 40))
+ )
+ (port
+ (pt 0 24)
+ (input)
+ (text "D" (rect 14 20 19 32)(font "Courier New" (bold)))
+ (text "D" (rect 14 20 19 32)(font "Courier New" (bold)))
+ (line (pt 0 24)(pt 12 24))
+ )
+ (port
+ (pt 32 0)
+ (input)
+ (text "PRN" (rect 24 13 41 25)(font "Courier New" (bold)))
+ (text "PRN" (rect 24 11 41 23)(font "Courier New" (bold)))
+ (line (pt 32 4)(pt 32 0))
+ )
+ (port
+ (pt 64 24)
+ (output)
+ (text "Q" (rect 45 20 50 32)(font "Courier New" (bold)))
+ (text "Q" (rect 43 20 48 32)(font "Courier New" (bold)))
+ (line (pt 52 24)(pt 64 24))
+ )
+ (drawing
+ (line (pt 12 12)(pt 52 12))
+ (line (pt 12 68)(pt 52 68))
+ (line (pt 52 68)(pt 52 12))
+ (line (pt 12 68)(pt 12 12))
+ (line (pt 19 40)(pt 12 47))
+ (line (pt 12 32)(pt 20 40))
+ (circle (rect 28 4 36 12))
+ (circle (rect 28 68 36 76))
+ )
+)
+(symbol
+ (rect 488 2000 584 2096)
+ (text "full_adder" (rect 5 0 61 14)(font "Arial" (font_size 8)))
+ (text "inst73" (rect 8 80 37 92)(font "Arial" ))
+ (port
+ (pt 0 32)
+ (input)
+ (text "X" (rect 0 0 8 14)(font "Arial" (font_size 8)))
+ (text "X" (rect 21 27 29 41)(font "Arial" (font_size 8)))
+ (line (pt 0 32)(pt 16 32))
+ )
+ (port
+ (pt 0 48)
+ (input)
+ (text "Y" (rect 0 0 9 14)(font "Arial" (font_size 8)))
+ (text "Y" (rect 21 43 30 57)(font "Arial" (font_size 8)))
+ (line (pt 0 48)(pt 16 48))
+ )
+ (port
+ (pt 0 64)
+ (input)
+ (text "Cin" (rect 0 0 17 14)(font "Arial" (font_size 8)))
+ (text "Cin" (rect 21 59 38 73)(font "Arial" (font_size 8)))
+ (line (pt 0 64)(pt 16 64))
+ )
+ (port
+ (pt 96 32)
+ (output)
+ (text "SUM" (rect 0 0 25 14)(font "Arial" (font_size 8)))
+ (text "SUM" (rect 50 27 75 41)(font "Arial" (font_size 8)))
+ (line (pt 96 32)(pt 80 32))
+ )
+ (port
+ (pt 96 48)
+ (output)
+ (text "Cout" (rect 0 0 25 14)(font "Arial" (font_size 8)))
+ (text "Cout" (rect 50 43 75 57)(font "Arial" (font_size 8)))
+ (line (pt 96 48)(pt 80 48))
+ )
+ (drawing
+ (rectangle (rect 16 16 80 80))
+ )
+)
+(symbol
+ (rect 712 2000 776 2048)
+ (text "AND2" (rect 1 0 25 10)(font "Arial" (font_size 6)))
+ (text "inst74" (rect 3 37 32 49)(font "Arial" ))
+ (port
+ (pt 0 16)
+ (input)
+ (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
+ (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
+ (line (pt 0 16)(pt 14 16))
+ )
+ (port
+ (pt 0 32)
+ (input)
+ (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible))
+ (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible))
+ (line (pt 0 32)(pt 14 32))
+ )
+ (port
+ (pt 64 24)
+ (output)
+ (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
+ (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
+ (line (pt 42 24)(pt 64 24))
+ )
+ (drawing
+ (line (pt 14 12)(pt 30 12))
+ (line (pt 14 37)(pt 31 37))
+ (line (pt 14 12)(pt 14 37))
+ (arc (pt 31 37)(pt 30 12)(rect 18 12 43 37))
+ )
+)
+(symbol
+ (rect 400 2048 432 2080)
+ (text "GND" (rect 6 8 16 29)(font "Arial" (font_size 6))(vertical))
+ (text "inst76" (rect 0 2 12 31)(font "Arial" )(vertical)(invisible))
+ (port
+ (pt 32 16)
+ (output)
+ (text "1" (rect 18 0 23 12)(font "Courier New" (bold))(invisible))
+ (text "1" (rect 20 18 32 23)(font "Courier New" (bold))(vertical)(invisible))
+ (line (pt 24 16)(pt 32 16))
+ )
+ (drawing
+ (line (pt 24 8)(pt 16 16))
+ (line (pt 16 16)(pt 24 24))
+ (line (pt 24 8)(pt 24 24))
+ )
+ (rotate270)
+)
+(symbol
+ (rect 832 2120 896 2200)
+ (text "DFF" (rect 1 0 19 10)(font "Arial" (font_size 6)))
+ (text "inst77" (rect 3 68 32 80)(font "Arial" ))
+ (port
+ (pt 32 80)
+ (input)
+ (text "CLRN" (rect 21 59 44 71)(font "Courier New" (bold)))
+ (text "CLRN" (rect 21 58 44 70)(font "Courier New" (bold)))
+ (line (pt 32 80)(pt 32 76))
+ )
+ (port
+ (pt 0 40)
+ (input)
+ (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible))
+ (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible))
+ (line (pt 0 40)(pt 12 40))
+ )
+ (port
+ (pt 0 24)
+ (input)
+ (text "D" (rect 14 20 19 32)(font "Courier New" (bold)))
+ (text "D" (rect 14 20 19 32)(font "Courier New" (bold)))
+ (line (pt 0 24)(pt 12 24))
+ )
+ (port
+ (pt 32 0)
+ (input)
+ (text "PRN" (rect 24 13 41 25)(font "Courier New" (bold)))
+ (text "PRN" (rect 24 11 41 23)(font "Courier New" (bold)))
+ (line (pt 32 4)(pt 32 0))
+ )
+ (port
+ (pt 64 24)
+ (output)
+ (text "Q" (rect 45 20 50 32)(font "Courier New" (bold)))
+ (text "Q" (rect 43 20 48 32)(font "Courier New" (bold)))
+ (line (pt 52 24)(pt 64 24))
+ )
+ (drawing
+ (line (pt 12 12)(pt 52 12))
+ (line (pt 12 68)(pt 52 68))
+ (line (pt 52 68)(pt 52 12))
+ (line (pt 12 68)(pt 12 12))
+ (line (pt 19 40)(pt 12 47))
+ (line (pt 12 32)(pt 20 40))
+ (circle (rect 28 4 36 12))
+ (circle (rect 28 68 36 76))
+ )
+)
+(symbol
+ (rect 488 2120 584 2216)
+ (text "full_adder" (rect 5 0 61 14)(font "Arial" (font_size 8)))
+ (text "inst78" (rect 8 80 37 92)(font "Arial" ))
+ (port
+ (pt 0 32)
+ (input)
+ (text "X" (rect 0 0 8 14)(font "Arial" (font_size 8)))
+ (text "X" (rect 21 27 29 41)(font "Arial" (font_size 8)))
+ (line (pt 0 32)(pt 16 32))
+ )
+ (port
+ (pt 0 48)
+ (input)
+ (text "Y" (rect 0 0 9 14)(font "Arial" (font_size 8)))
+ (text "Y" (rect 21 43 30 57)(font "Arial" (font_size 8)))
+ (line (pt 0 48)(pt 16 48))
+ )
+ (port
+ (pt 0 64)
+ (input)
+ (text "Cin" (rect 0 0 17 14)(font "Arial" (font_size 8)))
+ (text "Cin" (rect 21 59 38 73)(font "Arial" (font_size 8)))
+ (line (pt 0 64)(pt 16 64))
+ )
+ (port
+ (pt 96 32)
+ (output)
+ (text "SUM" (rect 0 0 25 14)(font "Arial" (font_size 8)))
+ (text "SUM" (rect 50 27 75 41)(font "Arial" (font_size 8)))
+ (line (pt 96 32)(pt 80 32))
+ )
+ (port
+ (pt 96 48)
+ (output)
+ (text "Cout" (rect 0 0 25 14)(font "Arial" (font_size 8)))
+ (text "Cout" (rect 50 43 75 57)(font "Arial" (font_size 8)))
+ (line (pt 96 48)(pt 80 48))
+ )
+ (drawing
+ (rectangle (rect 16 16 80 80))
+ )
+)
+(symbol
+ (rect 712 2120 776 2168)
+ (text "AND2" (rect 1 0 25 10)(font "Arial" (font_size 6)))
+ (text "inst79" (rect 3 37 32 49)(font "Arial" ))
+ (port
+ (pt 0 16)
+ (input)
+ (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
+ (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
+ (line (pt 0 16)(pt 14 16))
+ )
+ (port
+ (pt 0 32)
+ (input)
+ (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible))
+ (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible))
+ (line (pt 0 32)(pt 14 32))
+ )
+ (port
+ (pt 64 24)
+ (output)
+ (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
+ (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
+ (line (pt 42 24)(pt 64 24))
+ )
+ (drawing
+ (line (pt 14 12)(pt 30 12))
+ (line (pt 14 37)(pt 31 37))
+ (line (pt 14 12)(pt 14 37))
+ (arc (pt 31 37)(pt 30 12)(rect 18 12 43 37))
+ )
+)
+(symbol
+ (rect 400 2168 432 2200)
+ (text "GND" (rect 6 8 16 29)(font "Arial" (font_size 6))(vertical))
+ (text "inst81" (rect 0 2 12 31)(font "Arial" )(vertical)(invisible))
+ (port
+ (pt 32 16)
+ (output)
+ (text "1" (rect 18 0 23 12)(font "Courier New" (bold))(invisible))
+ (text "1" (rect 20 18 32 23)(font "Courier New" (bold))(vertical)(invisible))
+ (line (pt 24 16)(pt 32 16))
+ )
+ (drawing
+ (line (pt 24 8)(pt 16 16))
+ (line (pt 16 16)(pt 24 24))
+ (line (pt 24 8)(pt 24 24))
+ )
+ (rotate270)
+)
+(symbol
+ (rect 832 2240 896 2320)
+ (text "DFF" (rect 1 0 19 10)(font "Arial" (font_size 6)))
+ (text "inst82" (rect 3 68 32 80)(font "Arial" ))
+ (port
+ (pt 32 80)
+ (input)
+ (text "CLRN" (rect 21 59 44 71)(font "Courier New" (bold)))
+ (text "CLRN" (rect 21 58 44 70)(font "Courier New" (bold)))
+ (line (pt 32 80)(pt 32 76))
+ )
+ (port
+ (pt 0 40)
+ (input)
+ (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible))
+ (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible))
+ (line (pt 0 40)(pt 12 40))
+ )
+ (port
+ (pt 0 24)
+ (input)
+ (text "D" (rect 14 20 19 32)(font "Courier New" (bold)))
+ (text "D" (rect 14 20 19 32)(font "Courier New" (bold)))
+ (line (pt 0 24)(pt 12 24))
+ )
+ (port
+ (pt 32 0)
+ (input)
+ (text "PRN" (rect 24 13 41 25)(font "Courier New" (bold)))
+ (text "PRN" (rect 24 11 41 23)(font "Courier New" (bold)))
+ (line (pt 32 4)(pt 32 0))
+ )
+ (port
+ (pt 64 24)
+ (output)
+ (text "Q" (rect 45 20 50 32)(font "Courier New" (bold)))
+ (text "Q" (rect 43 20 48 32)(font "Courier New" (bold)))
+ (line (pt 52 24)(pt 64 24))
+ )
+ (drawing
+ (line (pt 12 12)(pt 52 12))
+ (line (pt 12 68)(pt 52 68))
+ (line (pt 52 68)(pt 52 12))
+ (line (pt 12 68)(pt 12 12))
+ (line (pt 19 40)(pt 12 47))
+ (line (pt 12 32)(pt 20 40))
+ (circle (rect 28 4 36 12))
+ (circle (rect 28 68 36 76))
+ )
+)
+(symbol
+ (rect 488 2240 584 2336)
+ (text "full_adder" (rect 5 0 61 14)(font "Arial" (font_size 8)))
+ (text "inst83" (rect 8 80 37 92)(font "Arial" ))
+ (port
+ (pt 0 32)
+ (input)
+ (text "X" (rect 0 0 8 14)(font "Arial" (font_size 8)))
+ (text "X" (rect 21 27 29 41)(font "Arial" (font_size 8)))
+ (line (pt 0 32)(pt 16 32))
+ )
+ (port
+ (pt 0 48)
+ (input)
+ (text "Y" (rect 0 0 9 14)(font "Arial" (font_size 8)))
+ (text "Y" (rect 21 43 30 57)(font "Arial" (font_size 8)))
+ (line (pt 0 48)(pt 16 48))
+ )
+ (port
+ (pt 0 64)
+ (input)
+ (text "Cin" (rect 0 0 17 14)(font "Arial" (font_size 8)))
+ (text "Cin" (rect 21 59 38 73)(font "Arial" (font_size 8)))
+ (line (pt 0 64)(pt 16 64))
+ )
+ (port
+ (pt 96 32)
+ (output)
+ (text "SUM" (rect 0 0 25 14)(font "Arial" (font_size 8)))
+ (text "SUM" (rect 50 27 75 41)(font "Arial" (font_size 8)))
+ (line (pt 96 32)(pt 80 32))
+ )
+ (port
+ (pt 96 48)
+ (output)
+ (text "Cout" (rect 0 0 25 14)(font "Arial" (font_size 8)))
+ (text "Cout" (rect 50 43 75 57)(font "Arial" (font_size 8)))
+ (line (pt 96 48)(pt 80 48))
+ )
+ (drawing
+ (rectangle (rect 16 16 80 80))
+ )
+)
+(symbol
+ (rect 712 2240 776 2288)
+ (text "AND2" (rect 1 0 25 10)(font "Arial" (font_size 6)))
+ (text "inst84" (rect 3 37 32 49)(font "Arial" ))
+ (port
+ (pt 0 16)
+ (input)
+ (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
+ (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
+ (line (pt 0 16)(pt 14 16))
+ )
+ (port
+ (pt 0 32)
+ (input)
+ (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible))
+ (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible))
+ (line (pt 0 32)(pt 14 32))
+ )
+ (port
+ (pt 64 24)
+ (output)
+ (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
+ (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
+ (line (pt 42 24)(pt 64 24))
+ )
+ (drawing
+ (line (pt 14 12)(pt 30 12))
+ (line (pt 14 37)(pt 31 37))
+ (line (pt 14 12)(pt 14 37))
+ (arc (pt 31 37)(pt 30 12)(rect 18 12 43 37))
+ )
+)
+(symbol
+ (rect 400 2288 432 2320)
+ (text "GND" (rect 6 8 16 29)(font "Arial" (font_size 6))(vertical))
+ (text "inst86" (rect 0 2 12 31)(font "Arial" )(vertical)(invisible))
+ (port
+ (pt 32 16)
+ (output)
+ (text "1" (rect 18 0 23 12)(font "Courier New" (bold))(invisible))
+ (text "1" (rect 20 18 32 23)(font "Courier New" (bold))(vertical)(invisible))
+ (line (pt 24 16)(pt 32 16))
+ )
+ (drawing
+ (line (pt 24 8)(pt 16 16))
+ (line (pt 16 16)(pt 24 24))
+ (line (pt 24 8)(pt 24 24))
+ )
+ (rotate270)
+)
+(symbol
+ (rect 832 2360 896 2440)
+ (text "DFF" (rect 1 0 19 10)(font "Arial" (font_size 6)))
+ (text "inst87" (rect 3 68 32 80)(font "Arial" ))
+ (port
+ (pt 32 80)
+ (input)
+ (text "CLRN" (rect 21 59 44 71)(font "Courier New" (bold)))
+ (text "CLRN" (rect 21 58 44 70)(font "Courier New" (bold)))
+ (line (pt 32 80)(pt 32 76))
+ )
+ (port
+ (pt 0 40)
+ (input)
+ (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible))
+ (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible))
+ (line (pt 0 40)(pt 12 40))
+ )
+ (port
+ (pt 0 24)
+ (input)
+ (text "D" (rect 14 20 19 32)(font "Courier New" (bold)))
+ (text "D" (rect 14 20 19 32)(font "Courier New" (bold)))
+ (line (pt 0 24)(pt 12 24))
+ )
+ (port
+ (pt 32 0)
+ (input)
+ (text "PRN" (rect 24 13 41 25)(font "Courier New" (bold)))
+ (text "PRN" (rect 24 11 41 23)(font "Courier New" (bold)))
+ (line (pt 32 4)(pt 32 0))
+ )
+ (port
+ (pt 64 24)
+ (output)
+ (text "Q" (rect 45 20 50 32)(font "Courier New" (bold)))
+ (text "Q" (rect 43 20 48 32)(font "Courier New" (bold)))
+ (line (pt 52 24)(pt 64 24))
+ )
+ (drawing
+ (line (pt 12 12)(pt 52 12))
+ (line (pt 12 68)(pt 52 68))
+ (line (pt 52 68)(pt 52 12))
+ (line (pt 12 68)(pt 12 12))
+ (line (pt 19 40)(pt 12 47))
+ (line (pt 12 32)(pt 20 40))
+ (circle (rect 28 4 36 12))
+ (circle (rect 28 68 36 76))
+ )
+)
+(symbol
+ (rect 488 2360 584 2456)
+ (text "full_adder" (rect 5 0 61 14)(font "Arial" (font_size 8)))
+ (text "inst88" (rect 8 80 37 92)(font "Arial" ))
+ (port
+ (pt 0 32)
+ (input)
+ (text "X" (rect 0 0 8 14)(font "Arial" (font_size 8)))
+ (text "X" (rect 21 27 29 41)(font "Arial" (font_size 8)))
+ (line (pt 0 32)(pt 16 32))
+ )
+ (port
+ (pt 0 48)
+ (input)
+ (text "Y" (rect 0 0 9 14)(font "Arial" (font_size 8)))
+ (text "Y" (rect 21 43 30 57)(font "Arial" (font_size 8)))
+ (line (pt 0 48)(pt 16 48))
+ )
+ (port
+ (pt 0 64)
+ (input)
+ (text "Cin" (rect 0 0 17 14)(font "Arial" (font_size 8)))
+ (text "Cin" (rect 21 59 38 73)(font "Arial" (font_size 8)))
+ (line (pt 0 64)(pt 16 64))
+ )
+ (port
+ (pt 96 32)
+ (output)
+ (text "SUM" (rect 0 0 25 14)(font "Arial" (font_size 8)))
+ (text "SUM" (rect 50 27 75 41)(font "Arial" (font_size 8)))
+ (line (pt 96 32)(pt 80 32))
+ )
+ (port
+ (pt 96 48)
+ (output)
+ (text "Cout" (rect 0 0 25 14)(font "Arial" (font_size 8)))
+ (text "Cout" (rect 50 43 75 57)(font "Arial" (font_size 8)))
+ (line (pt 96 48)(pt 80 48))
+ )
+ (drawing
+ (rectangle (rect 16 16 80 80))
+ )
+)
+(symbol
+ (rect 712 2360 776 2408)
+ (text "AND2" (rect 1 0 25 10)(font "Arial" (font_size 6)))
+ (text "inst89" (rect 3 37 32 49)(font "Arial" ))
+ (port
+ (pt 0 16)
+ (input)
+ (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
+ (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
+ (line (pt 0 16)(pt 14 16))
+ )
+ (port
+ (pt 0 32)
+ (input)
+ (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible))
+ (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible))
+ (line (pt 0 32)(pt 14 32))
+ )
+ (port
+ (pt 64 24)
+ (output)
+ (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
+ (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
+ (line (pt 42 24)(pt 64 24))
+ )
+ (drawing
+ (line (pt 14 12)(pt 30 12))
+ (line (pt 14 37)(pt 31 37))
+ (line (pt 14 12)(pt 14 37))
+ (arc (pt 31 37)(pt 30 12)(rect 18 12 43 37))
+ )
+)
+(symbol
+ (rect 400 2408 432 2440)
+ (text "GND" (rect 6 8 16 29)(font "Arial" (font_size 6))(vertical))
+ (text "inst91" (rect 0 2 12 31)(font "Arial" )(vertical)(invisible))
+ (port
+ (pt 32 16)
+ (output)
+ (text "1" (rect 18 0 23 12)(font "Courier New" (bold))(invisible))
+ (text "1" (rect 20 18 32 23)(font "Courier New" (bold))(vertical)(invisible))
+ (line (pt 24 16)(pt 32 16))
+ )
+ (drawing
+ (line (pt 24 8)(pt 16 16))
+ (line (pt 16 16)(pt 24 24))
+ (line (pt 24 8)(pt 24 24))
+ )
+ (rotate270)
+)
+(symbol
+ (rect 832 2480 896 2560)
+ (text "DFF" (rect 1 0 19 10)(font "Arial" (font_size 6)))
+ (text "inst92" (rect 3 68 32 80)(font "Arial" ))
+ (port
+ (pt 32 80)
+ (input)
+ (text "CLRN" (rect 21 59 44 71)(font "Courier New" (bold)))
+ (text "CLRN" (rect 21 58 44 70)(font "Courier New" (bold)))
+ (line (pt 32 80)(pt 32 76))
+ )
+ (port
+ (pt 0 40)
+ (input)
+ (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible))
+ (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible))
+ (line (pt 0 40)(pt 12 40))
+ )
+ (port
+ (pt 0 24)
+ (input)
+ (text "D" (rect 14 20 19 32)(font "Courier New" (bold)))
+ (text "D" (rect 14 20 19 32)(font "Courier New" (bold)))
+ (line (pt 0 24)(pt 12 24))
+ )
+ (port
+ (pt 32 0)
+ (input)
+ (text "PRN" (rect 24 13 41 25)(font "Courier New" (bold)))
+ (text "PRN" (rect 24 11 41 23)(font "Courier New" (bold)))
+ (line (pt 32 4)(pt 32 0))
+ )
+ (port
+ (pt 64 24)
+ (output)
+ (text "Q" (rect 45 20 50 32)(font "Courier New" (bold)))
+ (text "Q" (rect 43 20 48 32)(font "Courier New" (bold)))
+ (line (pt 52 24)(pt 64 24))
+ )
+ (drawing
+ (line (pt 12 12)(pt 52 12))
+ (line (pt 12 68)(pt 52 68))
+ (line (pt 52 68)(pt 52 12))
+ (line (pt 12 68)(pt 12 12))
+ (line (pt 19 40)(pt 12 47))
+ (line (pt 12 32)(pt 20 40))
+ (circle (rect 28 4 36 12))
+ (circle (rect 28 68 36 76))
+ )
+)
+(symbol
+ (rect 488 2480 584 2576)
+ (text "full_adder" (rect 5 0 61 14)(font "Arial" (font_size 8)))
+ (text "inst93" (rect 8 80 37 92)(font "Arial" ))
+ (port
+ (pt 0 32)
+ (input)
+ (text "X" (rect 0 0 8 14)(font "Arial" (font_size 8)))
+ (text "X" (rect 21 27 29 41)(font "Arial" (font_size 8)))
+ (line (pt 0 32)(pt 16 32))
+ )
+ (port
+ (pt 0 48)
+ (input)
+ (text "Y" (rect 0 0 9 14)(font "Arial" (font_size 8)))
+ (text "Y" (rect 21 43 30 57)(font "Arial" (font_size 8)))
+ (line (pt 0 48)(pt 16 48))
+ )
+ (port
+ (pt 0 64)
+ (input)
+ (text "Cin" (rect 0 0 17 14)(font "Arial" (font_size 8)))
+ (text "Cin" (rect 21 59 38 73)(font "Arial" (font_size 8)))
+ (line (pt 0 64)(pt 16 64))
+ )
+ (port
+ (pt 96 32)
+ (output)
+ (text "SUM" (rect 0 0 25 14)(font "Arial" (font_size 8)))
+ (text "SUM" (rect 50 27 75 41)(font "Arial" (font_size 8)))
+ (line (pt 96 32)(pt 80 32))
+ )
+ (port
+ (pt 96 48)
+ (output)
+ (text "Cout" (rect 0 0 25 14)(font "Arial" (font_size 8)))
+ (text "Cout" (rect 50 43 75 57)(font "Arial" (font_size 8)))
+ (line (pt 96 48)(pt 80 48))
+ )
+ (drawing
+ (rectangle (rect 16 16 80 80))
+ )
+)
+(symbol
+ (rect 712 2480 776 2528)
+ (text "AND2" (rect 1 0 25 10)(font "Arial" (font_size 6)))
+ (text "inst94" (rect 3 37 32 49)(font "Arial" ))
+ (port
+ (pt 0 16)
+ (input)
+ (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
+ (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
+ (line (pt 0 16)(pt 14 16))
+ )
+ (port
+ (pt 0 32)
+ (input)
+ (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible))
+ (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible))
+ (line (pt 0 32)(pt 14 32))
+ )
+ (port
+ (pt 64 24)
+ (output)
+ (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
+ (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
+ (line (pt 42 24)(pt 64 24))
+ )
+ (drawing
+ (line (pt 14 12)(pt 30 12))
+ (line (pt 14 37)(pt 31 37))
+ (line (pt 14 12)(pt 14 37))
+ (arc (pt 31 37)(pt 30 12)(rect 18 12 43 37))
+ )
+)
+(symbol
+ (rect 400 2528 432 2560)
+ (text "GND" (rect 6 8 16 29)(font "Arial" (font_size 6))(vertical))
+ (text "inst96" (rect 0 2 12 31)(font "Arial" )(vertical)(invisible))
+ (port
+ (pt 32 16)
+ (output)
+ (text "1" (rect 18 0 23 12)(font "Courier New" (bold))(invisible))
+ (text "1" (rect 20 18 32 23)(font "Courier New" (bold))(vertical)(invisible))
+ (line (pt 24 16)(pt 32 16))
+ )
+ (drawing
+ (line (pt 24 8)(pt 16 16))
+ (line (pt 16 16)(pt 24 24))
+ (line (pt 24 8)(pt 24 24))
+ )
+ (rotate270)
+)
+(symbol
+ (rect 832 2600 896 2680)
+ (text "DFF" (rect 1 0 19 10)(font "Arial" (font_size 6)))
+ (text "inst97" (rect 3 68 32 80)(font "Arial" ))
+ (port
+ (pt 32 80)
+ (input)
+ (text "CLRN" (rect 21 59 44 71)(font "Courier New" (bold)))
+ (text "CLRN" (rect 21 58 44 70)(font "Courier New" (bold)))
+ (line (pt 32 80)(pt 32 76))
+ )
+ (port
+ (pt 0 40)
+ (input)
+ (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible))
+ (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible))
+ (line (pt 0 40)(pt 12 40))
+ )
+ (port
+ (pt 0 24)
+ (input)
+ (text "D" (rect 14 20 19 32)(font "Courier New" (bold)))
+ (text "D" (rect 14 20 19 32)(font "Courier New" (bold)))
+ (line (pt 0 24)(pt 12 24))
+ )
+ (port
+ (pt 32 0)
+ (input)
+ (text "PRN" (rect 24 13 41 25)(font "Courier New" (bold)))
+ (text "PRN" (rect 24 11 41 23)(font "Courier New" (bold)))
+ (line (pt 32 4)(pt 32 0))
+ )
+ (port
+ (pt 64 24)
+ (output)
+ (text "Q" (rect 45 20 50 32)(font "Courier New" (bold)))
+ (text "Q" (rect 43 20 48 32)(font "Courier New" (bold)))
+ (line (pt 52 24)(pt 64 24))
+ )
+ (drawing
+ (line (pt 12 12)(pt 52 12))
+ (line (pt 12 68)(pt 52 68))
+ (line (pt 52 68)(pt 52 12))
+ (line (pt 12 68)(pt 12 12))
+ (line (pt 19 40)(pt 12 47))
+ (line (pt 12 32)(pt 20 40))
+ (circle (rect 28 4 36 12))
+ (circle (rect 28 68 36 76))
+ )
+)
+(symbol
+ (rect 488 2600 584 2696)
+ (text "full_adder" (rect 5 0 61 14)(font "Arial" (font_size 8)))
+ (text "inst98" (rect 8 80 37 92)(font "Arial" ))
+ (port
+ (pt 0 32)
+ (input)
+ (text "X" (rect 0 0 8 14)(font "Arial" (font_size 8)))
+ (text "X" (rect 21 27 29 41)(font "Arial" (font_size 8)))
+ (line (pt 0 32)(pt 16 32))
+ )
+ (port
+ (pt 0 48)
+ (input)
+ (text "Y" (rect 0 0 9 14)(font "Arial" (font_size 8)))
+ (text "Y" (rect 21 43 30 57)(font "Arial" (font_size 8)))
+ (line (pt 0 48)(pt 16 48))
+ )
+ (port
+ (pt 0 64)
+ (input)
+ (text "Cin" (rect 0 0 17 14)(font "Arial" (font_size 8)))
+ (text "Cin" (rect 21 59 38 73)(font "Arial" (font_size 8)))
+ (line (pt 0 64)(pt 16 64))
+ )
+ (port
+ (pt 96 32)
+ (output)
+ (text "SUM" (rect 0 0 25 14)(font "Arial" (font_size 8)))
+ (text "SUM" (rect 50 27 75 41)(font "Arial" (font_size 8)))
+ (line (pt 96 32)(pt 80 32))
+ )
+ (port
+ (pt 96 48)
+ (output)
+ (text "Cout" (rect 0 0 25 14)(font "Arial" (font_size 8)))
+ (text "Cout" (rect 50 43 75 57)(font "Arial" (font_size 8)))
+ (line (pt 96 48)(pt 80 48))
+ )
+ (drawing
+ (rectangle (rect 16 16 80 80))
+ )
+)
+(symbol
+ (rect 712 2600 776 2648)
+ (text "AND2" (rect 1 0 25 10)(font "Arial" (font_size 6)))
+ (text "inst99" (rect 3 37 32 49)(font "Arial" ))
+ (port
+ (pt 0 16)
+ (input)
+ (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
+ (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
+ (line (pt 0 16)(pt 14 16))
+ )
+ (port
+ (pt 0 32)
+ (input)
+ (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible))
+ (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible))
+ (line (pt 0 32)(pt 14 32))
+ )
+ (port
+ (pt 64 24)
+ (output)
+ (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
+ (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
+ (line (pt 42 24)(pt 64 24))
+ )
+ (drawing
+ (line (pt 14 12)(pt 30 12))
+ (line (pt 14 37)(pt 31 37))
+ (line (pt 14 12)(pt 14 37))
+ (arc (pt 31 37)(pt 30 12)(rect 18 12 43 37))
+ )
+)
+(symbol
+ (rect 400 2648 432 2680)
+ (text "GND" (rect 6 8 16 29)(font "Arial" (font_size 6))(vertical))
+ (text "inst101" (rect 0 2 12 37)(font "Arial" )(vertical)(invisible))
+ (port
+ (pt 32 16)
+ (output)
+ (text "1" (rect 18 0 23 12)(font "Courier New" (bold))(invisible))
+ (text "1" (rect 20 18 32 23)(font "Courier New" (bold))(vertical)(invisible))
+ (line (pt 24 16)(pt 32 16))
+ )
+ (drawing
+ (line (pt 24 8)(pt 16 16))
+ (line (pt 16 16)(pt 24 24))
+ (line (pt 24 8)(pt 24 24))
+ )
+ (rotate270)
+)
+(symbol
+ (rect 832 2720 896 2800)
+ (text "DFF" (rect 1 0 19 10)(font "Arial" (font_size 6)))
+ (text "inst102" (rect 3 68 38 80)(font "Arial" ))
+ (port
+ (pt 32 80)
+ (input)
+ (text "CLRN" (rect 21 59 44 71)(font "Courier New" (bold)))
+ (text "CLRN" (rect 21 58 44 70)(font "Courier New" (bold)))
+ (line (pt 32 80)(pt 32 76))
+ )
+ (port
+ (pt 0 40)
+ (input)
+ (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible))
+ (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible))
+ (line (pt 0 40)(pt 12 40))
+ )
+ (port
+ (pt 0 24)
+ (input)
+ (text "D" (rect 14 20 19 32)(font "Courier New" (bold)))
+ (text "D" (rect 14 20 19 32)(font "Courier New" (bold)))
+ (line (pt 0 24)(pt 12 24))
+ )
+ (port
+ (pt 32 0)
+ (input)
+ (text "PRN" (rect 24 13 41 25)(font "Courier New" (bold)))
+ (text "PRN" (rect 24 11 41 23)(font "Courier New" (bold)))
+ (line (pt 32 4)(pt 32 0))
+ )
+ (port
+ (pt 64 24)
+ (output)
+ (text "Q" (rect 45 20 50 32)(font "Courier New" (bold)))
+ (text "Q" (rect 43 20 48 32)(font "Courier New" (bold)))
+ (line (pt 52 24)(pt 64 24))
+ )
+ (drawing
+ (line (pt 12 12)(pt 52 12))
+ (line (pt 12 68)(pt 52 68))
+ (line (pt 52 68)(pt 52 12))
+ (line (pt 12 68)(pt 12 12))
+ (line (pt 19 40)(pt 12 47))
+ (line (pt 12 32)(pt 20 40))
+ (circle (rect 28 4 36 12))
+ (circle (rect 28 68 36 76))
+ )
+)
+(symbol
+ (rect 488 2720 584 2816)
+ (text "full_adder" (rect 5 0 61 14)(font "Arial" (font_size 8)))
+ (text "inst103" (rect 8 80 43 92)(font "Arial" ))
+ (port
+ (pt 0 32)
+ (input)
+ (text "X" (rect 0 0 8 14)(font "Arial" (font_size 8)))
+ (text "X" (rect 21 27 29 41)(font "Arial" (font_size 8)))
+ (line (pt 0 32)(pt 16 32))
+ )
+ (port
+ (pt 0 48)
+ (input)
+ (text "Y" (rect 0 0 9 14)(font "Arial" (font_size 8)))
+ (text "Y" (rect 21 43 30 57)(font "Arial" (font_size 8)))
+ (line (pt 0 48)(pt 16 48))
+ )
+ (port
+ (pt 0 64)
+ (input)
+ (text "Cin" (rect 0 0 17 14)(font "Arial" (font_size 8)))
+ (text "Cin" (rect 21 59 38 73)(font "Arial" (font_size 8)))
+ (line (pt 0 64)(pt 16 64))
+ )
+ (port
+ (pt 96 32)
+ (output)
+ (text "SUM" (rect 0 0 25 14)(font "Arial" (font_size 8)))
+ (text "SUM" (rect 50 27 75 41)(font "Arial" (font_size 8)))
+ (line (pt 96 32)(pt 80 32))
+ )
+ (port
+ (pt 96 48)
+ (output)
+ (text "Cout" (rect 0 0 25 14)(font "Arial" (font_size 8)))
+ (text "Cout" (rect 50 43 75 57)(font "Arial" (font_size 8)))
+ (line (pt 96 48)(pt 80 48))
+ )
+ (drawing
+ (rectangle (rect 16 16 80 80))
+ )
+)
+(symbol
+ (rect 712 2720 776 2768)
+ (text "AND2" (rect 1 0 25 10)(font "Arial" (font_size 6)))
+ (text "inst104" (rect 3 37 38 49)(font "Arial" ))
+ (port
+ (pt 0 16)
+ (input)
+ (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
+ (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
+ (line (pt 0 16)(pt 14 16))
+ )
+ (port
+ (pt 0 32)
+ (input)
+ (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible))
+ (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible))
+ (line (pt 0 32)(pt 14 32))
+ )
+ (port
+ (pt 64 24)
+ (output)
+ (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
+ (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
+ (line (pt 42 24)(pt 64 24))
+ )
+ (drawing
+ (line (pt 14 12)(pt 30 12))
+ (line (pt 14 37)(pt 31 37))
+ (line (pt 14 12)(pt 14 37))
+ (arc (pt 31 37)(pt 30 12)(rect 18 12 43 37))
+ )
+)
+(symbol
+ (rect 400 2768 432 2800)
+ (text "GND" (rect 6 8 16 29)(font "Arial" (font_size 6))(vertical))
+ (text "inst106" (rect 0 2 12 37)(font "Arial" )(vertical)(invisible))
+ (port
+ (pt 32 16)
+ (output)
+ (text "1" (rect 18 0 23 12)(font "Courier New" (bold))(invisible))
+ (text "1" (rect 20 18 32 23)(font "Courier New" (bold))(vertical)(invisible))
+ (line (pt 24 16)(pt 32 16))
+ )
+ (drawing
+ (line (pt 24 8)(pt 16 16))
+ (line (pt 16 16)(pt 24 24))
+ (line (pt 24 8)(pt 24 24))
+ )
+ (rotate270)
+)
+(symbol
+ (rect 832 2840 896 2920)
+ (text "DFF" (rect 1 0 19 10)(font "Arial" (font_size 6)))
+ (text "inst107" (rect 3 68 38 80)(font "Arial" ))
+ (port
+ (pt 32 80)
+ (input)
+ (text "CLRN" (rect 21 59 44 71)(font "Courier New" (bold)))
+ (text "CLRN" (rect 21 58 44 70)(font "Courier New" (bold)))
+ (line (pt 32 80)(pt 32 76))
+ )
+ (port
+ (pt 0 40)
+ (input)
+ (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible))
+ (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible))
+ (line (pt 0 40)(pt 12 40))
+ )
+ (port
+ (pt 0 24)
+ (input)
+ (text "D" (rect 14 20 19 32)(font "Courier New" (bold)))
+ (text "D" (rect 14 20 19 32)(font "Courier New" (bold)))
+ (line (pt 0 24)(pt 12 24))
+ )
+ (port
+ (pt 32 0)
+ (input)
+ (text "PRN" (rect 24 13 41 25)(font "Courier New" (bold)))
+ (text "PRN" (rect 24 11 41 23)(font "Courier New" (bold)))
+ (line (pt 32 4)(pt 32 0))
+ )
+ (port
+ (pt 64 24)
+ (output)
+ (text "Q" (rect 45 20 50 32)(font "Courier New" (bold)))
+ (text "Q" (rect 43 20 48 32)(font "Courier New" (bold)))
+ (line (pt 52 24)(pt 64 24))
+ )
+ (drawing
+ (line (pt 12 12)(pt 52 12))
+ (line (pt 12 68)(pt 52 68))
+ (line (pt 52 68)(pt 52 12))
+ (line (pt 12 68)(pt 12 12))
+ (line (pt 19 40)(pt 12 47))
+ (line (pt 12 32)(pt 20 40))
+ (circle (rect 28 4 36 12))
+ (circle (rect 28 68 36 76))
+ )
+)
+(symbol
+ (rect 488 2840 584 2936)
+ (text "full_adder" (rect 5 0 61 14)(font "Arial" (font_size 8)))
+ (text "inst108" (rect 8 80 43 92)(font "Arial" ))
+ (port
+ (pt 0 32)
+ (input)
+ (text "X" (rect 0 0 8 14)(font "Arial" (font_size 8)))
+ (text "X" (rect 21 27 29 41)(font "Arial" (font_size 8)))
+ (line (pt 0 32)(pt 16 32))
+ )
+ (port
+ (pt 0 48)
+ (input)
+ (text "Y" (rect 0 0 9 14)(font "Arial" (font_size 8)))
+ (text "Y" (rect 21 43 30 57)(font "Arial" (font_size 8)))
+ (line (pt 0 48)(pt 16 48))
+ )
+ (port
+ (pt 0 64)
+ (input)
+ (text "Cin" (rect 0 0 17 14)(font "Arial" (font_size 8)))
+ (text "Cin" (rect 21 59 38 73)(font "Arial" (font_size 8)))
+ (line (pt 0 64)(pt 16 64))
+ )
+ (port
+ (pt 96 32)
+ (output)
+ (text "SUM" (rect 0 0 25 14)(font "Arial" (font_size 8)))
+ (text "SUM" (rect 50 27 75 41)(font "Arial" (font_size 8)))
+ (line (pt 96 32)(pt 80 32))
+ )
+ (port
+ (pt 96 48)
+ (output)
+ (text "Cout" (rect 0 0 25 14)(font "Arial" (font_size 8)))
+ (text "Cout" (rect 50 43 75 57)(font "Arial" (font_size 8)))
+ (line (pt 96 48)(pt 80 48))
+ )
+ (drawing
+ (rectangle (rect 16 16 80 80))
+ )
+)
+(symbol
+ (rect 712 2840 776 2888)
+ (text "AND2" (rect 1 0 25 10)(font "Arial" (font_size 6)))
+ (text "inst109" (rect 3 37 38 49)(font "Arial" ))
+ (port
+ (pt 0 16)
+ (input)
+ (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
+ (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
+ (line (pt 0 16)(pt 14 16))
+ )
+ (port
+ (pt 0 32)
+ (input)
+ (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible))
+ (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible))
+ (line (pt 0 32)(pt 14 32))
+ )
+ (port
+ (pt 64 24)
+ (output)
+ (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
+ (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
+ (line (pt 42 24)(pt 64 24))
+ )
+ (drawing
+ (line (pt 14 12)(pt 30 12))
+ (line (pt 14 37)(pt 31 37))
+ (line (pt 14 12)(pt 14 37))
+ (arc (pt 31 37)(pt 30 12)(rect 18 12 43 37))
+ )
+)
+(symbol
+ (rect 400 2888 432 2920)
+ (text "GND" (rect 6 8 16 29)(font "Arial" (font_size 6))(vertical))
+ (text "inst111" (rect 0 2 12 37)(font "Arial" )(vertical)(invisible))
+ (port
+ (pt 32 16)
+ (output)
+ (text "1" (rect 18 0 23 12)(font "Courier New" (bold))(invisible))
+ (text "1" (rect 20 18 32 23)(font "Courier New" (bold))(vertical)(invisible))
+ (line (pt 24 16)(pt 32 16))
+ )
+ (drawing
+ (line (pt 24 8)(pt 16 16))
+ (line (pt 16 16)(pt 24 24))
+ (line (pt 24 8)(pt 24 24))
+ )
+ (rotate270)
+)
+(symbol
+ (rect 920 2368 968 2400)
+ (text "NOT" (rect 1 0 21 10)(font "Arial" (font_size 6)))
+ (text "inst116" (rect 3 21 38 33)(font "Arial" ))
+ (port
+ (pt 0 16)
+ (input)
+ (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible))
+ (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible))
+ (line (pt 0 16)(pt 13 16))
+ )
+ (port
+ (pt 48 16)
+ (output)
+ (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible))
+ (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible))
+ (line (pt 39 16)(pt 48 16))
+ )
+ (drawing
+ (line (pt 13 25)(pt 13 7))
+ (line (pt 13 7)(pt 31 16))
+ (line (pt 13 25)(pt 31 16))
+ (circle (rect 31 12 39 20))
+ )
+)
+(symbol
+ (rect 920 2128 968 2160)
+ (text "NOT" (rect 1 0 21 10)(font "Arial" (font_size 6)))
+ (text "inst118" (rect 3 21 38 33)(font "Arial" ))
+ (port
+ (pt 0 16)
+ (input)
+ (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible))
+ (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible))
+ (line (pt 0 16)(pt 13 16))
+ )
+ (port
+ (pt 48 16)
+ (output)
+ (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible))
+ (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible))
+ (line (pt 39 16)(pt 48 16))
+ )
+ (drawing
+ (line (pt 13 25)(pt 13 7))
+ (line (pt 13 7)(pt 31 16))
+ (line (pt 13 25)(pt 31 16))
+ (circle (rect 31 12 39 20))
+ )
+)
+(symbol
+ (rect 920 2008 968 2040)
+ (text "NOT" (rect 1 0 21 10)(font "Arial" (font_size 6)))
+ (text "inst119" (rect 3 21 38 33)(font "Arial" ))
+ (port
+ (pt 0 16)
+ (input)
+ (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible))
+ (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible))
+ (line (pt 0 16)(pt 13 16))
+ )
+ (port
+ (pt 48 16)
+ (output)
+ (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible))
+ (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible))
+ (line (pt 39 16)(pt 48 16))
+ )
+ (drawing
+ (line (pt 13 25)(pt 13 7))
+ (line (pt 13 7)(pt 31 16))
+ (line (pt 13 25)(pt 31 16))
+ (circle (rect 31 12 39 20))
+ )
+)
+(symbol
+ (rect 920 1528 968 1560)
+ (text "NOT" (rect 1 0 21 10)(font "Arial" (font_size 6)))
+ (text "inst120" (rect 3 21 38 33)(font "Arial" ))
+ (port
+ (pt 0 16)
+ (input)
+ (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible))
+ (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible))
+ (line (pt 0 16)(pt 13 16))
+ )
+ (port
+ (pt 48 16)
+ (output)
+ (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible))
+ (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible))
+ (line (pt 39 16)(pt 48 16))
+ )
+ (drawing
+ (line (pt 13 25)(pt 13 7))
+ (line (pt 13 7)(pt 31 16))
+ (line (pt 13 25)(pt 31 16))
+ (circle (rect 31 12 39 20))
+ )
+)
+(symbol
+ (rect 920 1408 968 1440)
+ (text "NOT" (rect 1 0 21 10)(font "Arial" (font_size 6)))
+ (text "inst121" (rect 3 21 38 33)(font "Arial" ))
+ (port
+ (pt 0 16)
+ (input)
+ (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible))
+ (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible))
+ (line (pt 0 16)(pt 13 16))
+ )
+ (port
+ (pt 48 16)
+ (output)
+ (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible))
+ (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible))
+ (line (pt 39 16)(pt 48 16))
+ )
+ (drawing
+ (line (pt 13 25)(pt 13 7))
+ (line (pt 13 7)(pt 31 16))
+ (line (pt 13 25)(pt 31 16))
+ (circle (rect 31 12 39 20))
+ )
+)
+(symbol
+ (rect 920 1288 968 1320)
+ (text "NOT" (rect 1 0 21 10)(font "Arial" (font_size 6)))
+ (text "inst122" (rect 3 21 38 33)(font "Arial" ))
+ (port
+ (pt 0 16)
+ (input)
+ (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible))
+ (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible))
+ (line (pt 0 16)(pt 13 16))
+ )
+ (port
+ (pt 48 16)
+ (output)
+ (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible))
+ (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible))
+ (line (pt 39 16)(pt 48 16))
+ )
+ (drawing
+ (line (pt 13 25)(pt 13 7))
+ (line (pt 13 7)(pt 31 16))
+ (line (pt 13 25)(pt 31 16))
+ (circle (rect 31 12 39 20))
+ )
+)
+(symbol
+ (rect 920 1888 968 1920)
+ (text "NOT" (rect 1 0 21 10)(font "Arial" (font_size 6)))
+ (text "inst128" (rect 3 21 38 33)(font "Arial" ))
+ (port
+ (pt 0 16)
+ (input)
+ (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible))
+ (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible))
+ (line (pt 0 16)(pt 13 16))
+ )
+ (port
+ (pt 48 16)
+ (output)
+ (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible))
+ (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible))
+ (line (pt 39 16)(pt 48 16))
+ )
+ (drawing
+ (line (pt 13 25)(pt 13 7))
+ (line (pt 13 7)(pt 31 16))
+ (line (pt 13 25)(pt 31 16))
+ (circle (rect 31 12 39 20))
+ )
+)
+(symbol
+ (rect 920 1768 968 1800)
+ (text "NOT" (rect 1 0 21 10)(font "Arial" (font_size 6)))
+ (text "inst129" (rect 3 21 38 33)(font "Arial" ))
+ (port
+ (pt 0 16)
+ (input)
+ (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible))
+ (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible))
+ (line (pt 0 16)(pt 13 16))
+ )
+ (port
+ (pt 48 16)
+ (output)
+ (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible))
+ (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible))
+ (line (pt 39 16)(pt 48 16))
+ )
+ (drawing
+ (line (pt 13 25)(pt 13 7))
+ (line (pt 13 7)(pt 31 16))
+ (line (pt 13 25)(pt 31 16))
+ (circle (rect 31 12 39 20))
+ )
+)
+(symbol
+ (rect 672 600 704 648)
+ (text "NOT" (rect 22 1 32 21)(font "Arial" (font_size 6))(vertical))
+ (text "inst34" (rect -1 3 11 32)(font "Arial" )(vertical))
+ (port
+ (pt 16 0)
+ (input)
+ (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible))
+ (text "IN" (rect 13 2 25 13)(font "Courier New" (bold))(vertical)(invisible))
+ (line (pt 16 0)(pt 16 13))
+ )
+ (port
+ (pt 16 48)
+ (output)
+ (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible))
+ (text "OUT" (rect 13 32 25 49)(font "Courier New" (bold))(vertical)(invisible))
+ (line (pt 16 39)(pt 16 48))
+ )
+ (drawing
+ (line (pt 7 13)(pt 25 13))
+ (line (pt 25 13)(pt 16 31))
+ (line (pt 7 13)(pt 16 31))
+ (circle (rect 12 31 20 39))
+ )
+ (rotate270)
+)
+(symbol
+ (rect 488 680 584 776)
+ (text "full_adder" (rect 5 0 61 14)(font "Arial" (font_size 8)))
+ (text "inst999" (rect 8 80 43 92)(font "Arial" ))
+ (port
+ (pt 0 32)
+ (input)
+ (text "X" (rect 0 0 8 14)(font "Arial" (font_size 8)))
+ (text "X" (rect 21 27 29 41)(font "Arial" (font_size 8)))
+ (line (pt 0 32)(pt 16 32))
+ )
+ (port
+ (pt 0 48)
+ (input)
+ (text "Y" (rect 0 0 9 14)(font "Arial" (font_size 8)))
+ (text "Y" (rect 21 43 30 57)(font "Arial" (font_size 8)))
+ (line (pt 0 48)(pt 16 48))
+ )
+ (port
+ (pt 0 64)
+ (input)
+ (text "Cin" (rect 0 0 17 14)(font "Arial" (font_size 8)))
+ (text "Cin" (rect 21 59 38 73)(font "Arial" (font_size 8)))
+ (line (pt 0 64)(pt 16 64))
+ )
+ (port
+ (pt 96 32)
+ (output)
+ (text "SUM" (rect 0 0 25 14)(font "Arial" (font_size 8)))
+ (text "SUM" (rect 50 27 75 41)(font "Arial" (font_size 8)))
+ (line (pt 96 32)(pt 80 32))
+ )
+ (port
+ (pt 96 48)
+ (output)
+ (text "Cout" (rect 0 0 25 14)(font "Arial" (font_size 8)))
+ (text "Cout" (rect 50 43 75 57)(font "Arial" (font_size 8)))
+ (line (pt 96 48)(pt 80 48))
+ )
+ (drawing
+ (rectangle (rect 16 16 80 80))
+ )
+)
+(symbol
+ (rect 400 848 432 880)
+ (text "GND" (rect 6 8 16 29)(font "Arial" (font_size 6))(vertical))
+ (text "inst429" (rect 0 2 12 37)(font "Arial" )(vertical)(invisible))
+ (port
+ (pt 32 16)
+ (output)
+ (text "1" (rect 18 0 23 12)(font "Courier New" (bold))(invisible))
+ (text "1" (rect 20 18 32 23)(font "Courier New" (bold))(vertical)(invisible))
+ (line (pt 24 16)(pt 32 16))
+ )
+ (drawing
+ (line (pt 24 8)(pt 16 16))
+ (line (pt 16 16)(pt 24 24))
+ (line (pt 24 8)(pt 24 24))
+ )
+ (rotate270)
+)
+(symbol
+ (rect 832 1280 896 1360)
+ (text "DFF" (rect 1 0 19 10)(font "Arial" (font_size 6)))
+ (text "inst389" (rect 3 68 38 80)(font "Arial" ))
+ (port
+ (pt 32 80)
+ (input)
+ (text "CLRN" (rect 21 59 44 71)(font "Courier New" (bold)))
+ (text "CLRN" (rect 21 58 44 70)(font "Courier New" (bold)))
+ (line (pt 32 80)(pt 32 76))
+ )
+ (port
+ (pt 0 40)
+ (input)
+ (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible))
+ (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible))
+ (line (pt 0 40)(pt 12 40))
+ )
+ (port
+ (pt 0 24)
+ (input)
+ (text "D" (rect 14 20 19 32)(font "Courier New" (bold)))
+ (text "D" (rect 14 20 19 32)(font "Courier New" (bold)))
+ (line (pt 0 24)(pt 12 24))
+ )
+ (port
+ (pt 32 0)
+ (input)
+ (text "PRN" (rect 24 13 41 25)(font "Courier New" (bold)))
+ (text "PRN" (rect 24 11 41 23)(font "Courier New" (bold)))
+ (line (pt 32 4)(pt 32 0))
+ )
+ (port
+ (pt 64 24)
+ (output)
+ (text "Q" (rect 45 20 50 32)(font "Courier New" (bold)))
+ (text "Q" (rect 43 20 48 32)(font "Courier New" (bold)))
+ (line (pt 52 24)(pt 64 24))
+ )
+ (drawing
+ (line (pt 12 12)(pt 52 12))
+ (line (pt 12 68)(pt 52 68))
+ (line (pt 52 68)(pt 52 12))
+ (line (pt 12 68)(pt 12 12))
+ (line (pt 19 40)(pt 12 47))
+ (line (pt 12 32)(pt 20 40))
+ (circle (rect 28 4 36 12))
+ (circle (rect 28 68 36 76))
+ )
+)
+(symbol
+ (rect 488 1280 584 1376)
+ (text "full_adder" (rect 5 0 61 14)(font "Arial" (font_size 8)))
+ (text "inst369" (rect 8 80 43 92)(font "Arial" ))
+ (port
+ (pt 0 32)
+ (input)
+ (text "X" (rect 0 0 8 14)(font "Arial" (font_size 8)))
+ (text "X" (rect 21 27 29 41)(font "Arial" (font_size 8)))
+ (line (pt 0 32)(pt 16 32))
+ )
+ (port
+ (pt 0 48)
+ (input)
+ (text "Y" (rect 0 0 9 14)(font "Arial" (font_size 8)))
+ (text "Y" (rect 21 43 30 57)(font "Arial" (font_size 8)))
+ (line (pt 0 48)(pt 16 48))
+ )
+ (port
+ (pt 0 64)
+ (input)
+ (text "Cin" (rect 0 0 17 14)(font "Arial" (font_size 8)))
+ (text "Cin" (rect 21 59 38 73)(font "Arial" (font_size 8)))
+ (line (pt 0 64)(pt 16 64))
+ )
+ (port
+ (pt 96 32)
+ (output)
+ (text "SUM" (rect 0 0 25 14)(font "Arial" (font_size 8)))
+ (text "SUM" (rect 50 27 75 41)(font "Arial" (font_size 8)))
+ (line (pt 96 32)(pt 80 32))
+ )
+ (port
+ (pt 96 48)
+ (output)
+ (text "Cout" (rect 0 0 25 14)(font "Arial" (font_size 8)))
+ (text "Cout" (rect 50 43 75 57)(font "Arial" (font_size 8)))
+ (line (pt 96 48)(pt 80 48))
+ )
+ (drawing
+ (rectangle (rect 16 16 80 80))
+ )
+)
+(symbol
+ (rect 712 1280 776 1328)
+ (text "AND2" (rect 1 0 25 10)(font "Arial" (font_size 6)))
+ (text "inst399" (rect 3 37 38 49)(font "Arial" ))
+ (port
+ (pt 0 16)
+ (input)
+ (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
+ (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
+ (line (pt 0 16)(pt 14 16))
+ )
+ (port
+ (pt 0 32)
+ (input)
+ (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible))
+ (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible))
+ (line (pt 0 32)(pt 14 32))
+ )
+ (port
+ (pt 64 24)
+ (output)
+ (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
+ (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
+ (line (pt 42 24)(pt 64 24))
+ )
+ (drawing
+ (line (pt 14 12)(pt 30 12))
+ (line (pt 14 37)(pt 31 37))
+ (line (pt 14 12)(pt 14 37))
+ (arc (pt 31 37)(pt 30 12)(rect 18 12 43 37))
+ )
+)
+(symbol
+ (rect 712 920 776 968)
+ (text "AND2" (rect 1 0 25 10)(font "Arial" (font_size 6)))
+ (text "inst4299" (rect 3 37 44 49)(font "Arial" ))
+ (port
+ (pt 0 16)
+ (input)
+ (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
+ (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
+ (line (pt 0 16)(pt 14 16))
+ )
+ (port
+ (pt 0 32)
+ (input)
+ (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible))
+ (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible))
+ (line (pt 0 32)(pt 14 32))
+ )
+ (port
+ (pt 64 24)
+ (output)
+ (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
+ (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
+ (line (pt 42 24)(pt 64 24))
+ )
+ (drawing
+ (line (pt 14 12)(pt 30 12))
+ (line (pt 14 37)(pt 31 37))
+ (line (pt 14 12)(pt 14 37))
+ (arc (pt 31 37)(pt 30 12)(rect 18 12 43 37))
+ )
+)
+(symbol
+ (rect 488 1400 584 1496)
+ (text "full_adder" (rect 5 0 61 14)(font "Arial" (font_size 8)))
+ (text "inst449" (rect 8 80 43 92)(font "Arial" ))
+ (port
+ (pt 0 32)
+ (input)
+ (text "X" (rect 0 0 8 14)(font "Arial" (font_size 8)))
+ (text "X" (rect 21 27 29 41)(font "Arial" (font_size 8)))
+ (line (pt 0 32)(pt 16 32))
+ )
+ (port
+ (pt 0 48)
+ (input)
+ (text "Y" (rect 0 0 9 14)(font "Arial" (font_size 8)))
+ (text "Y" (rect 21 43 30 57)(font "Arial" (font_size 8)))
+ (line (pt 0 48)(pt 16 48))
+ )
+ (port
+ (pt 0 64)
+ (input)
+ (text "Cin" (rect 0 0 17 14)(font "Arial" (font_size 8)))
+ (text "Cin" (rect 21 59 38 73)(font "Arial" (font_size 8)))
+ (line (pt 0 64)(pt 16 64))
+ )
+ (port
+ (pt 96 32)
+ (output)
+ (text "SUM" (rect 0 0 25 14)(font "Arial" (font_size 8)))
+ (text "SUM" (rect 50 27 75 41)(font "Arial" (font_size 8)))
+ (line (pt 96 32)(pt 80 32))
+ )
+ (port
+ (pt 96 48)
+ (output)
+ (text "Cout" (rect 0 0 25 14)(font "Arial" (font_size 8)))
+ (text "Cout" (rect 50 43 75 57)(font "Arial" (font_size 8)))
+ (line (pt 96 48)(pt 80 48))
+ )
+ (drawing
+ (rectangle (rect 16 16 80 80))
+ )
+)
+(symbol
+ (rect 832 1040 896 1120)
+ (text "DFF" (rect 1 0 19 10)(font "Arial" (font_size 6)))
+ (text "inst459" (rect 3 68 38 80)(font "Arial" ))
+ (port
+ (pt 32 80)
+ (input)
+ (text "CLRN" (rect 21 59 44 71)(font "Courier New" (bold)))
+ (text "CLRN" (rect 21 58 44 70)(font "Courier New" (bold)))
+ (line (pt 32 80)(pt 32 76))
+ )
+ (port
+ (pt 0 40)
+ (input)
+ (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible))
+ (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible))
+ (line (pt 0 40)(pt 12 40))
+ )
+ (port
+ (pt 0 24)
+ (input)
+ (text "D" (rect 14 20 19 32)(font "Courier New" (bold)))
+ (text "D" (rect 14 20 19 32)(font "Courier New" (bold)))
+ (line (pt 0 24)(pt 12 24))
+ )
+ (port
+ (pt 32 0)
+ (input)
+ (text "PRN" (rect 24 13 41 25)(font "Courier New" (bold)))
+ (text "PRN" (rect 24 11 41 23)(font "Courier New" (bold)))
+ (line (pt 32 4)(pt 32 0))
+ )
+ (port
+ (pt 64 24)
+ (output)
+ (text "Q" (rect 45 20 50 32)(font "Courier New" (bold)))
+ (text "Q" (rect 43 20 48 32)(font "Courier New" (bold)))
+ (line (pt 52 24)(pt 64 24))
+ )
+ (drawing
+ (line (pt 12 12)(pt 52 12))
+ (line (pt 12 68)(pt 52 68))
+ (line (pt 52 68)(pt 52 12))
+ (line (pt 12 68)(pt 12 12))
+ (line (pt 19 40)(pt 12 47))
+ (line (pt 12 32)(pt 20 40))
+ (circle (rect 28 4 36 12))
+ (circle (rect 28 68 36 76))
+ )
+)
+(symbol
+ (rect 712 1040 776 1088)
+ (text "AND2" (rect 1 0 25 10)(font "Arial" (font_size 6)))
+ (text "inst497" (rect 3 37 38 49)(font "Arial" ))
+ (port
+ (pt 0 16)
+ (input)
+ (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
+ (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
+ (line (pt 0 16)(pt 14 16))
+ )
+ (port
+ (pt 0 32)
+ (input)
+ (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible))
+ (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible))
+ (line (pt 0 32)(pt 14 32))
+ )
+ (port
+ (pt 64 24)
+ (output)
+ (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
+ (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
+ (line (pt 42 24)(pt 64 24))
+ )
+ (drawing
+ (line (pt 14 12)(pt 30 12))
+ (line (pt 14 37)(pt 31 37))
+ (line (pt 14 12)(pt 14 37))
+ (arc (pt 31 37)(pt 30 12)(rect 18 12 43 37))
+ )
+)
+(symbol
+ (rect 400 1088 432 1120)
+ (text "GND" (rect 6 8 16 29)(font "Arial" (font_size 6))(vertical))
+ (text "inst4999" (rect 0 2 12 43)(font "Arial" )(vertical)(invisible))
+ (port
+ (pt 32 16)
+ (output)
+ (text "1" (rect 18 0 23 12)(font "Courier New" (bold))(invisible))
+ (text "1" (rect 20 18 32 23)(font "Courier New" (bold))(vertical)(invisible))
+ (line (pt 24 16)(pt 32 16))
+ )
+ (drawing
+ (line (pt 24 8)(pt 16 16))
+ (line (pt 16 16)(pt 24 24))
+ (line (pt 24 8)(pt 24 24))
+ )
+ (rotate270)
+)
+(symbol
+ (rect 832 680 896 760)
+ (text "DFF" (rect 1 0 19 10)(font "Arial" (font_size 6)))
+ (text "inst3799999" (rect 3 68 62 80)(font "Arial" ))
+ (port
+ (pt 32 80)
+ (input)
+ (text "CLRN" (rect 21 59 44 71)(font "Courier New" (bold)))
+ (text "CLRN" (rect 21 58 44 70)(font "Courier New" (bold)))
+ (line (pt 32 80)(pt 32 76))
+ )
+ (port
+ (pt 0 40)
+ (input)
+ (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible))
+ (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible))
+ (line (pt 0 40)(pt 12 40))
+ )
+ (port
+ (pt 0 24)
+ (input)
+ (text "D" (rect 14 20 19 32)(font "Courier New" (bold)))
+ (text "D" (rect 14 20 19 32)(font "Courier New" (bold)))
+ (line (pt 0 24)(pt 12 24))
+ )
+ (port
+ (pt 32 0)
+ (input)
+ (text "PRN" (rect 24 13 41 25)(font "Courier New" (bold)))
+ (text "PRN" (rect 24 11 41 23)(font "Courier New" (bold)))
+ (line (pt 32 4)(pt 32 0))
+ )
+ (port
+ (pt 64 24)
+ (output)
+ (text "Q" (rect 45 20 50 32)(font "Courier New" (bold)))
+ (text "Q" (rect 43 20 48 32)(font "Courier New" (bold)))
+ (line (pt 52 24)(pt 64 24))
+ )
+ (drawing
+ (line (pt 12 12)(pt 52 12))
+ (line (pt 12 68)(pt 52 68))
+ (line (pt 52 68)(pt 52 12))
+ (line (pt 12 68)(pt 12 12))
+ (line (pt 19 40)(pt 12 47))
+ (line (pt 12 32)(pt 20 40))
+ (circle (rect 28 4 36 12))
+ (circle (rect 28 68 36 76))
+ )
+)
+(connector
+ (pt 400 456)
+ (pt 520 456)
+)
+(connector
+ (text "Q[0]" (rect 308 384 329 396)(font "Arial" ))
+ (pt 336 400)
+ (pt 312 400)
+)
+(connector
+ (text "Q[1]" (rect 315 400 336 412)(font "Arial" ))
+ (pt 336 416)
+ (pt 312 416)
+)
+(connector
+ (text "Q[2]" (rect 317 416 338 428)(font "Arial" ))
+ (pt 336 432)
+ (pt 312 432)
+)
+(connector
+ (text "Q[3]" (rect 314 432 335 444)(font "Arial" ))
+ (pt 336 448)
+ (pt 312 448)
+)
+(connector
+ (text "Q[4]" (rect 316 448 337 460)(font "Arial" ))
+ (pt 336 464)
+ (pt 312 464)
+)
+(connector
+ (text "N[5]" (rect 320 464 341 476)(font "Arial" ))
+ (pt 336 480)
+ (pt 312 480)
+)
+(connector
+ (text "N[6]" (rect 314 480 335 492)(font "Arial" ))
+ (pt 336 496)
+ (pt 312 496)
+)
+(connector
+ (text "N[7]" (rect 314 496 335 508)(font "Arial" ))
+ (pt 336 512)
+ (pt 312 512)
+)
+(connector
+ (text "Q[8]" (rect 502 456 523 468)(font "Arial" ))
+ (pt 520 472)
+ (pt 496 472)
+)
+(connector
+ (text "N[9]" (rect 500 472 521 484)(font "Arial" ))
+ (pt 520 488)
+ (pt 496 488)
+)
+(connector
+ (text "N[10]" (rect 499 488 526 500)(font "Arial" ))
+ (pt 520 504)
+ (pt 496 504)
+)
+(connector
+ (text "N[11]" (rect 499 504 526 516)(font "Arial" ))
+ (pt 520 520)
+ (pt 496 520)
+)
+(connector
+ (text "N[12]" (rect 499 520 526 532)(font "Arial" ))
+ (pt 520 536)
+ (pt 496 536)
+)
+(connector
+ (text "Q[13]" (rect 499 536 526 548)(font "Arial" ))
+ (pt 520 552)
+ (pt 496 552)
+)
+(connector
+ (text "N[14]" (rect 496 552 523 564)(font "Arial" ))
+ (pt 520 568)
+ (pt 496 568)
+)
+(connector
+ (text "Q[15]" (rect 494 568 521 580)(font "Arial" ))
+ (pt 520 584)
+ (pt 496 584)
+)
+(connector
+ (text "Q[16]" (rect 500 584 527 596)(font "Arial" ))
+ (pt 520 600)
+ (pt 496 600)
+)
+(connector
+ (text "Q[17]" (rect 499 600 526 612)(font "Arial" ))
+ (pt 520 616)
+ (pt 496 616)
+)
+(connector
+ (pt 488 728)
+ (pt 456 728)
+)
+(connector
+ (text "Q[0]" (rect 440 696 461 708)(font "Arial" ))
+ (pt 448 712)
+ (pt 488 712)
+)
+(connector
+ (pt 432 744)
+ (pt 488 744)
+)
+(connector
+ (pt 432 864)
+ (pt 488 864)
+)
+(connector
+ (pt 432 848)
+ (pt 488 848)
+)
+(connector
+ (pt 432 848)
+ (pt 432 784)
+)
+(connector
+ (pt 432 784)
+ (pt 592 784)
+)
+(connector
+ (pt 592 784)
+ (pt 592 728)
+)
+(connector
+ (pt 592 728)
+ (pt 584 728)
+)
+(connector
+ (pt 432 984)
+ (pt 488 984)
+)
+(connector
+ (pt 432 968)
+ (pt 488 968)
+)
+(connector
+ (pt 432 1104)
+ (pt 488 1104)
+)
+(connector
+ (pt 432 1224)
+ (pt 488 1224)
+)
+(connector
+ (pt 584 848)
+ (pt 592 848)
+)
+(connector
+ (pt 592 848)
+ (pt 592 904)
+)
+(connector
+ (pt 592 904)
+ (pt 432 904)
+)
+(connector
+ (pt 432 904)
+ (pt 432 968)
+)
+(connector
+ (pt 584 968)
+ (pt 592 968)
+)
+(connector
+ (pt 592 968)
+ (pt 592 1024)
+)
+(connector
+ (pt 592 1024)
+ (pt 432 1024)
+)
+(connector
+ (pt 432 1024)
+ (pt 432 1088)
+)
+(connector
+ (pt 432 1088)
+ (pt 488 1088)
+)
+(connector
+ (pt 584 1088)
+ (pt 592 1088)
+)
+(connector
+ (pt 592 1088)
+ (pt 592 1144)
+)
+(connector
+ (pt 592 1144)
+ (pt 432 1144)
+)
+(connector
+ (pt 432 1144)
+ (pt 432 1208)
+)
+(connector
+ (pt 432 1208)
+ (pt 488 1208)
+)
+(connector
+ (pt 432 1344)
+ (pt 488 1344)
+)
+(connector
+ (pt 432 1328)
+ (pt 488 1328)
+)
+(connector
+ (pt 432 1328)
+ (pt 432 1264)
+)
+(connector
+ (pt 432 1464)
+ (pt 488 1464)
+)
+(connector
+ (pt 432 1448)
+ (pt 488 1448)
+)
+(connector
+ (pt 432 1584)
+ (pt 488 1584)
+)
+(connector
+ (pt 432 1704)
+ (pt 488 1704)
+)
+(connector
+ (pt 584 1328)
+ (pt 592 1328)
+)
+(connector
+ (pt 592 1328)
+ (pt 592 1384)
+)
+(connector
+ (pt 592 1384)
+ (pt 432 1384)
+)
+(connector
+ (pt 432 1384)
+ (pt 432 1448)
+)
+(connector
+ (pt 584 1448)
+ (pt 592 1448)
+)
+(connector
+ (pt 592 1448)
+ (pt 592 1504)
+)
+(connector
+ (pt 592 1504)
+ (pt 432 1504)
+)
+(connector
+ (pt 432 1504)
+ (pt 432 1568)
+)
+(connector
+ (pt 432 1568)
+ (pt 488 1568)
+)
+(connector
+ (pt 584 1568)
+ (pt 592 1568)
+)
+(connector
+ (pt 592 1568)
+ (pt 592 1624)
+)
+(connector
+ (pt 592 1624)
+ (pt 432 1624)
+)
+(connector
+ (pt 432 1624)
+ (pt 432 1688)
+)
+(connector
+ (pt 432 1688)
+ (pt 488 1688)
+)
+(connector
+ (pt 432 1824)
+ (pt 488 1824)
+)
+(connector
+ (pt 432 1944)
+ (pt 488 1944)
+)
+(connector
+ (pt 432 1928)
+ (pt 488 1928)
+)
+(connector
+ (pt 432 2064)
+ (pt 488 2064)
+)
+(connector
+ (pt 432 2184)
+ (pt 488 2184)
+)
+(connector
+ (pt 584 1808)
+ (pt 592 1808)
+)
+(connector
+ (pt 592 1808)
+ (pt 592 1864)
+)
+(connector
+ (pt 592 1864)
+ (pt 432 1864)
+)
+(connector
+ (pt 432 1864)
+ (pt 432 1928)
+)
+(connector
+ (pt 584 1928)
+ (pt 592 1928)
+)
+(connector
+ (pt 592 1928)
+ (pt 592 1984)
+)
+(connector
+ (pt 592 1984)
+ (pt 432 1984)
+)
+(connector
+ (pt 432 1984)
+ (pt 432 2048)
+)
+(connector
+ (pt 432 2048)
+ (pt 488 2048)
+)
+(connector
+ (pt 584 2048)
+ (pt 592 2048)
+)
+(connector
+ (pt 592 2048)
+ (pt 592 2104)
+)
+(connector
+ (pt 592 2104)
+ (pt 432 2104)
+)
+(connector
+ (pt 432 2104)
+ (pt 432 2168)
+)
+(connector
+ (pt 432 2168)
+ (pt 488 2168)
+)
+(connector
+ (pt 432 2304)
+ (pt 488 2304)
+)
+(connector
+ (pt 432 2424)
+ (pt 488 2424)
+)
+(connector
+ (pt 432 2408)
+ (pt 488 2408)
+)
+(connector
+ (pt 432 2544)
+ (pt 488 2544)
+)
+(connector
+ (pt 432 2664)
+ (pt 488 2664)
+)
+(connector
+ (pt 584 2288)
+ (pt 592 2288)
+)
+(connector
+ (pt 592 2288)
+ (pt 592 2344)
+)
+(connector
+ (pt 592 2344)
+ (pt 432 2344)
+)
+(connector
+ (pt 432 2344)
+ (pt 432 2408)
+)
+(connector
+ (pt 584 2408)
+ (pt 592 2408)
+)
+(connector
+ (pt 592 2408)
+ (pt 592 2464)
+)
+(connector
+ (pt 592 2464)
+ (pt 432 2464)
+)
+(connector
+ (pt 432 2464)
+ (pt 432 2528)
+)
+(connector
+ (pt 432 2528)
+ (pt 488 2528)
+)
+(connector
+ (pt 584 2528)
+ (pt 592 2528)
+)
+(connector
+ (pt 592 2528)
+ (pt 592 2584)
+)
+(connector
+ (pt 592 2584)
+ (pt 432 2584)
+)
+(connector
+ (pt 432 2584)
+ (pt 432 2648)
+)
+(connector
+ (pt 432 2648)
+ (pt 488 2648)
+)
+(connector
+ (pt 432 2784)
+ (pt 488 2784)
+)
+(connector
+ (pt 432 2904)
+ (pt 488 2904)
+)
+(connector
+ (pt 584 2768)
+ (pt 592 2768)
+)
+(connector
+ (pt 592 2768)
+ (pt 592 2824)
+)
+(connector
+ (pt 592 2824)
+ (pt 432 2824)
+)
+(connector
+ (pt 432 2824)
+ (pt 432 2888)
+)
+(connector
+ (pt 432 2888)
+ (pt 488 2888)
+)
+(connector
+ (pt 432 1264)
+ (pt 592 1264)
+)
+(connector
+ (pt 592 1264)
+ (pt 592 1208)
+)
+(connector
+ (pt 592 1208)
+ (pt 584 1208)
+)
+(connector
+ (pt 432 1744)
+ (pt 592 1744)
+)
+(connector
+ (pt 592 1744)
+ (pt 592 1688)
+)
+(connector
+ (pt 592 1688)
+ (pt 584 1688)
+)
+(connector
+ (pt 432 1808)
+ (pt 488 1808)
+)
+(connector
+ (pt 432 1744)
+ (pt 432 1808)
+)
+(connector
+ (pt 432 2224)
+ (pt 592 2224)
+)
+(connector
+ (pt 592 2224)
+ (pt 592 2168)
+)
+(connector
+ (pt 592 2168)
+ (pt 584 2168)
+)
+(connector
+ (pt 432 2288)
+ (pt 488 2288)
+)
+(connector
+ (pt 432 2224)
+ (pt 432 2288)
+)
+(connector
+ (pt 432 2704)
+ (pt 592 2704)
+)
+(connector
+ (pt 592 2704)
+ (pt 592 2648)
+)
+(connector
+ (pt 592 2648)
+ (pt 584 2648)
+)
+(connector
+ (pt 432 2768)
+ (pt 488 2768)
+)
+(connector
+ (pt 432 2704)
+ (pt 432 2768)
+)
+(connector
+ (text "Q[1]" (rect 440 816 461 828)(font "Arial" ))
+ (pt 448 832)
+ (pt 488 832)
+)
+(connector
+ (text "Q[2]" (rect 440 936 461 948)(font "Arial" ))
+ (pt 448 952)
+ (pt 488 952)
+)
+(connector
+ (text "Q[3]" (rect 440 1056 461 1068)(font "Arial" ))
+ (pt 448 1072)
+ (pt 488 1072)
+)
+(connector
+ (text "Q[4]" (rect 440 1176 461 1188)(font "Arial" ))
+ (pt 448 1192)
+ (pt 488 1192)
+)
+(connector
+ (text "Q[5]" (rect 440 1296 461 1308)(font "Arial" ))
+ (pt 448 1312)
+ (pt 488 1312)
+)
+(connector
+ (text "Q[6]" (rect 440 1416 461 1428)(font "Arial" ))
+ (pt 448 1432)
+ (pt 488 1432)
+)
+(connector
+ (text "Q[7]" (rect 440 1536 461 1548)(font "Arial" ))
+ (pt 448 1552)
+ (pt 488 1552)
+)
+(connector
+ (text "Q[8]" (rect 440 1656 461 1668)(font "Arial" ))
+ (pt 448 1672)
+ (pt 488 1672)
+)
+(connector
+ (text "Q[9]" (rect 440 1776 461 1788)(font "Arial" ))
+ (pt 448 1792)
+ (pt 488 1792)
+)
+(connector
+ (text "Q[10]" (rect 440 1896 467 1908)(font "Arial" ))
+ (pt 448 1912)
+ (pt 488 1912)
+)
+(connector
+ (text "Q[11]" (rect 440 2016 467 2028)(font "Arial" ))
+ (pt 448 2032)
+ (pt 488 2032)
+)
+(connector
+ (text "Q[12]" (rect 440 2136 467 2148)(font "Arial" ))
+ (pt 448 2152)
+ (pt 488 2152)
+)
+(connector
+ (text "Q[13]" (rect 440 2256 467 2268)(font "Arial" ))
+ (pt 448 2272)
+ (pt 488 2272)
+)
+(connector
+ (text "Q[14]" (rect 440 2376 467 2388)(font "Arial" ))
+ (pt 448 2392)
+ (pt 488 2392)
+)
+(connector
+ (text "Q[15]" (rect 440 2496 467 2508)(font "Arial" ))
+ (pt 448 2512)
+ (pt 488 2512)
+)
+(connector
+ (text "Q[16]" (rect 440 2616 467 2628)(font "Arial" ))
+ (pt 448 2632)
+ (pt 488 2632)
+)
+(connector
+ (text "Q[17]" (rect 440 2736 467 2748)(font "Arial" ))
+ (pt 448 2752)
+ (pt 488 2752)
+)
+(connector
+ (text "Q[18]" (rect 440 2856 467 2868)(font "Arial" ))
+ (pt 448 2872)
+ (pt 488 2872)
+)
+(connector
+ (text "Q[0]" (rect 910 688 931 700)(font "Arial" ))
+ (pt 896 704)
+ (pt 920 704)
+)
+(connector
+ (pt 832 720)
+ (pt 816 720)
+)
+(connector
+ (pt 832 2880)
+ (pt 816 2880)
+)
+(connector
+ (pt 768 2936)
+ (pt 816 2936)
+)
+(connector
+ (pt 832 2760)
+ (pt 816 2760)
+)
+(connector
+ (pt 832 2640)
+ (pt 816 2640)
+)
+(connector
+ (pt 832 2520)
+ (pt 816 2520)
+)
+(connector
+ (pt 832 2280)
+ (pt 816 2280)
+)
+(connector
+ (pt 832 2160)
+ (pt 816 2160)
+)
+(connector
+ (pt 832 2040)
+ (pt 816 2040)
+)
+(connector
+ (pt 832 1920)
+ (pt 816 1920)
+)
+(connector
+ (pt 832 2400)
+ (pt 816 2400)
+)
+(connector
+ (pt 832 1800)
+ (pt 816 1800)
+)
+(connector
+ (pt 832 1680)
+ (pt 816 1680)
+)
+(connector
+ (pt 832 1560)
+ (pt 816 1560)
+)
+(connector
+ (pt 832 1440)
+ (pt 816 1440)
+)
+(connector
+ (pt 832 1200)
+ (pt 816 1200)
+)
+(connector
+ (pt 832 1080)
+ (pt 816 1080)
+)
+(connector
+ (pt 832 960)
+ (pt 816 960)
+)
+(connector
+ (pt 832 840)
+ (pt 816 840)
+)
+(connector
+ (pt 832 1320)
+ (pt 816 1320)
+)
+(connector
+ (text "Q[1]" (rect 910 808 931 820)(font "Arial" ))
+ (pt 896 824)
+ (pt 920 824)
+)
+(connector
+ (text "Q[2]" (rect 910 928 931 940)(font "Arial" ))
+ (pt 896 944)
+ (pt 920 944)
+)
+(connector
+ (text "Q[3]" (rect 910 1048 931 1060)(font "Arial" ))
+ (pt 896 1064)
+ (pt 920 1064)
+)
+(connector
+ (text "Q[4]" (rect 910 1168 931 1180)(font "Arial" ))
+ (pt 896 1184)
+ (pt 920 1184)
+)
+(connector
+ (text "Q[5]" (rect 910 1288 931 1300)(font "Arial" ))
+ (pt 896 1304)
+ (pt 920 1304)
+)
+(connector
+ (text "Q[6]" (rect 910 1408 931 1420)(font "Arial" ))
+ (pt 896 1424)
+ (pt 920 1424)
+)
+(connector
+ (text "Q[7]" (rect 910 1528 931 1540)(font "Arial" ))
+ (pt 896 1544)
+ (pt 920 1544)
+)
+(connector
+ (text "Q[8]" (rect 910 1648 931 1660)(font "Arial" ))
+ (pt 896 1664)
+ (pt 920 1664)
+)
+(connector
+ (text "Q[9]" (rect 910 1768 931 1780)(font "Arial" ))
+ (pt 896 1784)
+ (pt 920 1784)
+)
+(connector
+ (text "Q[10]" (rect 910 1888 937 1900)(font "Arial" ))
+ (pt 896 1904)
+ (pt 920 1904)
+)
+(connector
+ (text "Q[11]" (rect 910 2008 937 2020)(font "Arial" ))
+ (pt 896 2024)
+ (pt 920 2024)
+)
+(connector
+ (text "Q[12]" (rect 910 2128 937 2140)(font "Arial" ))
+ (pt 896 2144)
+ (pt 920 2144)
+)
+(connector
+ (text "Q[13]" (rect 910 2248 937 2260)(font "Arial" ))
+ (pt 896 2264)
+ (pt 920 2264)
+)
+(connector
+ (text "Q[14]" (rect 910 2368 937 2380)(font "Arial" ))
+ (pt 896 2384)
+ (pt 920 2384)
+)
+(connector
+ (text "Q[15]" (rect 910 2488 937 2500)(font "Arial" ))
+ (pt 896 2504)
+ (pt 920 2504)
+)
+(connector
+ (text "Q[16]" (rect 910 2608 937 2620)(font "Arial" ))
+ (pt 896 2624)
+ (pt 920 2624)
+)
+(connector
+ (text "Q[17]" (rect 910 2728 937 2740)(font "Arial" ))
+ (pt 896 2744)
+ (pt 920 2744)
+)
+(connector
+ (text "Q[18]" (rect 886 2840 913 2852)(font "Arial" ))
+ (pt 896 2864)
+ (pt 920 2864)
+)
+(connector
+ (text "N[5]" (rect 976 1288 997 1300)(font "Arial" ))
+ (pt 968 1304)
+ (pt 984 1304)
+)
+(connector
+ (text "N[6]" (rect 976 1408 997 1420)(font "Arial" ))
+ (pt 968 1424)
+ (pt 984 1424)
+)
+(connector
+ (text "N[7]" (rect 976 1528 997 1540)(font "Arial" ))
+ (pt 968 1544)
+ (pt 984 1544)
+)
+(connector
+ (text "N[9]" (rect 976 1768 997 1780)(font "Arial" ))
+ (pt 968 1784)
+ (pt 984 1784)
+)
+(connector
+ (text "N[10]" (rect 976 1888 1003 1900)(font "Arial" ))
+ (pt 968 1904)
+ (pt 984 1904)
+)
+(connector
+ (text "N[11]" (rect 976 2008 1003 2020)(font "Arial" ))
+ (pt 968 2024)
+ (pt 984 2024)
+)
+(connector
+ (text "N[12]" (rect 976 2128 1003 2140)(font "Arial" ))
+ (pt 968 2144)
+ (pt 984 2144)
+)
+(connector
+ (text "N[14]" (rect 976 2368 1003 2380)(font "Arial" ))
+ (pt 968 2384)
+ (pt 984 2384)
+)
+(connector
+ (pt 776 704)
+ (pt 832 704)
+)
+(connector
+ (pt 584 712)
+ (pt 712 712)
+)
+(connector
+ (pt 776 824)
+ (pt 832 824)
+)
+(connector
+ (pt 816 720)
+ (pt 816 840)
+)
+(connector
+ (pt 584 832)
+ (pt 712 832)
+)
+(connector
+ (pt 776 944)
+ (pt 832 944)
+)
+(connector
+ (pt 816 840)
+ (pt 816 960)
+)
+(connector
+ (pt 584 952)
+ (pt 712 952)
+)
+(connector
+ (pt 776 1064)
+ (pt 832 1064)
+)
+(connector
+ (pt 816 960)
+ (pt 816 1080)
+)
+(connector
+ (pt 584 1072)
+ (pt 712 1072)
+)
+(connector
+ (pt 776 1184)
+ (pt 832 1184)
+)
+(connector
+ (pt 816 1080)
+ (pt 816 1200)
+)
+(connector
+ (pt 584 1192)
+ (pt 712 1192)
+)
+(connector
+ (pt 776 1304)
+ (pt 832 1304)
+)
+(connector
+ (pt 816 1200)
+ (pt 816 1320)
+)
+(connector
+ (pt 584 1312)
+ (pt 712 1312)
+)
+(connector
+ (pt 776 1424)
+ (pt 832 1424)
+)
+(connector
+ (pt 816 1320)
+ (pt 816 1440)
+)
+(connector
+ (pt 776 1544)
+ (pt 832 1544)
+)
+(connector
+ (pt 816 1440)
+ (pt 816 1560)
+)
+(connector
+ (pt 776 1664)
+ (pt 832 1664)
+)
+(connector
+ (pt 816 1560)
+ (pt 816 1680)
+)
+(connector
+ (pt 776 1784)
+ (pt 832 1784)
+)
+(connector
+ (pt 816 1680)
+ (pt 816 1800)
+)
+(connector
+ (pt 776 1904)
+ (pt 832 1904)
+)
+(connector
+ (pt 816 1800)
+ (pt 816 1920)
+)
+(connector
+ (pt 776 2024)
+ (pt 832 2024)
+)
+(connector
+ (pt 816 1920)
+ (pt 816 2040)
+)
+(connector
+ (pt 776 2144)
+ (pt 832 2144)
+)
+(connector
+ (pt 816 2040)
+ (pt 816 2160)
+)
+(connector
+ (pt 776 2264)
+ (pt 832 2264)
+)
+(connector
+ (pt 816 2160)
+ (pt 816 2280)
+)
+(connector
+ (pt 776 2384)
+ (pt 832 2384)
+)
+(connector
+ (pt 816 2280)
+ (pt 816 2400)
+)
+(connector
+ (pt 776 2504)
+ (pt 832 2504)
+)
+(connector
+ (pt 816 2400)
+ (pt 816 2520)
+)
+(connector
+ (pt 776 2624)
+ (pt 832 2624)
+)
+(connector
+ (pt 816 2520)
+ (pt 816 2640)
+)
+(connector
+ (pt 776 2744)
+ (pt 832 2744)
+)
+(connector
+ (pt 816 2640)
+ (pt 816 2760)
+)
+(connector
+ (pt 816 2880)
+ (pt 816 2936)
+)
+(connector
+ (pt 776 2864)
+ (pt 832 2864)
+)
+(connector
+ (pt 816 2760)
+ (pt 816 2880)
+)
+(connector
+ (pt 584 1432)
+ (pt 712 1432)
+)
+(connector
+ (pt 584 1552)
+ (pt 712 1552)
+)
+(connector
+ (pt 584 2032)
+ (pt 712 2032)
+)
+(connector
+ (pt 584 2152)
+ (pt 712 2152)
+)
+(connector
+ (pt 584 2272)
+ (pt 712 2272)
+)
+(connector
+ (pt 584 2392)
+ (pt 712 2392)
+)
+(connector
+ (pt 584 2512)
+ (pt 712 2512)
+)
+(connector
+ (pt 584 2632)
+ (pt 712 2632)
+)
+(connector
+ (pt 584 2752)
+ (pt 712 2752)
+)
+(connector
+ (pt 584 2872)
+ (pt 712 2872)
+)
+(connector
+ (pt 584 1672)
+ (pt 712 1672)
+)
+(connector
+ (pt 584 1792)
+ (pt 712 1792)
+)
+(connector
+ (pt 584 1912)
+ (pt 712 1912)
+)
+(connector
+ (pt 712 816)
+ (pt 688 816)
+)
+(connector
+ (pt 712 936)
+ (pt 688 936)
+)
+(connector
+ (pt 688 696)
+ (pt 712 696)
+)
+(connector
+ (pt 688 648)
+ (pt 688 696)
+)
+(connector
+ (pt 688 696)
+ (pt 688 816)
+)
+(connector
+ (pt 688 600)
+ (pt 688 544)
+)
+(connector
+ (pt 584 544)
+ (pt 688 544)
+)
+(connector
+ (pt 688 544)
+ (pt 744 544)
+)
+(connector
+ (pt 712 1056)
+ (pt 688 1056)
+)
+(connector
+ (pt 688 816)
+ (pt 688 936)
+)
+(connector
+ (pt 712 1176)
+ (pt 688 1176)
+)
+(connector
+ (pt 712 1296)
+ (pt 688 1296)
+)
+(connector
+ (pt 688 936)
+ (pt 688 1056)
+)
+(connector
+ (pt 688 1056)
+ (pt 688 1176)
+)
+(connector
+ (pt 688 1416)
+ (pt 712 1416)
+)
+(connector
+ (pt 688 1176)
+ (pt 688 1296)
+)
+(connector
+ (pt 688 1536)
+ (pt 712 1536)
+)
+(connector
+ (pt 688 1296)
+ (pt 688 1416)
+)
+(connector
+ (pt 688 1656)
+ (pt 712 1656)
+)
+(connector
+ (pt 688 1416)
+ (pt 688 1536)
+)
+(connector
+ (pt 688 1776)
+ (pt 712 1776)
+)
+(connector
+ (pt 688 1536)
+ (pt 688 1656)
+)
+(connector
+ (pt 688 1896)
+ (pt 712 1896)
+)
+(connector
+ (pt 688 1656)
+ (pt 688 1776)
+)
+(connector
+ (pt 688 2016)
+ (pt 712 2016)
+)
+(connector
+ (pt 688 1776)
+ (pt 688 1896)
+)
+(connector
+ (pt 688 2136)
+ (pt 712 2136)
+)
+(connector
+ (pt 688 2256)
+ (pt 712 2256)
+)
+(connector
+ (pt 688 2376)
+ (pt 712 2376)
+)
+(connector
+ (pt 688 2496)
+ (pt 712 2496)
+)
+(connector
+ (pt 688 2616)
+ (pt 712 2616)
+)
+(connector
+ (pt 688 2736)
+ (pt 712 2736)
+)
+(connector
+ (pt 688 2856)
+ (pt 712 2856)
+)
+(connector
+ (pt 688 1896)
+ (pt 688 2016)
+)
+(connector
+ (pt 688 2016)
+ (pt 688 2136)
+)
+(connector
+ (pt 688 2136)
+ (pt 688 2256)
+)
+(connector
+ (pt 688 2256)
+ (pt 688 2376)
+)
+(connector
+ (pt 688 2376)
+ (pt 688 2496)
+)
+(connector
+ (pt 688 2496)
+ (pt 688 2616)
+)
+(connector
+ (pt 688 2616)
+ (pt 688 2736)
+)
+(connector
+ (pt 688 2736)
+ (pt 688 2856)
+)
+(connector
+ (text "Q[18]" (rect 492 616 519 628)(font "Arial" ))
+ (pt 520 632)
+ (pt 496 632)
+)
+(junction (pt 816 2880))
+(junction (pt 816 2760))
+(junction (pt 816 2640))
+(junction (pt 816 2520))
+(junction (pt 816 2280))
+(junction (pt 816 2160))
+(junction (pt 816 2040))
+(junction (pt 816 1920))
+(junction (pt 816 2400))
+(junction (pt 816 1800))
+(junction (pt 816 1680))
+(junction (pt 816 1560))
+(junction (pt 816 1440))
+(junction (pt 816 1200))
+(junction (pt 816 1080))
+(junction (pt 816 960))
+(junction (pt 816 840))
+(junction (pt 816 1320))
+(junction (pt 688 816))
+(junction (pt 688 696))
+(junction (pt 688 544))
+(junction (pt 688 936))
+(junction (pt 688 1176))
+(junction (pt 688 1056))
+(junction (pt 688 1296))
+(junction (pt 688 1536))
+(junction (pt 688 1416))
+(junction (pt 688 1656))
+(junction (pt 688 1776))
+(junction (pt 688 1896))
+(junction (pt 688 2016))
+(junction (pt 688 2136))
+(junction (pt 688 2256))
+(junction (pt 688 2376))
+(junction (pt 688 2496))
+(junction (pt 688 2616))
+(junction (pt 688 2736))
diff --git a/one_hertz_clock/one_hertz_clock.qpf b/one_hertz_clock/one_hertz_clock.qpf
new file mode 100644
index 0000000..bba644f
--- /dev/null
+++ b/one_hertz_clock/one_hertz_clock.qpf
@@ -0,0 +1,30 @@
+# -------------------------------------------------------------------------- #
+#
+# Copyright (C) 1991-2013 Altera Corporation
+# Your use of Altera Corporation's design tools, logic functions
+# and other software and tools, and its AMPP partner logic
+# functions, and any output files from any of the foregoing
+# (including device programming or simulation files), and any
+# associated documentation or information are expressly subject
+# to the terms and conditions of the Altera Program License
+# Subscription Agreement, Altera MegaCore Function License
+# Agreement, or other applicable license agreement, including,
+# without limitation, that your use is for the sole purpose of
+# programming logic devices manufactured by Altera and sold by
+# Altera or its authorized distributors. Please refer to the
+# applicable agreement for further details.
+#
+# -------------------------------------------------------------------------- #
+#
+# Quartus II 64-Bit
+# Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Full Version
+# Date created = 14:11:14 February 23, 2016
+#
+# -------------------------------------------------------------------------- #
+
+QUARTUS_VERSION = "13.0"
+DATE = "14:11:14 February 23, 2016"
+
+# Revisions
+
+PROJECT_REVISION = "one_hertz_clock"
diff --git a/one_hertz_clock/one_hertz_clock.qsf b/one_hertz_clock/one_hertz_clock.qsf
new file mode 100644
index 0000000..35e2d83
--- /dev/null
+++ b/one_hertz_clock/one_hertz_clock.qsf
@@ -0,0 +1,57 @@
+# -------------------------------------------------------------------------- #
+#
+# Copyright (C) 1991-2013 Altera Corporation
+# Your use of Altera Corporation's design tools, logic functions
+# and other software and tools, and its AMPP partner logic
+# functions, and any output files from any of the foregoing
+# (including device programming or simulation files), and any
+# associated documentation or information are expressly subject
+# to the terms and conditions of the Altera Program License
+# Subscription Agreement, Altera MegaCore Function License
+# Agreement, or other applicable license agreement, including,
+# without limitation, that your use is for the sole purpose of
+# programming logic devices manufactured by Altera and sold by
+# Altera or its authorized distributors. Please refer to the
+# applicable agreement for further details.
+#
+# -------------------------------------------------------------------------- #
+#
+# Quartus II 64-Bit
+# Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Full Version
+# Date created = 14:11:14 February 23, 2016
+#
+# -------------------------------------------------------------------------- #
+#
+# Notes:
+#
+# 1) The default values for assignments are stored in the file:
+# one_hertz_clock_assignment_defaults.qdf
+# If this file doesn't exist, see file:
+# assignment_defaults.qdf
+#
+# 2) Altera recommends that you do not modify this file. This
+# file is updated automatically by the Quartus II software
+# and any changes you make may be lost or overwritten.
+#
+# -------------------------------------------------------------------------- #
+
+
+set_global_assignment -name FAMILY "Cyclone III"
+set_global_assignment -name DEVICE EP3C16U484C6
+set_global_assignment -name TOP_LEVEL_ENTITY one_hertz_clock
+set_global_assignment -name ORIGINAL_QUARTUS_VERSION "13.0 SP1"
+set_global_assignment -name PROJECT_CREATION_TIME_DATE "14:11:14 FEBRUARY 23, 2016"
+set_global_assignment -name LAST_QUARTUS_VERSION "13.0 SP1"
+set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files
+set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0
+set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85
+set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR 1
+set_global_assignment -name NOMINAL_CORE_SUPPLY_VOLTAGE 1.2V
+set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top
+set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top
+set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top
+set_global_assignment -name BDF_FILE ../adder/full_adder.bdf
+set_global_assignment -name BDF_FILE one_hertz_clock.bdf
+set_global_assignment -name POWER_PRESET_COOLING_SOLUTION "23 MM HEAT SINK WITH 200 LFPM AIRFLOW"
+set_global_assignment -name POWER_BOARD_THERMAL_MODEL "NONE (CONSERVATIVE)"
+set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top \ No newline at end of file
diff --git a/one_hertz_clock/one_hertz_clock.qws b/one_hertz_clock/one_hertz_clock.qws
new file mode 100644
index 0000000..6b70de3
--- /dev/null
+++ b/one_hertz_clock/one_hertz_clock.qws
Binary files differ
diff --git a/one_hertz_clock/output_files/one_hertz_clock.asm.rpt b/one_hertz_clock/output_files/one_hertz_clock.asm.rpt
new file mode 100644
index 0000000..4285052
--- /dev/null
+++ b/one_hertz_clock/output_files/one_hertz_clock.asm.rpt
@@ -0,0 +1,116 @@
+Assembler report for one_hertz_clock
+Tue Feb 23 16:02:18 2016
+Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Full Version
+
+
+---------------------
+; Table of Contents ;
+---------------------
+ 1. Legal Notice
+ 2. Assembler Summary
+ 3. Assembler Settings
+ 4. Assembler Generated Files
+ 5. Assembler Device Options: C:/one_hertz_clock/output_files/one_hertz_clock.sof
+ 6. Assembler Messages
+
+
+
+----------------
+; Legal Notice ;
+----------------
+Copyright (C) 1991-2013 Altera Corporation
+Your use of Altera Corporation's design tools, logic functions
+and other software and tools, and its AMPP partner logic
+functions, and any output files from any of the foregoing
+(including device programming or simulation files), and any
+associated documentation or information are expressly subject
+to the terms and conditions of the Altera Program License
+Subscription Agreement, Altera MegaCore Function License
+Agreement, or other applicable license agreement, including,
+without limitation, that your use is for the sole purpose of
+programming logic devices manufactured by Altera and sold by
+Altera or its authorized distributors. Please refer to the
+applicable agreement for further details.
+
+
+
++---------------------------------------------------------------+
+; Assembler Summary ;
++-----------------------+---------------------------------------+
+; Assembler Status ; Successful - Tue Feb 23 16:02:18 2016 ;
+; Revision Name ; one_hertz_clock ;
+; Top-level Entity Name ; one_hertz_clock ;
+; Family ; Cyclone III ;
+; Device ; EP3C16U484C6 ;
++-----------------------+---------------------------------------+
+
+
++--------------------------------------------------------------------------------------------------------+
+; Assembler Settings ;
++-----------------------------------------------------------------------------+----------+---------------+
+; Option ; Setting ; Default Value ;
++-----------------------------------------------------------------------------+----------+---------------+
+; Use smart compilation ; Off ; Off ;
+; Enable parallel Assembler and TimeQuest Timing Analyzer during compilation ; On ; On ;
+; Enable compact report table ; Off ; Off ;
+; Generate compressed bitstreams ; On ; On ;
+; Compression mode ; Off ; Off ;
+; Clock source for configuration device ; Internal ; Internal ;
+; Clock frequency of the configuration device ; 10 MHZ ; 10 MHz ;
+; Divide clock frequency by ; 1 ; 1 ;
+; Auto user code ; On ; On ;
+; Use configuration device ; Off ; Off ;
+; Configuration device ; Auto ; Auto ;
+; Configuration device auto user code ; Off ; Off ;
+; Generate Tabular Text File (.ttf) For Target Device ; Off ; Off ;
+; Generate Raw Binary File (.rbf) For Target Device ; Off ; Off ;
+; Generate Hexadecimal (Intel-Format) Output File (.hexout) for Target Device ; Off ; Off ;
+; Hexadecimal Output File start address ; 0 ; 0 ;
+; Hexadecimal Output File count direction ; Up ; Up ;
+; Release clears before tri-states ; Off ; Off ;
+; Auto-restart configuration after error ; On ; On ;
+; Enable OCT_DONE ; Off ; Off ;
+; Generate Serial Vector Format File (.svf) for Target Device ; Off ; Off ;
+; Generate a JEDEC STAPL Format File (.jam) for Target Device ; Off ; Off ;
+; Generate a compressed Jam STAPL Byte Code 2.0 File (.jbc) for Target Device ; Off ; Off ;
+; Generate a compressed Jam STAPL Byte Code 2.0 File (.jbc) for Target Device ; On ; On ;
++-----------------------------------------------------------------------------+----------+---------------+
+
+
++-----------------------------------------------------+
+; Assembler Generated Files ;
++-----------------------------------------------------+
+; File Name ;
++-----------------------------------------------------+
+; C:/one_hertz_clock/output_files/one_hertz_clock.sof ;
++-----------------------------------------------------+
+
+
++-------------------------------------------------------------------------------+
+; Assembler Device Options: C:/one_hertz_clock/output_files/one_hertz_clock.sof ;
++----------------+--------------------------------------------------------------+
+; Option ; Setting ;
++----------------+--------------------------------------------------------------+
+; Device ; EP3C16U484C6 ;
+; JTAG usercode ; 0x000CB833 ;
+; Checksum ; 0x000CB833 ;
++----------------+--------------------------------------------------------------+
+
+
++--------------------+
+; Assembler Messages ;
++--------------------+
+Info: *******************************************************************
+Info: Running Quartus II 64-Bit Assembler
+ Info: Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Full Version
+ Info: Processing started: Tue Feb 23 16:02:17 2016
+Info: Command: quartus_asm --read_settings_files=off --write_settings_files=off one_hertz_clock -c one_hertz_clock
+Info (115031): Writing out detailed assembly data for power analysis
+Info (115030): Assembler is generating device programming files
+Info: Quartus II 64-Bit Assembler was successful. 0 errors, 0 warnings
+ Info: Peak virtual memory: 420 megabytes
+ Info: Processing ended: Tue Feb 23 16:02:18 2016
+ Info: Elapsed time: 00:00:01
+ Info: Total CPU time (on all processors): 00:00:01
+
+
diff --git a/one_hertz_clock/output_files/one_hertz_clock.done b/one_hertz_clock/output_files/one_hertz_clock.done
new file mode 100644
index 0000000..91e5ea4
--- /dev/null
+++ b/one_hertz_clock/output_files/one_hertz_clock.done
@@ -0,0 +1 @@
+Tue Feb 23 16:02:21 2016
diff --git a/one_hertz_clock/output_files/one_hertz_clock.fit.rpt b/one_hertz_clock/output_files/one_hertz_clock.fit.rpt
new file mode 100644
index 0000000..7897f4a
--- /dev/null
+++ b/one_hertz_clock/output_files/one_hertz_clock.fit.rpt
@@ -0,0 +1,1297 @@
+Fitter report for one_hertz_clock
+Tue Feb 23 16:02:16 2016
+Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Full Version
+
+
+---------------------
+; Table of Contents ;
+---------------------
+ 1. Legal Notice
+ 2. Fitter Summary
+ 3. Fitter Settings
+ 4. Parallel Compilation
+ 5. I/O Assignment Warnings
+ 6. Incremental Compilation Preservation Summary
+ 7. Incremental Compilation Partition Settings
+ 8. Incremental Compilation Placement Preservation
+ 9. Pin-Out File
+ 10. Fitter Resource Usage Summary
+ 11. Fitter Partition Statistics
+ 12. Input Pins
+ 13. Output Pins
+ 14. Dual Purpose and Dedicated Pins
+ 15. I/O Bank Usage
+ 16. All Package Pins
+ 17. Fitter Resource Utilization by Entity
+ 18. Delay Chain Summary
+ 19. Pad To Core Delay Chain Fanout
+ 20. Control Signals
+ 21. Global & Other Fast Signals
+ 22. Non-Global High Fan-Out Signals
+ 23. Other Routing Usage Summary
+ 24. LAB Logic Elements
+ 25. LAB-wide Signals
+ 26. LAB Signals Sourced
+ 27. LAB Signals Sourced Out
+ 28. LAB Distinct Inputs
+ 29. I/O Rules Summary
+ 30. I/O Rules Details
+ 31. I/O Rules Matrix
+ 32. Fitter Device Options
+ 33. Operating Settings and Conditions
+ 34. Fitter Messages
+ 35. Fitter Suppressed Messages
+
+
+
+----------------
+; Legal Notice ;
+----------------
+Copyright (C) 1991-2013 Altera Corporation
+Your use of Altera Corporation's design tools, logic functions
+and other software and tools, and its AMPP partner logic
+functions, and any output files from any of the foregoing
+(including device programming or simulation files), and any
+associated documentation or information are expressly subject
+to the terms and conditions of the Altera Program License
+Subscription Agreement, Altera MegaCore Function License
+Agreement, or other applicable license agreement, including,
+without limitation, that your use is for the sole purpose of
+programming logic devices manufactured by Altera and sold by
+Altera or its authorized distributors. Please refer to the
+applicable agreement for further details.
+
+
+
++---------------------------------------------------------------------------------------+
+; Fitter Summary ;
++------------------------------------+--------------------------------------------------+
+; Fitter Status ; Successful - Tue Feb 23 16:02:16 2016 ;
+; Quartus II 64-Bit Version ; 13.0.1 Build 232 06/12/2013 SP 1 SJ Full Version ;
+; Revision Name ; one_hertz_clock ;
+; Top-level Entity Name ; one_hertz_clock ;
+; Family ; Cyclone III ;
+; Device ; EP3C16U484C6 ;
+; Timing Models ; Final ;
+; Total logic elements ; 35 / 15,408 ( < 1 % ) ;
+; Total combinational functions ; 35 / 15,408 ( < 1 % ) ;
+; Dedicated logic registers ; 19 / 15,408 ( < 1 % ) ;
+; Total registers ; 19 ;
+; Total pins ; 2 / 347 ( < 1 % ) ;
+; Total virtual pins ; 0 ;
+; Total memory bits ; 0 / 516,096 ( 0 % ) ;
+; Embedded Multiplier 9-bit elements ; 0 / 112 ( 0 % ) ;
+; Total PLLs ; 0 / 4 ( 0 % ) ;
++------------------------------------+--------------------------------------------------+
+
+
++------------------------------------------------------------------------------------------------------------------------------------------------------------+
+; Fitter Settings ;
++----------------------------------------------------------------------------+---------------------------------------+---------------------------------------+
+; Option ; Setting ; Default Value ;
++----------------------------------------------------------------------------+---------------------------------------+---------------------------------------+
+; Device ; EP3C16U484C6 ; ;
+; Nominal Core Supply Voltage ; 1.2V ; ;
+; Minimum Core Junction Temperature ; 0 ; ;
+; Maximum Core Junction Temperature ; 85 ; ;
+; Fit Attempts to Skip ; 0 ; 0.0 ;
+; Use smart compilation ; Off ; Off ;
+; Enable parallel Assembler and TimeQuest Timing Analyzer during compilation ; On ; On ;
+; Enable compact report table ; Off ; Off ;
+; Auto Merge PLLs ; On ; On ;
+; Router Timing Optimization Level ; Normal ; Normal ;
+; Perform Clocking Topology Analysis During Routing ; Off ; Off ;
+; Placement Effort Multiplier ; 1.0 ; 1.0 ;
+; Router Effort Multiplier ; 1.0 ; 1.0 ;
+; Optimize Hold Timing ; All Paths ; All Paths ;
+; Optimize Multi-Corner Timing ; On ; On ;
+; PowerPlay Power Optimization ; Normal compilation ; Normal compilation ;
+; SSN Optimization ; Off ; Off ;
+; Optimize Timing ; Normal compilation ; Normal compilation ;
+; Optimize Timing for ECOs ; Off ; Off ;
+; Regenerate full fit report during ECO compiles ; Off ; Off ;
+; Optimize IOC Register Placement for Timing ; Normal ; Normal ;
+; Limit to One Fitting Attempt ; Off ; Off ;
+; Final Placement Optimizations ; Automatically ; Automatically ;
+; Fitter Aggressive Routability Optimizations ; Automatically ; Automatically ;
+; Fitter Initial Placement Seed ; 1 ; 1 ;
+; PCI I/O ; Off ; Off ;
+; Weak Pull-Up Resistor ; Off ; Off ;
+; Enable Bus-Hold Circuitry ; Off ; Off ;
+; Auto Packed Registers ; Auto ; Auto ;
+; Auto Delay Chains ; On ; On ;
+; Auto Delay Chains for High Fanout Input Pins ; Off ; Off ;
+; Allow Single-ended Buffer for Differential-XSTL Input ; Off ; Off ;
+; Treat Bidirectional Pin as Output Pin ; Off ; Off ;
+; Perform Physical Synthesis for Combinational Logic for Fitting ; Off ; Off ;
+; Perform Physical Synthesis for Combinational Logic for Performance ; Off ; Off ;
+; Perform Register Duplication for Performance ; Off ; Off ;
+; Perform Logic to Memory Mapping for Fitting ; Off ; Off ;
+; Perform Register Retiming for Performance ; Off ; Off ;
+; Perform Asynchronous Signal Pipelining ; Off ; Off ;
+; Fitter Effort ; Auto Fit ; Auto Fit ;
+; Physical Synthesis Effort Level ; Normal ; Normal ;
+; Logic Cell Insertion - Logic Duplication ; Auto ; Auto ;
+; Auto Register Duplication ; Auto ; Auto ;
+; Auto Global Clock ; On ; On ;
+; Auto Global Register Control Signals ; On ; On ;
+; Reserve all unused pins ; As input tri-stated with weak pull-up ; As input tri-stated with weak pull-up ;
+; Synchronizer Identification ; Off ; Off ;
+; Enable Beneficial Skew Optimization ; On ; On ;
+; Optimize Design for Metastability ; On ; On ;
+; Force Fitter to Avoid Periphery Placement Warnings ; Off ; Off ;
+; RAM Bit Reservation (Cyclone III) ; Off ; Off ;
+; Enable input tri-state on active configuration pins in user mode ; Off ; Off ;
++----------------------------------------------------------------------------+---------------------------------------+---------------------------------------+
+
+
++------------------------------------------+
+; Parallel Compilation ;
++----------------------------+-------------+
+; Processors ; Number ;
++----------------------------+-------------+
+; Number detected on machine ; 8 ;
+; Maximum allowed ; 4 ;
+; ; ;
+; Average used ; 1.00 ;
+; Maximum used ; 4 ;
+; ; ;
+; Usage by Processor ; % Time Used ;
+; Processor 1 ; 100.0% ;
+; Processors 2-4 ; < 0.1% ;
+; Processors 5-8 ; 0.0% ;
++----------------------------+-------------+
+
+
++------------------------------------------+
+; I/O Assignment Warnings ;
++----------+-------------------------------+
+; Pin Name ; Reason ;
++----------+-------------------------------+
+; 1_Hz ; Incomplete set of assignments ;
+; CLK ; Incomplete set of assignments ;
++----------+-------------------------------+
+
+
++----------------------------------------------+
+; Incremental Compilation Preservation Summary ;
++---------------------+------------------------+
+; Type ; Value ;
++---------------------+------------------------+
+; Placement (by node) ; ;
+; -- Requested ; 0 / 70 ( 0.00 % ) ;
+; -- Achieved ; 0 / 70 ( 0.00 % ) ;
+; ; ;
+; Routing (by net) ; ;
+; -- Requested ; 0 / 0 ( 0.00 % ) ;
+; -- Achieved ; 0 / 0 ( 0.00 % ) ;
++---------------------+------------------------+
+
+
++----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
+; Incremental Compilation Partition Settings ;
++--------------------------------+----------------+-------------------+-------------------------+------------------------+------------------------------+--------------------------------+
+; Partition Name ; Partition Type ; Netlist Type Used ; Preservation Level Used ; Netlist Type Requested ; Preservation Level Requested ; Contents ;
++--------------------------------+----------------+-------------------+-------------------------+------------------------+------------------------------+--------------------------------+
+; Top ; User-created ; Source File ; N/A ; Source File ; N/A ; ;
+; hard_block:auto_generated_inst ; Auto-generated ; Source File ; N/A ; Source File ; N/A ; hard_block:auto_generated_inst ;
++--------------------------------+----------------+-------------------+-------------------------+------------------------+------------------------------+--------------------------------+
+
+
++------------------------------------------------------------------------------------------------------------+
+; Incremental Compilation Placement Preservation ;
++--------------------------------+---------+-------------------+-------------------------+-------------------+
+; Partition Name ; # Nodes ; # Preserved Nodes ; Preservation Level Used ; Netlist Type Used ;
++--------------------------------+---------+-------------------+-------------------------+-------------------+
+; Top ; 60 ; 0 ; N/A ; Source File ;
+; hard_block:auto_generated_inst ; 10 ; 0 ; N/A ; Source File ;
++--------------------------------+---------+-------------------+-------------------------+-------------------+
+
+
++--------------+
+; Pin-Out File ;
++--------------+
+The pin-out file can be found in C:/one_hertz_clock/output_files/one_hertz_clock.pin.
+
+
++---------------------------------------------------------------------+
+; Fitter Resource Usage Summary ;
++---------------------------------------------+-----------------------+
+; Resource ; Usage ;
++---------------------------------------------+-----------------------+
+; Total logic elements ; 35 / 15,408 ( < 1 % ) ;
+; -- Combinational with no register ; 16 ;
+; -- Register only ; 0 ;
+; -- Combinational with a register ; 19 ;
+; ; ;
+; Logic element usage by number of LUT inputs ; ;
+; -- 4 input functions ; 19 ;
+; -- 3 input functions ; 12 ;
+; -- <=2 input functions ; 4 ;
+; -- Register only ; 0 ;
+; ; ;
+; Logic elements by mode ; ;
+; -- normal mode ; 35 ;
+; -- arithmetic mode ; 0 ;
+; ; ;
+; Total registers* ; 19 / 17,068 ( < 1 % ) ;
+; -- Dedicated logic registers ; 19 / 15,408 ( < 1 % ) ;
+; -- I/O registers ; 0 / 1,660 ( 0 % ) ;
+; ; ;
+; Total LABs: partially or completely used ; 3 / 963 ( < 1 % ) ;
+; Virtual pins ; 0 ;
+; I/O pins ; 2 / 347 ( < 1 % ) ;
+; -- Clock pins ; 1 / 8 ( 13 % ) ;
+; -- Dedicated input pins ; 0 / 9 ( 0 % ) ;
+; ; ;
+; Global signals ; 1 ;
+; M9Ks ; 0 / 56 ( 0 % ) ;
+; Total block memory bits ; 0 / 516,096 ( 0 % ) ;
+; Total block memory implementation bits ; 0 / 516,096 ( 0 % ) ;
+; Embedded Multiplier 9-bit elements ; 0 / 112 ( 0 % ) ;
+; PLLs ; 0 / 4 ( 0 % ) ;
+; Global clocks ; 1 / 20 ( 5 % ) ;
+; JTAGs ; 0 / 1 ( 0 % ) ;
+; CRC blocks ; 0 / 1 ( 0 % ) ;
+; ASMI blocks ; 0 / 1 ( 0 % ) ;
+; Impedance control blocks ; 0 / 4 ( 0 % ) ;
+; Average interconnect usage (total/H/V) ; 0% / 0% / 0% ;
+; Peak interconnect usage (total/H/V) ; 0% / 0% / 0% ;
+; Maximum fan-out ; 19 ;
+; Highest non-global fan-out ; 19 ;
+; Total fan-out ; 166 ;
+; Average fan-out ; 2.41 ;
++---------------------------------------------+-----------------------+
+* Register count does not include registers inside RAM blocks or DSP blocks.
+
+
+
++-----------------------------------------------------------------------------------------------------+
+; Fitter Partition Statistics ;
++---------------------------------------------+----------------------+--------------------------------+
+; Statistic ; Top ; hard_block:auto_generated_inst ;
++---------------------------------------------+----------------------+--------------------------------+
+; Difficulty Clustering Region ; Low ; Low ;
+; ; ; ;
+; Total logic elements ; 35 / 15408 ( < 1 % ) ; 0 / 15408 ( 0 % ) ;
+; -- Combinational with no register ; 16 ; 0 ;
+; -- Register only ; 0 ; 0 ;
+; -- Combinational with a register ; 19 ; 0 ;
+; ; ; ;
+; Logic element usage by number of LUT inputs ; ; ;
+; -- 4 input functions ; 19 ; 0 ;
+; -- 3 input functions ; 12 ; 0 ;
+; -- <=2 input functions ; 4 ; 0 ;
+; -- Register only ; 0 ; 0 ;
+; ; ; ;
+; Logic elements by mode ; ; ;
+; -- normal mode ; 35 ; 0 ;
+; -- arithmetic mode ; 0 ; 0 ;
+; ; ; ;
+; Total registers ; 19 ; 0 ;
+; -- Dedicated logic registers ; 19 / 15408 ( < 1 % ) ; 0 / 15408 ( 0 % ) ;
+; ; ; ;
+; Total LABs: partially or completely used ; 3 / 963 ( < 1 % ) ; 0 / 963 ( 0 % ) ;
+; ; ; ;
+; Virtual pins ; 0 ; 0 ;
+; I/O pins ; 2 ; 0 ;
+; Embedded Multiplier 9-bit elements ; 0 / 112 ( 0 % ) ; 0 / 112 ( 0 % ) ;
+; Total memory bits ; 0 ; 0 ;
+; Total RAM block bits ; 0 ; 0 ;
+; Clock control block ; 1 / 24 ( 4 % ) ; 0 / 24 ( 0 % ) ;
+; ; ; ;
+; Connections ; ; ;
+; -- Input Connections ; 0 ; 0 ;
+; -- Registered Input Connections ; 0 ; 0 ;
+; -- Output Connections ; 0 ; 0 ;
+; -- Registered Output Connections ; 0 ; 0 ;
+; ; ; ;
+; Internal Connections ; ; ;
+; -- Total Connections ; 161 ; 5 ;
+; -- Registered Connections ; 70 ; 0 ;
+; ; ; ;
+; External Connections ; ; ;
+; -- Top ; 0 ; 0 ;
+; -- hard_block:auto_generated_inst ; 0 ; 0 ;
+; ; ; ;
+; Partition Interface ; ; ;
+; -- Input Ports ; 1 ; 0 ;
+; -- Output Ports ; 1 ; 0 ;
+; -- Bidir Ports ; 0 ; 0 ;
+; ; ; ;
+; Registered Ports ; ; ;
+; -- Registered Input Ports ; 0 ; 0 ;
+; -- Registered Output Ports ; 0 ; 0 ;
+; ; ; ;
+; Port Connectivity ; ; ;
+; -- Input Ports driven by GND ; 0 ; 0 ;
+; -- Output Ports driven by GND ; 0 ; 0 ;
+; -- Input Ports driven by VCC ; 0 ; 0 ;
+; -- Output Ports driven by VCC ; 0 ; 0 ;
+; -- Input Ports with no Source ; 0 ; 0 ;
+; -- Output Ports with no Source ; 0 ; 0 ;
+; -- Input Ports with no Fanout ; 0 ; 0 ;
+; -- Output Ports with no Fanout ; 0 ; 0 ;
++---------------------------------------------+----------------------+--------------------------------+
+
+
++---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
+; Input Pins ;
++------+-------+----------+--------------+--------------+--------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+---------------------------+----------------------+
+; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Z coordinate ; Combinational Fan-Out ; Registered Fan-Out ; Global ; Input Register ; Power Up High ; PCI I/O Enabled ; Bus Hold ; Weak Pull Up ; I/O Standard ; Termination Control Block ; Location assigned by ;
++------+-------+----------+--------------+--------------+--------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+---------------------------+----------------------+
+; CLK ; G2 ; 1 ; 0 ; 14 ; 0 ; 19 ; 0 ; yes ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; Fitter ;
++------+-------+----------+--------------+--------------+--------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+---------------------------+----------------------+
+
+
++-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
+; Output Pins ;
++------+-------+----------+--------------+--------------+--------------+-----------------+------------------------+---------------+-----------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-----------------------------------+---------------------------+----------------------------+-----------------------------+----------------------+----------------------+---------------------+
+; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Z coordinate ; Output Register ; Output Enable Register ; Power Up High ; Slew Rate ; PCI I/O Enabled ; Open Drain ; TRI Primitive ; Bus Hold ; Weak Pull Up ; I/O Standard ; Current Strength ; Termination ; Termination Control Block ; Output Buffer Pre-emphasis ; Voltage Output Differential ; Location assigned by ; Output Enable Source ; Output Enable Group ;
++------+-------+----------+--------------+--------------+--------------+-----------------+------------------------+---------------+-----------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-----------------------------------+---------------------------+----------------------------+-----------------------------+----------------------+----------------------+---------------------+
+; 1_Hz ; R21 ; 5 ; 41 ; 10 ; 7 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; Fitter ; - ; - ;
++------+-------+----------+--------------+--------------+--------------+-----------------+------------------------+---------------+-----------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-----------------------------------+---------------------------+----------------------------+-----------------------------+----------------------+----------------------+---------------------+
+
+
++-------------------------------------------------------------------------------------------------------------------------+
+; Dual Purpose and Dedicated Pins ;
++----------+-----------------------------+--------------------------+-------------------------+---------------------------+
+; Location ; Pin Name ; Reserved As ; User Signal Name ; Pin Type ;
++----------+-----------------------------+--------------------------+-------------------------+---------------------------+
+; D1 ; DIFFIO_L4n, DATA1, ASDO ; As input tri-stated ; ~ALTERA_ASDO_DATA1~ ; Dual Purpose Pin ;
+; E2 ; DIFFIO_L6p, FLASH_nCE, nCSO ; As input tri-stated ; ~ALTERA_FLASH_nCE_nCSO~ ; Dual Purpose Pin ;
+; K6 ; nSTATUS ; - ; - ; Dedicated Programming Pin ;
+; K2 ; DCLK ; As output driving ground ; ~ALTERA_DCLK~ ; Dual Purpose Pin ;
+; K1 ; DATA0 ; As input tri-stated ; ~ALTERA_DATA0~ ; Dual Purpose Pin ;
+; K5 ; nCONFIG ; - ; - ; Dedicated Programming Pin ;
+; L3 ; nCE ; - ; - ; Dedicated Programming Pin ;
+; M18 ; CONF_DONE ; - ; - ; Dedicated Programming Pin ;
+; M17 ; MSEL0 ; - ; - ; Dedicated Programming Pin ;
+; L18 ; MSEL1 ; - ; - ; Dedicated Programming Pin ;
+; L17 ; MSEL2 ; - ; - ; Dedicated Programming Pin ;
+; K20 ; MSEL3 ; - ; - ; Dedicated Programming Pin ;
+; K22 ; DIFFIO_R16n, nCEO ; Use as programming pin ; ~ALTERA_nCEO~ ; Dual Purpose Pin ;
++----------+-----------------------------+--------------------------+-------------------------+---------------------------+
+
+
++-----------------------------------------------------------+
+; I/O Bank Usage ;
++----------+-----------------+---------------+--------------+
+; I/O Bank ; Usage ; VCCIO Voltage ; VREF Voltage ;
++----------+-----------------+---------------+--------------+
+; 1 ; 5 / 33 ( 15 % ) ; 2.5V ; -- ;
+; 2 ; 0 / 48 ( 0 % ) ; 2.5V ; -- ;
+; 3 ; 0 / 46 ( 0 % ) ; 2.5V ; -- ;
+; 4 ; 0 / 41 ( 0 % ) ; 2.5V ; -- ;
+; 5 ; 1 / 46 ( 2 % ) ; 2.5V ; -- ;
+; 6 ; 1 / 43 ( 2 % ) ; 2.5V ; -- ;
+; 7 ; 0 / 47 ( 0 % ) ; 2.5V ; -- ;
+; 8 ; 0 / 43 ( 0 % ) ; 2.5V ; -- ;
++----------+-----------------+---------------+--------------+
+
+
++-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
+; All Package Pins ;
++----------+------------+----------+-----------------------------------------------------------+--------+--------------+---------+------------+-----------------+----------+--------------+
+; Location ; Pad Number ; I/O Bank ; Pin Name/Usage ; Dir. ; I/O Standard ; Voltage ; I/O Type ; User Assignment ; Bus Hold ; Weak Pull Up ;
++----------+------------+----------+-----------------------------------------------------------+--------+--------------+---------+------------+-----------------+----------+--------------+
+; A1 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ;
+; A2 ; ; 8 ; VCCIO8 ; power ; ; 2.5V ; -- ; ; -- ; -- ;
+; A3 ; 354 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; A4 ; 350 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; A5 ; 345 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; A6 ; 336 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; A7 ; 334 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; A8 ; 332 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; A9 ; 328 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; A10 ; 326 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; A11 ; 321 ; 8 ; GND+ ; ; ; ; Column I/O ; ; -- ; -- ;
+; A12 ; 319 ; 7 ; GND+ ; ; ; ; Column I/O ; ; -- ; -- ;
+; A13 ; 314 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; A14 ; 312 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; A15 ; 307 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; A16 ; 298 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; A17 ; 296 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; A18 ; 291 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; A19 ; 290 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; A20 ; 284 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; A21 ; ; 7 ; VCCIO7 ; power ; ; 2.5V ; -- ; ; -- ; -- ;
+; A22 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ;
+; AA1 ; 76 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; AA2 ; 75 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; AA3 ; 102 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; AA4 ; 106 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; AA5 ; 108 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; AA6 ; ; 3 ; VCCIO3 ; power ; ; 2.5V ; -- ; ; -- ; -- ;
+; AA7 ; 115 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; AA8 ; 123 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; AA9 ; 126 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; AA10 ; 132 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; AA11 ; 134 ; 3 ; GND+ ; ; ; ; Column I/O ; ; -- ; -- ;
+; AA12 ; 136 ; 4 ; GND+ ; ; ; ; Column I/O ; ; -- ; -- ;
+; AA13 ; 138 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; AA14 ; 140 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; AA15 ; 145 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; AA16 ; 149 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; AA17 ; 151 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; AA18 ; 163 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; AA19 ; 164 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; AA20 ; 169 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; AA21 ; 179 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; AA22 ; 178 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; AB1 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ;
+; AB2 ; ; 3 ; VCCIO3 ; power ; ; 2.5V ; -- ; ; -- ; -- ;
+; AB3 ; 103 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; AB4 ; 107 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; AB5 ; 109 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; AB6 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ;
+; AB7 ; 116 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; AB8 ; 124 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; AB9 ; 127 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; AB10 ; 133 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; AB11 ; 135 ; 3 ; GND+ ; ; ; ; Column I/O ; ; -- ; -- ;
+; AB12 ; 137 ; 4 ; GND+ ; ; ; ; Column I/O ; ; -- ; -- ;
+; AB13 ; 139 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; AB14 ; 141 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; AB15 ; 146 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; AB16 ; 150 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; AB17 ; 152 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; AB18 ; 162 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; AB19 ; 165 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; AB20 ; 170 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; AB21 ; ; 4 ; VCCIO4 ; power ; ; 2.5V ; -- ; ; -- ; -- ;
+; AB22 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ;
+; B1 ; 2 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; B2 ; 1 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; B3 ; 355 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; B4 ; 351 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; B5 ; 346 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; B6 ; 337 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; B7 ; 335 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; B8 ; 333 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; B9 ; 329 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; B10 ; 327 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; B11 ; 322 ; 8 ; GND+ ; ; ; ; Column I/O ; ; -- ; -- ;
+; B12 ; 320 ; 7 ; GND+ ; ; ; ; Column I/O ; ; -- ; -- ;
+; B13 ; 315 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; B14 ; 313 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; B15 ; 308 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; B16 ; 299 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; B17 ; 297 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; B18 ; 292 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; B19 ; 289 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; B20 ; 285 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; B21 ; 269 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; B22 ; 268 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; C1 ; 7 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; C2 ; 6 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; C3 ; 358 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; C4 ; 359 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; C5 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ;
+; C6 ; 349 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; C7 ; 340 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; C8 ; 339 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; C9 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ;
+; C10 ; 330 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; C11 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ;
+; C12 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ;
+; C13 ; 309 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; C14 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ;
+; C15 ; 300 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; -- ; -- ;
+; C16 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ;
+; C17 ; 286 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; C18 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ;
+; C19 ; 282 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; C20 ; 270 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; C21 ; 267 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; C22 ; 266 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; D1 ; 9 ; 1 ; ~ALTERA_ASDO_DATA1~ / RESERVED_INPUT_WITH_WEAK_PULLUP ; input ; 2.5 V ; ; Row I/O ; N ; no ; On ;
+; D2 ; 8 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; D3 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ;
+; D4 ; ; 1 ; VCCIO1 ; power ; ; 2.5V ; -- ; ; -- ; -- ;
+; D5 ; ; 8 ; VCCIO8 ; power ; ; 2.5V ; -- ; ; -- ; -- ;
+; D6 ; 356 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; -- ; -- ;
+; D7 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ;
+; D8 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ;
+; D9 ; ; 8 ; VCCIO8 ; power ; ; 2.5V ; -- ; ; -- ; -- ;
+; D10 ; 324 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; D11 ; ; 8 ; VCCIO8 ; power ; ; 2.5V ; -- ; ; -- ; -- ;
+; D12 ; ; 7 ; VCCIO7 ; power ; ; 2.5V ; -- ; ; -- ; -- ;
+; D13 ; 310 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; D14 ; ; 7 ; VCCIO7 ; power ; ; 2.5V ; -- ; ; -- ; -- ;
+; D15 ; 293 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; D16 ; ; 7 ; VCCIO7 ; power ; ; 2.5V ; -- ; ; -- ; -- ;
+; D17 ; 281 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; -- ; -- ;
+; D18 ; ; 7 ; VCCIO7 ; power ; ; 2.5V ; -- ; ; -- ; -- ;
+; D19 ; 283 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; D20 ; 271 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; D21 ; 261 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; D22 ; 260 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; E1 ; 14 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; E2 ; 13 ; 1 ; ~ALTERA_FLASH_nCE_nCSO~ / RESERVED_INPUT_WITH_WEAK_PULLUP ; input ; 2.5 V ; ; Row I/O ; N ; no ; On ;
+; E3 ; 5 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; E4 ; 4 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; E5 ; 363 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; E6 ; 362 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; E7 ; 357 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; E8 ; ; 8 ; VCCIO8 ; power ; ; 2.5V ; -- ; ; -- ; -- ;
+; E9 ; 338 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; -- ; -- ;
+; E10 ; 325 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; E11 ; 317 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; E12 ; 316 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; E13 ; 311 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; E14 ; 301 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; E15 ; 294 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; E16 ; 275 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; E17 ; ; ; VCCD_PLL2 ; power ; ; 1.2V ; -- ; ; -- ; -- ;
+; E18 ; ; ; GNDA2 ; gnd ; ; ; -- ; ; -- ; -- ;
+; E19 ; ; 6 ; VCCIO6 ; power ; ; 2.5V ; -- ; ; -- ; -- ;
+; E20 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ;
+; E21 ; 256 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; E22 ; 255 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; F1 ; 16 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; F2 ; 15 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; F3 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ;
+; F4 ; ; 1 ; VCCIO1 ; power ; ; 2.5V ; -- ; ; -- ; -- ;
+; F5 ; ; ; GNDA3 ; gnd ; ; ; -- ; ; -- ; -- ;
+; F6 ; ; ; VCCD_PLL3 ; power ; ; 1.2V ; -- ; ; -- ; -- ;
+; F7 ; 360 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; F8 ; 352 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; F9 ; 347 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; F10 ; 348 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; F11 ; 318 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; F12 ; 302 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; F13 ; 306 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; F14 ; 279 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; F15 ; 276 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; F16 ; 274 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; F17 ; 272 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; F18 ; ; -- ; VCCA2 ; power ; ; 2.5V ; -- ; ; -- ; -- ;
+; F19 ; 263 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; F20 ; 262 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; F21 ; 251 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; F22 ; 250 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; G1 ; 39 ; 1 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ;
+; G2 ; 38 ; 1 ; CLK ; input ; 2.5 V ; ; Row I/O ; N ; no ; Off ;
+; G3 ; 18 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; G4 ; 17 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; G5 ; 3 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; G6 ; ; -- ; VCCA3 ; power ; ; 2.5V ; -- ; ; -- ; -- ;
+; G7 ; 361 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; G8 ; 353 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; G9 ; 342 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; G10 ; 341 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; G11 ; 331 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; G12 ; 305 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; G13 ; 295 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; G14 ; 280 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; G15 ; 278 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; G16 ; 277 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; G17 ; 273 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; G18 ; 264 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; G19 ; ; 6 ; VCCIO6 ; power ; ; 2.5V ; -- ; ; -- ; -- ;
+; G20 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ;
+; G21 ; 226 ; 6 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ;
+; G22 ; 225 ; 6 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ;
+; H1 ; 26 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; H2 ; 25 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; H3 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ;
+; H4 ; ; 1 ; VCCIO1 ; power ; ; 2.5V ; -- ; ; -- ; -- ;
+; H5 ; 0 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; H6 ; 11 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; H7 ; 10 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; -- ; -- ;
+; H8 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ;
+; H9 ; 344 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; H10 ; 343 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; H11 ; 323 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; H12 ; 304 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; H13 ; 303 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; H14 ; 288 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; H15 ; 287 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; H16 ; 259 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; H17 ; 265 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; H18 ; 257 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; -- ; -- ;
+; H19 ; 254 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; H20 ; 253 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; H21 ; 246 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; H22 ; 245 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; J1 ; 29 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; J2 ; 28 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; J3 ; 27 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; -- ; -- ;
+; J4 ; 24 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; J5 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ;
+; J6 ; 12 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; J7 ; 22 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; J8 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ;
+; J9 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ;
+; J10 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ;
+; J11 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ;
+; J12 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ;
+; J13 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ;
+; J14 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ;
+; J15 ; 238 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; J16 ; 243 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; J17 ; 258 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; J18 ; 249 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; J19 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ;
+; J20 ; ; 6 ; VCCIO6 ; power ; ; 2.5V ; -- ; ; -- ; -- ;
+; J21 ; 242 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; J22 ; 241 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; K1 ; 31 ; 1 ; ~ALTERA_DATA0~ / RESERVED_INPUT_WITH_WEAK_PULLUP ; input ; 2.5 V ; ; Row I/O ; N ; no ; On ;
+; K2 ; 30 ; 1 ; ~ALTERA_DCLK~ ; output ; 2.5 V ; ; Row I/O ; N ; no ; On ;
+; K3 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ;
+; K4 ; ; 1 ; VCCIO1 ; power ; ; 2.5V ; -- ; ; -- ; -- ;
+; K5 ; 32 ; 1 ; ^nCONFIG ; ; ; ; -- ; ; -- ; -- ;
+; K6 ; 19 ; 1 ; ^nSTATUS ; ; ; ; -- ; ; -- ; -- ;
+; K7 ; 23 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; K8 ; 21 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; K9 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ;
+; K10 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ;
+; K11 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ;
+; K12 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ;
+; K13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ;
+; K14 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ;
+; K15 ; 236 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; K16 ; 244 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; K17 ; 247 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; K18 ; 248 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; K19 ; 237 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; -- ; -- ;
+; K20 ; 231 ; 6 ; ^MSEL3 ; ; ; ; -- ; ; -- ; -- ;
+; K21 ; 240 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; K22 ; 239 ; 6 ; ~ALTERA_nCEO~ / RESERVED_OUTPUT_OPEN_DRAIN ; output ; 2.5 V ; ; Row I/O ; N ; no ; Off ;
+; L1 ; 35 ; 1 ; #TMS ; input ; ; ; -- ; ; -- ; -- ;
+; L2 ; 34 ; 1 ; #TCK ; input ; ; ; -- ; ; -- ; -- ;
+; L3 ; 37 ; 1 ; ^nCE ; ; ; ; -- ; ; -- ; -- ;
+; L4 ; 36 ; 1 ; #TDO ; output ; ; ; -- ; ; -- ; -- ;
+; L5 ; 33 ; 1 ; #TDI ; input ; ; ; -- ; ; -- ; -- ;
+; L6 ; 42 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; L7 ; 50 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; L8 ; 20 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; L9 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ;
+; L10 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ;
+; L11 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ;
+; L12 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ;
+; L13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ;
+; L14 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ;
+; L15 ; 233 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; L16 ; 232 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; L17 ; 230 ; 6 ; ^MSEL2 ; ; ; ; -- ; ; -- ; -- ;
+; L18 ; 229 ; 6 ; ^MSEL1 ; ; ; ; -- ; ; -- ; -- ;
+; L19 ; ; 6 ; VCCIO6 ; power ; ; 2.5V ; -- ; ; -- ; -- ;
+; L20 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ;
+; L21 ; 235 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; L22 ; 234 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; M1 ; 45 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; M2 ; 44 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; M3 ; 47 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; M4 ; 46 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; M5 ; 51 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; -- ; -- ;
+; M6 ; 43 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; M7 ; 65 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; M8 ; 66 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; M9 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ;
+; M10 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ;
+; M11 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ;
+; M12 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ;
+; M13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ;
+; M14 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ;
+; M15 ; 195 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; M16 ; 222 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; M17 ; 228 ; 6 ; ^MSEL0 ; ; ; ; -- ; ; -- ; -- ;
+; M18 ; 227 ; 6 ; ^CONF_DONE ; ; ; ; -- ; ; -- ; -- ;
+; M19 ; 221 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; M20 ; 220 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; M21 ; 219 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; M22 ; 218 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; N1 ; 49 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; N2 ; 48 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; N3 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ;
+; N4 ; ; 2 ; VCCIO2 ; power ; ; 2.5V ; -- ; ; -- ; -- ;
+; N5 ; 56 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; N6 ; 64 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; N7 ; 73 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; N8 ; 67 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; N9 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ;
+; N10 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ;
+; N11 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ;
+; N12 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ;
+; N13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ;
+; N14 ; 189 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; N15 ; 196 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; N16 ; 205 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; N17 ; 214 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; N18 ; 215 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; N19 ; 213 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; N20 ; 212 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; N21 ; 217 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; N22 ; 216 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; P1 ; 53 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; P2 ; 52 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; P3 ; 58 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; P4 ; 57 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; P5 ; 63 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; P6 ; 79 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; P7 ; 74 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; P8 ; 86 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; P9 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ;
+; P10 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ;
+; P11 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ;
+; P12 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ;
+; P13 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ;
+; P14 ; 180 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; P15 ; 192 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; P16 ; 193 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; P17 ; 197 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; P18 ; ; 5 ; VCCIO5 ; power ; ; 2.5V ; -- ; ; -- ; -- ;
+; P19 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ;
+; P20 ; 208 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; -- ; -- ;
+; P21 ; 211 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; P22 ; 210 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; R1 ; 55 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; R2 ; 54 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; R3 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ;
+; R4 ; ; 2 ; VCCIO2 ; power ; ; 2.5V ; -- ; ; -- ; -- ;
+; R5 ; 80 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; R6 ; 83 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; R7 ; 84 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; R8 ; 87 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; R9 ; 88 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; R10 ; 90 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; R11 ; 97 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; R12 ; 98 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; R13 ; 153 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; R14 ; 175 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; R15 ; 176 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; R16 ; 172 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; R17 ; 194 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; -- ; -- ;
+; R18 ; 203 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; R19 ; 204 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; R20 ; 200 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; R21 ; 207 ; 5 ; 1_Hz ; output ; 2.5 V ; ; Row I/O ; N ; no ; Off ;
+; R22 ; 206 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; T1 ; 41 ; 2 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ;
+; T2 ; 40 ; 2 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ;
+; T3 ; 72 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; -- ; -- ;
+; T4 ; 81 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; T5 ; 82 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; T6 ; ; -- ; VCCA1 ; power ; ; 2.5V ; -- ; ; -- ; -- ;
+; T7 ; 85 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; T8 ; 89 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; T9 ; 91 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; T10 ; 121 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; T11 ; 125 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; T12 ; 148 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; T13 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ;
+; T14 ; 160 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; T15 ; 161 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; T16 ; 171 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; T17 ; 181 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; T18 ; 182 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; T19 ; ; 5 ; VCCIO5 ; power ; ; 2.5V ; -- ; ; -- ; -- ;
+; T20 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ;
+; T21 ; 224 ; 5 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ;
+; T22 ; 223 ; 5 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ;
+; U1 ; 60 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; U2 ; 59 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; U3 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ;
+; U4 ; ; 2 ; VCCIO2 ; power ; ; 2.5V ; -- ; ; -- ; -- ;
+; U5 ; ; ; GNDA1 ; gnd ; ; ; -- ; ; -- ; -- ;
+; U6 ; ; ; VCCD_PLL1 ; power ; ; 1.2V ; -- ; ; -- ; -- ;
+; U7 ; 94 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; U8 ; 95 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; U9 ; 112 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; U10 ; 122 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; U11 ; 128 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; U12 ; 147 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; U13 ; 156 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; U14 ; 174 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; U15 ; 173 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; U16 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ;
+; U17 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ;
+; U18 ; ; -- ; VCCA4 ; power ; ; 2.5V ; -- ; ; -- ; -- ;
+; U19 ; 188 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; U20 ; 187 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; U21 ; 202 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; U22 ; 201 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; V1 ; 62 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; V2 ; 61 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; V3 ; 78 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; V4 ; 77 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; V5 ; 93 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; V6 ; 92 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; V7 ; 105 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; V8 ; 113 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; V9 ; 119 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; -- ; -- ;
+; V10 ; 120 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; V11 ; 129 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; V12 ; 142 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; V13 ; 154 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; V14 ; 157 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; V15 ; 158 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; V16 ; 168 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; -- ; -- ;
+; V17 ; ; ; VCCD_PLL4 ; power ; ; 1.2V ; -- ; ; -- ; -- ;
+; V18 ; ; ; GNDA4 ; gnd ; ; ; -- ; ; -- ; -- ;
+; V19 ; ; 5 ; VCCIO5 ; power ; ; 2.5V ; -- ; ; -- ; -- ;
+; V20 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ;
+; V21 ; 199 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; V22 ; 198 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; W1 ; 69 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; W2 ; 68 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; W3 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ;
+; W4 ; ; 2 ; VCCIO2 ; power ; ; 2.5V ; -- ; ; -- ; -- ;
+; W5 ; ; 3 ; VCCIO3 ; power ; ; 2.5V ; -- ; ; -- ; -- ;
+; W6 ; 104 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; W7 ; 110 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; W8 ; 114 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; W9 ; ; 3 ; VCCIO3 ; power ; ; 2.5V ; -- ; ; -- ; -- ;
+; W10 ; 130 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; W11 ; ; 3 ; VCCIO3 ; power ; ; 2.5V ; -- ; ; -- ; -- ;
+; W12 ; ; 4 ; VCCIO4 ; power ; ; 2.5V ; -- ; ; -- ; -- ;
+; W13 ; 143 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; W14 ; 155 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; -- ; -- ;
+; W15 ; 159 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; W16 ; ; 4 ; VCCIO4 ; power ; ; 2.5V ; -- ; ; -- ; -- ;
+; W17 ; 166 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; W18 ; ; 4 ; VCCIO4 ; power ; ; 2.5V ; -- ; ; -- ; -- ;
+; W19 ; 184 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; W20 ; 183 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; W21 ; 191 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; W22 ; 190 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; Y1 ; 71 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; Y2 ; 70 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; Y3 ; 99 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; Y4 ; 96 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; -- ; -- ;
+; Y5 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ;
+; Y6 ; 101 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; Y7 ; 111 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; Y8 ; 117 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; Y9 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ;
+; Y10 ; 131 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; Y11 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ;
+; Y12 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ;
+; Y13 ; 144 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; Y14 ; ; 4 ; VCCIO4 ; power ; ; 2.5V ; -- ; ; -- ; -- ;
+; Y15 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ;
+; Y16 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ;
+; Y17 ; 167 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; Y18 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ;
+; Y19 ; ; 5 ; VCCIO5 ; power ; ; 2.5V ; -- ; ; -- ; -- ;
+; Y20 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ;
+; Y21 ; 186 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; Y22 ; 185 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
++----------+------------+----------+-----------------------------------------------------------+--------+--------------+---------+------------+-----------------+----------+--------------+
+Note: Pin directions (input, output or bidir) are based on device operating in user mode.
+
+
++---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
+; Fitter Resource Utilization by Entity ;
++----------------------------+-------------+---------------------------+---------------+-------------+------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+-------------------------------------+--------------+
+; Compilation Hierarchy Node ; Logic Cells ; Dedicated Logic Registers ; I/O Registers ; Memory Bits ; M9Ks ; DSP Elements ; DSP 9x9 ; DSP 18x18 ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Full Hierarchy Name ; Library Name ;
++----------------------------+-------------+---------------------------+---------------+-------------+------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+-------------------------------------+--------------+
+; |one_hertz_clock ; 35 (26) ; 19 (19) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 ; 0 ; 16 (7) ; 0 (0) ; 19 (19) ; |one_hertz_clock ; work ;
+; |full_adder:inst369| ; 1 (1) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 0 (0) ; |one_hertz_clock|full_adder:inst369 ; work ;
+; |full_adder:inst59| ; 3 (3) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 3 (3) ; 0 (0) ; 0 (0) ; |one_hertz_clock|full_adder:inst59 ; work ;
+; |full_adder:inst73| ; 2 (2) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (2) ; 0 (0) ; 0 (0) ; |one_hertz_clock|full_adder:inst73 ; work ;
+; |full_adder:inst88| ; 2 (2) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (2) ; 0 (0) ; 0 (0) ; |one_hertz_clock|full_adder:inst88 ; work ;
+; |full_adder:inst98| ; 1 (1) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 0 (0) ; |one_hertz_clock|full_adder:inst98 ; work ;
++----------------------------+-------------+---------------------------+---------------+-------------+------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+-------------------------------------+--------------+
+Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy.
+
+
++--------------------------------------------------------------------------------------+
+; Delay Chain Summary ;
++------+----------+---------------+---------------+-----------------------+-----+------+
+; Name ; Pin Type ; Pad to Core 0 ; Pad to Core 1 ; Pad to Input Register ; TCO ; TCOE ;
++------+----------+---------------+---------------+-----------------------+-----+------+
+; 1_Hz ; Output ; -- ; -- ; -- ; -- ; -- ;
+; CLK ; Input ; (0) 0 ps ; -- ; -- ; -- ; -- ;
++------+----------+---------------+---------------+-----------------------+-----+------+
+
+
++---------------------------------------------------+
+; Pad To Core Delay Chain Fanout ;
++---------------------+-------------------+---------+
+; Source Pin / Fanout ; Pad To Core Index ; Setting ;
++---------------------+-------------------+---------+
+; CLK ; ; ;
++---------------------+-------------------+---------+
+
+
++------------------------------------------------------------------------------------------------------------------+
+; Control Signals ;
++------+----------+---------+-------+--------+----------------------+------------------+---------------------------+
+; Name ; Location ; Fan-Out ; Usage ; Global ; Global Resource Used ; Global Line Name ; Enable Signal Source Name ;
++------+----------+---------+-------+--------+----------------------+------------------+---------------------------+
+; CLK ; PIN_G2 ; 19 ; Clock ; yes ; Global Clock ; GCLK4 ; -- ;
++------+----------+---------+-------+--------+----------------------+------------------+---------------------------+
+
+
++----------------------------------------------------------------------------------------------------------------------------------------+
+; Global & Other Fast Signals ;
++------+----------+---------+--------------------------------------+----------------------+------------------+---------------------------+
+; Name ; Location ; Fan-Out ; Fan-Out Using Intentional Clock Skew ; Global Resource Used ; Global Line Name ; Enable Signal Source Name ;
++------+----------+---------+--------------------------------------+----------------------+------------------+---------------------------+
+; CLK ; PIN_G2 ; 19 ; 1 ; Global Clock ; GCLK4 ; -- ;
++------+----------+---------+--------------------------------------+----------------------+------------------+---------------------------+
+
+
++-------------------------------------+
+; Non-Global High Fan-Out Signals ;
++---------------------------+---------+
+; Name ; Fan-Out ;
++---------------------------+---------+
+; inst30 ; 19 ;
+; inst43 ; 5 ;
+; inst63 ; 5 ;
+; inst77 ; 5 ;
+; inst30~0 ; 5 ;
+; inst459 ; 5 ;
+; full_adder:inst73|inst6 ; 4 ;
+; full_adder:inst59|inst6 ; 4 ;
+; inst92 ; 4 ;
+; inst82 ; 4 ;
+; inst389 ; 4 ;
+; inst48 ; 4 ;
+; inst67 ; 4 ;
+; inst3799999 ; 4 ;
+; inst50 ; 4 ;
+; full_adder:inst88|inst6 ; 3 ;
+; full_adder:inst369|inst6 ; 3 ;
+; inst102 ; 3 ;
+; inst87 ; 3 ;
+; inst97 ; 3 ;
+; inst58 ; 3 ;
+; inst72 ; 3 ;
+; inst38 ; 3 ;
+; full_adder:inst98|inst6 ; 2 ;
+; inst107 ; 2 ;
+; inst30~1 ; 2 ;
+; inst40 ; 2 ;
+; inst3799999~0 ; 1 ;
+; inst109 ; 1 ;
+; inst104 ; 1 ;
+; inst89 ; 1 ;
+; full_adder:inst88|inst ; 1 ;
+; inst94 ; 1 ;
+; inst99 ; 1 ;
+; inst84 ; 1 ;
+; inst399 ; 1 ;
+; inst45 ; 1 ;
+; inst55 ; 1 ;
+; inst60 ; 1 ;
+; full_adder:inst59|inst ; 1 ;
+; inst64 ; 1 ;
+; inst69 ; 1 ;
+; inst74 ; 1 ;
+; full_adder:inst73|inst ; 1 ;
+; inst79 ; 1 ;
+; full_adder:inst59|inst6~0 ; 1 ;
+; inst39 ; 1 ;
+; inst4299 ; 1 ;
+; inst497 ; 1 ;
+; inst52 ; 1 ;
+; inst30~5 ; 1 ;
+; inst30~4 ; 1 ;
+; inst30~3 ; 1 ;
+; inst30~2 ; 1 ;
++---------------------------+---------+
+
+
++-----------------------------------------------------+
+; Other Routing Usage Summary ;
++-----------------------------+-----------------------+
+; Other Routing Resource Type ; Usage ;
++-----------------------------+-----------------------+
+; Block interconnects ; 30 / 47,787 ( < 1 % ) ;
+; C16 interconnects ; 0 / 1,804 ( 0 % ) ;
+; C4 interconnects ; 0 / 31,272 ( 0 % ) ;
+; Direct links ; 23 / 47,787 ( < 1 % ) ;
+; Global clocks ; 1 / 20 ( 5 % ) ;
+; Local interconnects ; 26 / 15,408 ( < 1 % ) ;
+; R24 interconnects ; 0 / 1,775 ( 0 % ) ;
+; R4 interconnects ; 6 / 41,310 ( < 1 % ) ;
++-----------------------------+-----------------------+
+
+
++---------------------------------------------------------------------------+
+; LAB Logic Elements ;
++---------------------------------------------+-----------------------------+
+; Number of Logic Elements (Average = 11.67) ; Number of LABs (Total = 3) ;
++---------------------------------------------+-----------------------------+
+; 1 ; 0 ;
+; 2 ; 0 ;
+; 3 ; 1 ;
+; 4 ; 0 ;
+; 5 ; 0 ;
+; 6 ; 0 ;
+; 7 ; 0 ;
+; 8 ; 0 ;
+; 9 ; 0 ;
+; 10 ; 0 ;
+; 11 ; 0 ;
+; 12 ; 0 ;
+; 13 ; 0 ;
+; 14 ; 0 ;
+; 15 ; 0 ;
+; 16 ; 2 ;
++---------------------------------------------+-----------------------------+
+
+
++------------------------------------------------------------------+
+; LAB-wide Signals ;
++------------------------------------+-----------------------------+
+; LAB-wide Signals (Average = 1.00) ; Number of LABs (Total = 3) ;
++------------------------------------+-----------------------------+
+; 1 Clock ; 3 ;
++------------------------------------+-----------------------------+
+
+
++----------------------------------------------------------------------------+
+; LAB Signals Sourced ;
++----------------------------------------------+-----------------------------+
+; Number of Signals Sourced (Average = 18.00) ; Number of LABs (Total = 3) ;
++----------------------------------------------+-----------------------------+
+; 0 ; 0 ;
+; 1 ; 0 ;
+; 2 ; 0 ;
+; 3 ; 0 ;
+; 4 ; 0 ;
+; 5 ; 0 ;
+; 6 ; 1 ;
+; 7 ; 0 ;
+; 8 ; 0 ;
+; 9 ; 0 ;
+; 10 ; 0 ;
+; 11 ; 0 ;
+; 12 ; 0 ;
+; 13 ; 0 ;
+; 14 ; 0 ;
+; 15 ; 0 ;
+; 16 ; 0 ;
+; 17 ; 0 ;
+; 18 ; 0 ;
+; 19 ; 0 ;
+; 20 ; 0 ;
+; 21 ; 0 ;
+; 22 ; 0 ;
+; 23 ; 0 ;
+; 24 ; 2 ;
++----------------------------------------------+-----------------------------+
+
+
++-------------------------------------------------------------------------------+
+; LAB Signals Sourced Out ;
++-------------------------------------------------+-----------------------------+
+; Number of Signals Sourced Out (Average = 5.33) ; Number of LABs (Total = 3) ;
++-------------------------------------------------+-----------------------------+
+; 0 ; 0 ;
+; 1 ; 0 ;
+; 2 ; 0 ;
+; 3 ; 1 ;
+; 4 ; 0 ;
+; 5 ; 0 ;
+; 6 ; 1 ;
+; 7 ; 1 ;
++-------------------------------------------------+-----------------------------+
+
+
++---------------------------------------------------------------------------+
+; LAB Distinct Inputs ;
++---------------------------------------------+-----------------------------+
+; Number of Distinct Inputs (Average = 6.67) ; Number of LABs (Total = 3) ;
++---------------------------------------------+-----------------------------+
+; 0 ; 0 ;
+; 1 ; 0 ;
+; 2 ; 0 ;
+; 3 ; 0 ;
+; 4 ; 1 ;
+; 5 ; 0 ;
+; 6 ; 1 ;
+; 7 ; 0 ;
+; 8 ; 0 ;
+; 9 ; 0 ;
+; 10 ; 1 ;
++---------------------------------------------+-----------------------------+
+
+
++------------------------------------------+
+; I/O Rules Summary ;
++----------------------------------+-------+
+; I/O Rules Statistic ; Total ;
++----------------------------------+-------+
+; Total I/O Rules ; 30 ;
+; Number of I/O Rules Passed ; 9 ;
+; Number of I/O Rules Failed ; 0 ;
+; Number of I/O Rules Unchecked ; 0 ;
+; Number of I/O Rules Inapplicable ; 21 ;
++----------------------------------+-------+
+
+
++-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
+; I/O Rules Details ;
++--------------+-----------+-----------------------------------+------------------------------------------------------------------------------------------------------+----------+--------------------------------------------------------------------------+---------------------+-------------------+
+; Status ; ID ; Category ; Rule Description ; Severity ; Information ; Area ; Extra Information ;
++--------------+-----------+-----------------------------------+------------------------------------------------------------------------------------------------------+----------+--------------------------------------------------------------------------+---------------------+-------------------+
+; Inapplicable ; IO_000001 ; Capacity Checks ; Number of pins in an I/O bank should not exceed the number of locations available. ; Critical ; No Location assignments found. ; I/O ; ;
+; Inapplicable ; IO_000002 ; Capacity Checks ; Number of clocks in an I/O bank should not exceed the number of clocks available. ; Critical ; No Global Signal assignments found. ; I/O ; ;
+; Inapplicable ; IO_000003 ; Capacity Checks ; Number of pins in a Vrefgroup should not exceed the number of locations available. ; Critical ; No Location assignments found. ; I/O ; ;
+; Inapplicable ; IO_000004 ; Voltage Compatibility Checks ; The I/O bank should support the requested VCCIO. ; Critical ; No IOBANK_VCCIO assignments found. ; I/O ; ;
+; Inapplicable ; IO_000005 ; Voltage Compatibility Checks ; The I/O bank should not have competing VREF values. ; Critical ; No VREF I/O Standard assignments found. ; I/O ; ;
+; Pass ; IO_000006 ; Voltage Compatibility Checks ; The I/O bank should not have competing VCCIO values. ; Critical ; 0 such failures found. ; I/O ; ;
+; Inapplicable ; IO_000007 ; Valid Location Checks ; Checks for unavailable locations. ; Critical ; No Location assignments found. ; I/O ; ;
+; Inapplicable ; IO_000008 ; Valid Location Checks ; Checks for reserved locations. ; Critical ; No reserved LogicLock region found. ; I/O ; ;
+; Pass ; IO_000009 ; I/O Properties Checks for One I/O ; The location should support the requested I/O standard. ; Critical ; 0 such failures found. ; I/O ; ;
+; Pass ; IO_000010 ; I/O Properties Checks for One I/O ; The location should support the requested I/O direction. ; Critical ; 0 such failures found. ; I/O ; ;
+; Inapplicable ; IO_000011 ; I/O Properties Checks for One I/O ; The location should support the requested Current Strength. ; Critical ; No Current Strength assignments found. ; I/O ; ;
+; Pass ; IO_000012 ; I/O Properties Checks for One I/O ; The location should support the requested On Chip Termination value. ; Critical ; 0 such failures found. ; I/O ; ;
+; Inapplicable ; IO_000013 ; I/O Properties Checks for One I/O ; The location should support the requested Bus Hold value. ; Critical ; No Enable Bus-Hold Circuitry assignments found. ; I/O ; ;
+; Inapplicable ; IO_000014 ; I/O Properties Checks for One I/O ; The location should support the requested Weak Pull Up value. ; Critical ; No Weak Pull-Up Resistor assignments found. ; I/O ; ;
+; Pass ; IO_000015 ; I/O Properties Checks for One I/O ; The location should support the requested PCI Clamp Diode. ; Critical ; 0 such failures found. ; I/O ; ;
+; Inapplicable ; IO_000018 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested Current Strength. ; Critical ; No Current Strength assignments found. ; I/O ; ;
+; Pass ; IO_000019 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested On Chip Termination value. ; Critical ; 0 such failures found. ; I/O ; ;
+; Pass ; IO_000020 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested PCI Clamp Diode. ; Critical ; 0 such failures found. ; I/O ; ;
+; Inapplicable ; IO_000021 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested Weak Pull Up value. ; Critical ; No Weak Pull-Up Resistor assignments found. ; I/O ; ;
+; Inapplicable ; IO_000022 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested Bus Hold value. ; Critical ; No Enable Bus-Hold Circuitry assignments found. ; I/O ; ;
+; Inapplicable ; IO_000023 ; I/O Properties Checks for One I/O ; The I/O standard should support the Open Drain value. ; Critical ; No open drain assignments found. ; I/O ; ;
+; Pass ; IO_000024 ; I/O Properties Checks for One I/O ; The I/O direction should support the On Chip Termination value. ; Critical ; 0 such failures found. ; I/O ; ;
+; Inapplicable ; IO_000026 ; I/O Properties Checks for One I/O ; On Chip Termination and Current Strength should not be used at the same time. ; Critical ; No Current Strength assignments found. ; I/O ; ;
+; Inapplicable ; IO_000027 ; I/O Properties Checks for One I/O ; Weak Pull Up and Bus Hold should not be used at the same time. ; Critical ; No Enable Bus-Hold Circuitry or Weak Pull-Up Resistor assignments found. ; I/O ; ;
+; Inapplicable ; IO_000045 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested Slew Rate value. ; Critical ; No Slew Rate assignments found. ; I/O ; ;
+; Inapplicable ; IO_000046 ; I/O Properties Checks for One I/O ; The location should support the requested Slew Rate value. ; Critical ; No Slew Rate assignments found. ; I/O ; ;
+; Inapplicable ; IO_000047 ; I/O Properties Checks for One I/O ; On Chip Termination and Slew Rate should not be used at the same time. ; Critical ; No Slew Rate assignments found. ; I/O ; ;
+; Pass ; IO_000033 ; Electromigration Checks ; Current density for consecutive I/Os should not exceed 240mA for row I/Os and 240mA for column I/Os. ; Critical ; 0 such failures found. ; I/O ; ;
+; Inapplicable ; IO_000034 ; SI Related Distance Checks ; Single-ended outputs should be 5 LAB row(s) away from a differential I/O. ; High ; No Differential I/O Standard assignments found. ; I/O ; ;
+; Inapplicable ; IO_000042 ; SI Related SSO Limit Checks ; No more than 20 outputs are allowed in a VREF group when VREF is being read from. ; High ; No VREF I/O Standard assignments found. ; I/O ; ;
+; ---- ; ---- ; Disclaimer ; OCT rules are checked but not reported. ; None ; ---- ; On Chip Termination ; ;
++--------------+-----------+-----------------------------------+------------------------------------------------------------------------------------------------------+----------+--------------------------------------------------------------------------+---------------------+-------------------+
+
+
++--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
+; I/O Rules Matrix ;
++--------------------+--------------+--------------+--------------+--------------+--------------+-----------+--------------+--------------+-----------+-----------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+-----------+--------------+--------------+
+; Pin/Rules ; IO_000001 ; IO_000002 ; IO_000003 ; IO_000004 ; IO_000005 ; IO_000006 ; IO_000007 ; IO_000008 ; IO_000009 ; IO_000010 ; IO_000011 ; IO_000012 ; IO_000013 ; IO_000014 ; IO_000015 ; IO_000018 ; IO_000019 ; IO_000020 ; IO_000021 ; IO_000022 ; IO_000023 ; IO_000024 ; IO_000026 ; IO_000027 ; IO_000045 ; IO_000046 ; IO_000047 ; IO_000033 ; IO_000034 ; IO_000042 ;
++--------------------+--------------+--------------+--------------+--------------+--------------+-----------+--------------+--------------+-----------+-----------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+-----------+--------------+--------------+
+; Total Pass ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 ; 0 ; 0 ; 2 ; 2 ; 0 ; 1 ; 0 ; 0 ; 1 ; 0 ; 1 ; 1 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 ; 0 ; 0 ;
+; Total Unchecked ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ;
+; Total Inapplicable ; 2 ; 2 ; 2 ; 2 ; 2 ; 0 ; 2 ; 2 ; 0 ; 0 ; 2 ; 1 ; 2 ; 2 ; 1 ; 2 ; 1 ; 1 ; 2 ; 2 ; 2 ; 1 ; 2 ; 2 ; 2 ; 2 ; 2 ; 0 ; 2 ; 2 ;
+; Total Fail ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ;
+; 1_Hz ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ;
+; CLK ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ;
++--------------------+--------------+--------------+--------------+--------------+--------------+-----------+--------------+--------------+-----------+-----------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+-----------+--------------+--------------+
+
+
++---------------------------------------------------------------------------------------------+
+; Fitter Device Options ;
++------------------------------------------------------------------+--------------------------+
+; Option ; Setting ;
++------------------------------------------------------------------+--------------------------+
+; Enable user-supplied start-up clock (CLKUSR) ; Off ;
+; Enable device-wide reset (DEV_CLRn) ; Off ;
+; Enable device-wide output enable (DEV_OE) ; Off ;
+; Enable INIT_DONE output ; Off ;
+; Configuration scheme ; Active Serial ;
+; Error detection CRC ; Off ;
+; Enable open drain on CRC_ERROR pin ; Off ;
+; Enable input tri-state on active configuration pins in user mode ; Off ;
+; Configuration Voltage Level ; Auto ;
+; Force Configuration Voltage Level ; Off ;
+; nCEO ; As output driving ground ;
+; Data[0] ; As input tri-stated ;
+; Data[1]/ASDO ; As input tri-stated ;
+; Data[7..2] ; Unreserved ;
+; FLASH_nCE/nCSO ; As input tri-stated ;
+; Other Active Parallel pins ; Unreserved ;
+; DCLK ; As output driving ground ;
+; Base pin-out file on sameframe device ; Off ;
++------------------------------------------------------------------+--------------------------+
+
+
++------------------------------------+
+; Operating Settings and Conditions ;
++---------------------------+--------+
+; Setting ; Value ;
++---------------------------+--------+
+; Nominal Core Voltage ; 1.20 V ;
+; Low Junction Temperature ; 0 °C ;
+; High Junction Temperature ; 85 °C ;
++---------------------------+--------+
+
+
++-----------------+
+; Fitter Messages ;
++-----------------+
+Info (11104): Parallel Compilation has detected 8 hyper-threaded processors. However, the extra hyper-threaded processors will not be used by default. Parallel Compilation will use 4 of the 4 physical processors detected instead.
+Info (119006): Selected device EP3C16U484C6 for design "one_hertz_clock"
+Info (21077): Core supply voltage is 1.2V
+Info (21077): Low junction temperature is 0 degrees C
+Info (21077): High junction temperature is 85 degrees C
+Info (171003): Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time
+Info (176444): Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices
+ Info (176445): Device EP3C40U484C6 is compatible
+ Info (176445): Device EP3C55U484C6 is compatible
+ Info (176445): Device EP3C80U484C6 is compatible
+Info (169124): Fitter converted 5 user pins into dedicated programming pins
+ Info (169125): Pin ~ALTERA_ASDO_DATA1~ is reserved at location D1
+ Info (169125): Pin ~ALTERA_FLASH_nCE_nCSO~ is reserved at location E2
+ Info (169125): Pin ~ALTERA_DCLK~ is reserved at location K2
+ Info (169125): Pin ~ALTERA_DATA0~ is reserved at location K1
+ Info (169125): Pin ~ALTERA_nCEO~ is reserved at location K22
+Warning (15714): Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details
+Critical Warning (169085): No exact pin location assignment(s) for 2 pins of 2 total pins
+ Info (169086): Pin 1_Hz not assigned to an exact location on the device
+ Info (169086): Pin CLK not assigned to an exact location on the device
+Critical Warning (332012): Synopsys Design Constraints File file not found: 'one_hertz_clock.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design.
+Info (332144): No user constrained base clocks found in the design
+Info (332143): No user constrained clock uncertainty found in the design. Calling "derive_clock_uncertainty"
+Info (332154): The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers.
+Info (332130): Timing requirements not specified -- quality metrics such as performance may be sacrificed to reduce compilation time.
+Info (176353): Automatically promoted node CLK~input (placed in PIN G2 (CLK0, DIFFCLK_0p))
+ Info (176355): Automatically promoted destinations to use location or clock signal Global Clock CLKCTRL_G4
+Info (176233): Starting register packing
+Info (176235): Finished register packing
+ Extra Info (176219): No registers were packed into other blocks
+Info (176214): Statistics of I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement
+ Info (176211): Number of I/O pins in group: 1 (unused VREF, 2.5V VCCIO, 0 input, 1 output, 0 bidirectional)
+ Info (176212): I/O standards used: 2.5 V.
+Info (176215): I/O bank details before I/O pin placement
+ Info (176214): Statistics of I/O banks
+ Info (176213): I/O bank number 1 does not use VREF pins and has undetermined VCCIO pins. 5 total pin(s) used -- 28 pins available
+ Info (176213): I/O bank number 2 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 48 pins available
+ Info (176213): I/O bank number 3 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 46 pins available
+ Info (176213): I/O bank number 4 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 41 pins available
+ Info (176213): I/O bank number 5 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 46 pins available
+ Info (176213): I/O bank number 6 does not use VREF pins and has undetermined VCCIO pins. 1 total pin(s) used -- 42 pins available
+ Info (176213): I/O bank number 7 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 47 pins available
+ Info (176213): I/O bank number 8 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 43 pins available
+Info (171121): Fitter preparation operations ending: elapsed time is 00:00:01
+Info (170189): Fitter placement preparation operations beginning
+Info (170190): Fitter placement preparation operations ending: elapsed time is 00:00:00
+Info (170191): Fitter placement operations beginning
+Info (170137): Fitter placement was successful
+Info (170192): Fitter placement operations ending: elapsed time is 00:00:00
+Info (170193): Fitter routing operations beginning
+Info (170195): Router estimated average interconnect usage is 0% of the available device resources
+ Info (170196): Router estimated peak interconnect usage is 0% of the available device resources in the region that extends from location X31_Y10 to location X41_Y19
+Info (170194): Fitter routing operations ending: elapsed time is 00:00:01
+Info (170199): The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time.
+ Info (170201): Optimizations that may affect the design's routability were skipped
+Info (11888): Total time spent on timing analysis during the Fitter is 0.27 seconds.
+Info (334003): Started post-fitting delay annotation
+Info (334004): Delay annotation completed successfully
+Info (334003): Started post-fitting delay annotation
+Info (334004): Delay annotation completed successfully
+Info (11218): Fitter post-fit operations ending: elapsed time is 00:00:00
+Info (144001): Generated suppressed messages file C:/one_hertz_clock/output_files/one_hertz_clock.fit.smsg
+Info: Quartus II 64-Bit Fitter was successful. 0 errors, 3 warnings
+ Info: Peak virtual memory: 1090 megabytes
+ Info: Processing ended: Tue Feb 23 16:02:16 2016
+ Info: Elapsed time: 00:00:05
+ Info: Total CPU time (on all processors): 00:00:05
+
+
++----------------------------+
+; Fitter Suppressed Messages ;
++----------------------------+
+The suppressed messages can be found in C:/one_hertz_clock/output_files/one_hertz_clock.fit.smsg.
+
+
diff --git a/one_hertz_clock/output_files/one_hertz_clock.fit.smsg b/one_hertz_clock/output_files/one_hertz_clock.fit.smsg
new file mode 100644
index 0000000..7121cbb
--- /dev/null
+++ b/one_hertz_clock/output_files/one_hertz_clock.fit.smsg
@@ -0,0 +1,8 @@
+Extra Info (176273): Performing register packing on registers with non-logic cell location assignments
+Extra Info (176274): Completed register packing on registers with non-logic cell location assignments
+Extra Info (176236): Started Fast Input/Output/OE register processing
+Extra Info (176237): Finished Fast Input/Output/OE register processing
+Extra Info (176238): Start inferring scan chains for DSP blocks
+Extra Info (176239): Inferring scan chains for DSP blocks is complete
+Extra Info (176248): Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density
+Extra Info (176249): Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks
diff --git a/one_hertz_clock/output_files/one_hertz_clock.fit.summary b/one_hertz_clock/output_files/one_hertz_clock.fit.summary
new file mode 100644
index 0000000..20e671a
--- /dev/null
+++ b/one_hertz_clock/output_files/one_hertz_clock.fit.summary
@@ -0,0 +1,16 @@
+Fitter Status : Successful - Tue Feb 23 16:02:16 2016
+Quartus II 64-Bit Version : 13.0.1 Build 232 06/12/2013 SP 1 SJ Full Version
+Revision Name : one_hertz_clock
+Top-level Entity Name : one_hertz_clock
+Family : Cyclone III
+Device : EP3C16U484C6
+Timing Models : Final
+Total logic elements : 35 / 15,408 ( < 1 % )
+ Total combinational functions : 35 / 15,408 ( < 1 % )
+ Dedicated logic registers : 19 / 15,408 ( < 1 % )
+Total registers : 19
+Total pins : 2 / 347 ( < 1 % )
+Total virtual pins : 0
+Total memory bits : 0 / 516,096 ( 0 % )
+Embedded Multiplier 9-bit elements : 0 / 112 ( 0 % )
+Total PLLs : 0 / 4 ( 0 % )
diff --git a/one_hertz_clock/output_files/one_hertz_clock.flow.rpt b/one_hertz_clock/output_files/one_hertz_clock.flow.rpt
new file mode 100644
index 0000000..7f6aa06
--- /dev/null
+++ b/one_hertz_clock/output_files/one_hertz_clock.flow.rpt
@@ -0,0 +1,125 @@
+Flow report for one_hertz_clock
+Tue Feb 23 16:02:20 2016
+Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Full Version
+
+
+---------------------
+; Table of Contents ;
+---------------------
+ 1. Legal Notice
+ 2. Flow Summary
+ 3. Flow Settings
+ 4. Flow Non-Default Global Settings
+ 5. Flow Elapsed Time
+ 6. Flow OS Summary
+ 7. Flow Log
+ 8. Flow Messages
+ 9. Flow Suppressed Messages
+
+
+
+----------------
+; Legal Notice ;
+----------------
+Copyright (C) 1991-2013 Altera Corporation
+Your use of Altera Corporation's design tools, logic functions
+and other software and tools, and its AMPP partner logic
+functions, and any output files from any of the foregoing
+(including device programming or simulation files), and any
+associated documentation or information are expressly subject
+to the terms and conditions of the Altera Program License
+Subscription Agreement, Altera MegaCore Function License
+Agreement, or other applicable license agreement, including,
+without limitation, that your use is for the sole purpose of
+programming logic devices manufactured by Altera and sold by
+Altera or its authorized distributors. Please refer to the
+applicable agreement for further details.
+
+
+
++---------------------------------------------------------------------------------------+
+; Flow Summary ;
++------------------------------------+--------------------------------------------------+
+; Flow Status ; Successful - Tue Feb 23 16:02:18 2016 ;
+; Quartus II 64-Bit Version ; 13.0.1 Build 232 06/12/2013 SP 1 SJ Full Version ;
+; Revision Name ; one_hertz_clock ;
+; Top-level Entity Name ; one_hertz_clock ;
+; Family ; Cyclone III ;
+; Device ; EP3C16U484C6 ;
+; Timing Models ; Final ;
+; Total logic elements ; 35 / 15,408 ( < 1 % ) ;
+; Total combinational functions ; 35 / 15,408 ( < 1 % ) ;
+; Dedicated logic registers ; 19 / 15,408 ( < 1 % ) ;
+; Total registers ; 19 ;
+; Total pins ; 2 / 347 ( < 1 % ) ;
+; Total virtual pins ; 0 ;
+; Total memory bits ; 0 / 516,096 ( 0 % ) ;
+; Embedded Multiplier 9-bit elements ; 0 / 112 ( 0 % ) ;
+; Total PLLs ; 0 / 4 ( 0 % ) ;
++------------------------------------+--------------------------------------------------+
+
+
++-----------------------------------------+
+; Flow Settings ;
++-------------------+---------------------+
+; Option ; Setting ;
++-------------------+---------------------+
+; Start date & time ; 02/23/2016 16:02:10 ;
+; Main task ; Compilation ;
+; Revision Name ; one_hertz_clock ;
++-------------------+---------------------+
+
+
++------------------------------------------------------------------------------------------------------------------------+
+; Flow Non-Default Global Settings ;
++-------------------------------------+---------------------------------------+---------------+-------------+------------+
+; Assignment Name ; Value ; Default Value ; Entity Name ; Section Id ;
++-------------------------------------+---------------------------------------+---------------+-------------+------------+
+; COMPILER_SIGNATURE_ID ; 260248564169585.145624333007612 ; -- ; -- ; -- ;
+; MAX_CORE_JUNCTION_TEMP ; 85 ; -- ; -- ; -- ;
+; MIN_CORE_JUNCTION_TEMP ; 0 ; -- ; -- ; -- ;
+; NOMINAL_CORE_SUPPLY_VOLTAGE ; 1.2V ; -- ; -- ; -- ;
+; PARTITION_COLOR ; 16764057 ; -- ; -- ; Top ;
+; PARTITION_FITTER_PRESERVATION_LEVEL ; PLACEMENT_AND_ROUTING ; -- ; -- ; Top ;
+; PARTITION_NETLIST_TYPE ; SOURCE ; -- ; -- ; Top ;
+; POWER_BOARD_THERMAL_MODEL ; None (CONSERVATIVE) ; -- ; -- ; -- ;
+; POWER_PRESET_COOLING_SOLUTION ; 23 MM HEAT SINK WITH 200 LFPM AIRFLOW ; -- ; -- ; -- ;
+; PROJECT_OUTPUT_DIRECTORY ; output_files ; -- ; -- ; -- ;
++-------------------------------------+---------------------------------------+---------------+-------------+------------+
+
+
++-------------------------------------------------------------------------------------------------------------------------------+
+; Flow Elapsed Time ;
++---------------------------+--------------+-------------------------+---------------------+------------------------------------+
+; Module Name ; Elapsed Time ; Average Processors Used ; Peak Virtual Memory ; Total CPU Time (on all processors) ;
++---------------------------+--------------+-------------------------+---------------------+------------------------------------+
+; Analysis & Synthesis ; 00:00:01 ; 1.0 ; 464 MB ; 00:00:01 ;
+; Fitter ; 00:00:05 ; 1.0 ; 1090 MB ; 00:00:05 ;
+; Assembler ; 00:00:01 ; 1.0 ; 420 MB ; 00:00:01 ;
+; TimeQuest Timing Analyzer ; 00:00:01 ; 1.0 ; 485 MB ; 00:00:01 ;
+; Total ; 00:00:08 ; -- ; -- ; 00:00:08 ;
++---------------------------+--------------+-------------------------+---------------------+------------------------------------+
+
+
++----------------------------------------------------------------------------------------+
+; Flow OS Summary ;
++---------------------------+------------------+-----------+------------+----------------+
+; Module Name ; Machine Hostname ; OS Name ; OS Version ; Processor type ;
++---------------------------+------------------+-----------+------------+----------------+
+; Analysis & Synthesis ; eews104a-012 ; Windows 7 ; 6.1 ; x86_64 ;
+; Fitter ; eews104a-012 ; Windows 7 ; 6.1 ; x86_64 ;
+; Assembler ; eews104a-012 ; Windows 7 ; 6.1 ; x86_64 ;
+; TimeQuest Timing Analyzer ; eews104a-012 ; Windows 7 ; 6.1 ; x86_64 ;
++---------------------------+------------------+-----------+------------+----------------+
+
+
+------------
+; Flow Log ;
+------------
+quartus_map --read_settings_files=on --write_settings_files=off one_hertz_clock -c one_hertz_clock
+quartus_fit --read_settings_files=off --write_settings_files=off one_hertz_clock -c one_hertz_clock
+quartus_asm --read_settings_files=off --write_settings_files=off one_hertz_clock -c one_hertz_clock
+quartus_sta one_hertz_clock -c one_hertz_clock
+
+
+
diff --git a/one_hertz_clock/output_files/one_hertz_clock.jdi b/one_hertz_clock/output_files/one_hertz_clock.jdi
new file mode 100644
index 0000000..c18e470
--- /dev/null
+++ b/one_hertz_clock/output_files/one_hertz_clock.jdi
@@ -0,0 +1,8 @@
+<sld_project_info>
+ <project>
+ <hash md5_digest_80b="9c505f5fec1ea6e95139"/>
+ </project>
+ <file_info>
+ <file device="EP3C16U484C6" path="one_hertz_clock.sof" usercode="0xFFFFFFFF"/>
+ </file_info>
+</sld_project_info>
diff --git a/one_hertz_clock/output_files/one_hertz_clock.map.rpt b/one_hertz_clock/output_files/one_hertz_clock.map.rpt
new file mode 100644
index 0000000..3455ba8
--- /dev/null
+++ b/one_hertz_clock/output_files/one_hertz_clock.map.rpt
@@ -0,0 +1,290 @@
+Analysis & Synthesis report for one_hertz_clock
+Tue Feb 23 16:02:11 2016
+Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Full Version
+
+
+---------------------
+; Table of Contents ;
+---------------------
+ 1. Legal Notice
+ 2. Analysis & Synthesis Summary
+ 3. Analysis & Synthesis Settings
+ 4. Parallel Compilation
+ 5. Analysis & Synthesis Source Files Read
+ 6. Analysis & Synthesis Resource Usage Summary
+ 7. Analysis & Synthesis Resource Utilization by Entity
+ 8. General Register Statistics
+ 9. Elapsed Time Per Partition
+ 10. Analysis & Synthesis Messages
+
+
+
+----------------
+; Legal Notice ;
+----------------
+Copyright (C) 1991-2013 Altera Corporation
+Your use of Altera Corporation's design tools, logic functions
+and other software and tools, and its AMPP partner logic
+functions, and any output files from any of the foregoing
+(including device programming or simulation files), and any
+associated documentation or information are expressly subject
+to the terms and conditions of the Altera Program License
+Subscription Agreement, Altera MegaCore Function License
+Agreement, or other applicable license agreement, including,
+without limitation, that your use is for the sole purpose of
+programming logic devices manufactured by Altera and sold by
+Altera or its authorized distributors. Please refer to the
+applicable agreement for further details.
+
+
+
++---------------------------------------------------------------------------------------+
+; Analysis & Synthesis Summary ;
++------------------------------------+--------------------------------------------------+
+; Analysis & Synthesis Status ; Successful - Tue Feb 23 16:02:10 2016 ;
+; Quartus II 64-Bit Version ; 13.0.1 Build 232 06/12/2013 SP 1 SJ Full Version ;
+; Revision Name ; one_hertz_clock ;
+; Top-level Entity Name ; one_hertz_clock ;
+; Family ; Cyclone III ;
+; Total logic elements ; 35 ;
+; Total combinational functions ; 35 ;
+; Dedicated logic registers ; 19 ;
+; Total registers ; 19 ;
+; Total pins ; 2 ;
+; Total virtual pins ; 0 ;
+; Total memory bits ; 0 ;
+; Embedded Multiplier 9-bit elements ; 0 ;
+; Total PLLs ; 0 ;
++------------------------------------+--------------------------------------------------+
+
+
++----------------------------------------------------------------------------------------------------------------------+
+; Analysis & Synthesis Settings ;
++----------------------------------------------------------------------------+--------------------+--------------------+
+; Option ; Setting ; Default Value ;
++----------------------------------------------------------------------------+--------------------+--------------------+
+; Device ; EP3C16U484C6 ; ;
+; Top-level entity name ; one_hertz_clock ; one_hertz_clock ;
+; Family name ; Cyclone III ; Cyclone IV GX ;
+; Use smart compilation ; Off ; Off ;
+; Enable parallel Assembler and TimeQuest Timing Analyzer during compilation ; On ; On ;
+; Enable compact report table ; Off ; Off ;
+; Restructure Multiplexers ; Auto ; Auto ;
+; Create Debugging Nodes for IP Cores ; Off ; Off ;
+; Preserve fewer node names ; On ; On ;
+; Disable OpenCore Plus hardware evaluation ; Off ; Off ;
+; Verilog Version ; Verilog_2001 ; Verilog_2001 ;
+; VHDL Version ; VHDL_1993 ; VHDL_1993 ;
+; State Machine Processing ; Auto ; Auto ;
+; Safe State Machine ; Off ; Off ;
+; Extract Verilog State Machines ; On ; On ;
+; Extract VHDL State Machines ; On ; On ;
+; Ignore Verilog initial constructs ; Off ; Off ;
+; Iteration limit for constant Verilog loops ; 5000 ; 5000 ;
+; Iteration limit for non-constant Verilog loops ; 250 ; 250 ;
+; Add Pass-Through Logic to Inferred RAMs ; On ; On ;
+; Infer RAMs from Raw Logic ; On ; On ;
+; Parallel Synthesis ; On ; On ;
+; DSP Block Balancing ; Auto ; Auto ;
+; NOT Gate Push-Back ; On ; On ;
+; Power-Up Don't Care ; On ; On ;
+; Remove Redundant Logic Cells ; Off ; Off ;
+; Remove Duplicate Registers ; On ; On ;
+; Ignore CARRY Buffers ; Off ; Off ;
+; Ignore CASCADE Buffers ; Off ; Off ;
+; Ignore GLOBAL Buffers ; Off ; Off ;
+; Ignore ROW GLOBAL Buffers ; Off ; Off ;
+; Ignore LCELL Buffers ; Off ; Off ;
+; Ignore SOFT Buffers ; On ; On ;
+; Limit AHDL Integers to 32 Bits ; Off ; Off ;
+; Optimization Technique ; Balanced ; Balanced ;
+; Carry Chain Length ; 70 ; 70 ;
+; Auto Carry Chains ; On ; On ;
+; Auto Open-Drain Pins ; On ; On ;
+; Perform WYSIWYG Primitive Resynthesis ; Off ; Off ;
+; Auto ROM Replacement ; On ; On ;
+; Auto RAM Replacement ; On ; On ;
+; Auto DSP Block Replacement ; On ; On ;
+; Auto Shift Register Replacement ; Auto ; Auto ;
+; Allow Shift Register Merging across Hierarchies ; Auto ; Auto ;
+; Auto Clock Enable Replacement ; On ; On ;
+; Strict RAM Replacement ; Off ; Off ;
+; Allow Synchronous Control Signals ; On ; On ;
+; Force Use of Synchronous Clear Signals ; Off ; Off ;
+; Auto RAM Block Balancing ; On ; On ;
+; Auto RAM to Logic Cell Conversion ; Off ; Off ;
+; Auto Resource Sharing ; Off ; Off ;
+; Allow Any RAM Size For Recognition ; Off ; Off ;
+; Allow Any ROM Size For Recognition ; Off ; Off ;
+; Allow Any Shift Register Size For Recognition ; Off ; Off ;
+; Use LogicLock Constraints during Resource Balancing ; On ; On ;
+; Ignore translate_off and synthesis_off directives ; Off ; Off ;
+; Timing-Driven Synthesis ; On ; On ;
+; Report Parameter Settings ; On ; On ;
+; Report Source Assignments ; On ; On ;
+; Report Connectivity Checks ; On ; On ;
+; Ignore Maximum Fan-Out Assignments ; Off ; Off ;
+; Synchronization Register Chain Length ; 2 ; 2 ;
+; PowerPlay Power Optimization ; Normal compilation ; Normal compilation ;
+; HDL message level ; Level2 ; Level2 ;
+; Suppress Register Optimization Related Messages ; Off ; Off ;
+; Number of Removed Registers Reported in Synthesis Report ; 5000 ; 5000 ;
+; Number of Swept Nodes Reported in Synthesis Report ; 5000 ; 5000 ;
+; Number of Inverted Registers Reported in Synthesis Report ; 100 ; 100 ;
+; Clock MUX Protection ; On ; On ;
+; Auto Gated Clock Conversion ; Off ; Off ;
+; Block Design Naming ; Auto ; Auto ;
+; SDC constraint protection ; Off ; Off ;
+; Synthesis Effort ; Auto ; Auto ;
+; Shift Register Replacement - Allow Asynchronous Clear Signal ; On ; On ;
+; Pre-Mapping Resynthesis Optimization ; Off ; Off ;
+; Analysis & Synthesis Message Level ; Medium ; Medium ;
+; Disable Register Merging Across Hierarchies ; Auto ; Auto ;
+; Resource Aware Inference For Block RAM ; On ; On ;
+; Synthesis Seed ; 1 ; 1 ;
++----------------------------------------------------------------------------+--------------------+--------------------+
+
+
++------------------------------------------+
+; Parallel Compilation ;
++----------------------------+-------------+
+; Processors ; Number ;
++----------------------------+-------------+
+; Number detected on machine ; 8 ;
+; Maximum allowed ; 4 ;
+; ; ;
+; Average used ; 1.00 ;
+; Maximum used ; 1 ;
+; ; ;
+; Usage by Processor ; % Time Used ;
+; Processor 1 ; 100.0% ;
+; Processors 2-8 ; 0.0% ;
++----------------------------+-------------+
+
+
++--------------------------------------------------------------------------------------------------------------------------------------------+
+; Analysis & Synthesis Source Files Read ;
++----------------------------------+-----------------+------------------------------------+----------------------------------------+---------+
+; File Name with User-Entered Path ; Used in Netlist ; File Type ; File Name with Absolute Path ; Library ;
++----------------------------------+-----------------+------------------------------------+----------------------------------------+---------+
+; ../adder/full_adder.bdf ; yes ; User Block Diagram/Schematic File ; C:/adder/full_adder.bdf ; ;
+; one_hertz_clock.bdf ; yes ; User Block Diagram/Schematic File ; C:/one_hertz_clock/one_hertz_clock.bdf ; ;
++----------------------------------+-----------------+------------------------------------+----------------------------------------+---------+
+
+
++------------------------------------------------------+
+; Analysis & Synthesis Resource Usage Summary ;
++---------------------------------------------+--------+
+; Resource ; Usage ;
++---------------------------------------------+--------+
+; Estimated Total logic elements ; 35 ;
+; ; ;
+; Total combinational functions ; 35 ;
+; Logic element usage by number of LUT inputs ; ;
+; -- 4 input functions ; 19 ;
+; -- 3 input functions ; 12 ;
+; -- <=2 input functions ; 4 ;
+; ; ;
+; Logic elements by mode ; ;
+; -- normal mode ; 35 ;
+; -- arithmetic mode ; 0 ;
+; ; ;
+; Total registers ; 19 ;
+; -- Dedicated logic registers ; 19 ;
+; -- I/O registers ; 0 ;
+; ; ;
+; I/O pins ; 2 ;
+; Embedded Multiplier 9-bit elements ; 0 ;
+; Maximum fan-out node ; inst30 ;
+; Maximum fan-out ; 19 ;
+; Total fan-out ; 160 ;
+; Average fan-out ; 2.76 ;
++---------------------------------------------+--------+
+
+
++---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
+; Analysis & Synthesis Resource Utilization by Entity ;
++----------------------------+-------------------+--------------+-------------+--------------+---------+-----------+------+--------------+-------------------------------------+--------------+
+; Compilation Hierarchy Node ; LC Combinationals ; LC Registers ; Memory Bits ; DSP Elements ; DSP 9x9 ; DSP 18x18 ; Pins ; Virtual Pins ; Full Hierarchy Name ; Library Name ;
++----------------------------+-------------------+--------------+-------------+--------------+---------+-----------+------+--------------+-------------------------------------+--------------+
+; |one_hertz_clock ; 35 (26) ; 19 (19) ; 0 ; 0 ; 0 ; 0 ; 2 ; 0 ; |one_hertz_clock ; work ;
+; |full_adder:inst369| ; 1 (1) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |one_hertz_clock|full_adder:inst369 ; work ;
+; |full_adder:inst59| ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |one_hertz_clock|full_adder:inst59 ; work ;
+; |full_adder:inst73| ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |one_hertz_clock|full_adder:inst73 ; work ;
+; |full_adder:inst88| ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |one_hertz_clock|full_adder:inst88 ; work ;
+; |full_adder:inst98| ; 1 (1) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |one_hertz_clock|full_adder:inst98 ; work ;
++----------------------------+-------------------+--------------+-------------+--------------+---------+-----------+------+--------------+-------------------------------------+--------------+
+Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy.
+
+
++------------------------------------------------------+
+; General Register Statistics ;
++----------------------------------------------+-------+
+; Statistic ; Value ;
++----------------------------------------------+-------+
+; Total registers ; 19 ;
+; Number of registers using Synchronous Clear ; 0 ;
+; Number of registers using Synchronous Load ; 0 ;
+; Number of registers using Asynchronous Clear ; 0 ;
+; Number of registers using Asynchronous Load ; 0 ;
+; Number of registers using Clock Enable ; 0 ;
+; Number of registers using Preset ; 0 ;
++----------------------------------------------+-------+
+
+
++-------------------------------+
+; Elapsed Time Per Partition ;
++----------------+--------------+
+; Partition Name ; Elapsed Time ;
++----------------+--------------+
+; Top ; 00:00:00 ;
++----------------+--------------+
+
+
++-------------------------------+
+; Analysis & Synthesis Messages ;
++-------------------------------+
+Info: *******************************************************************
+Info: Running Quartus II 64-Bit Analysis & Synthesis
+ Info: Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Full Version
+ Info: Processing started: Tue Feb 23 16:02:09 2016
+Info: Command: quartus_map --read_settings_files=on --write_settings_files=off one_hertz_clock -c one_hertz_clock
+Info (11104): Parallel Compilation has detected 8 hyper-threaded processors. However, the extra hyper-threaded processors will not be used by default. Parallel Compilation will use 4 of the 4 physical processors detected instead.
+Info (12021): Found 1 design units, including 1 entities, in source file /adder/full_adder.bdf
+ Info (12023): Found entity 1: full_adder
+Info (12021): Found 1 design units, including 1 entities, in source file one_hertz_clock.bdf
+ Info (12023): Found entity 1: one_hertz_clock
+Info (12127): Elaborating entity "one_hertz_clock" for the top level hierarchy
+Warning (275089): Not all bits in bus "N[14..5]" are used
+Warning (275080): Converted elements in bus name "N" using legacy naming rules. Make any assignments on the new names, not on the original names.
+ Warning (275081): Converted element name(s) from "N[5]" to "N5"
+ Warning (275081): Converted element name(s) from "N[6]" to "N6"
+ Warning (275081): Converted element name(s) from "N[7]" to "N7"
+ Warning (275081): Converted element name(s) from "N[9]" to "N9"
+ Warning (275081): Converted element name(s) from "N[10]" to "N10"
+ Warning (275081): Converted element name(s) from "N[11]" to "N11"
+ Warning (275081): Converted element name(s) from "N[12]" to "N12"
+ Warning (275081): Converted element name(s) from "N[14]" to "N14"
+ Warning (275081): Converted element name(s) from "N[5]" to "N5"
+ Warning (275081): Converted element name(s) from "N[6]" to "N6"
+ Warning (275081): Converted element name(s) from "N[7]" to "N7"
+ Warning (275081): Converted element name(s) from "N[9]" to "N9"
+ Warning (275081): Converted element name(s) from "N[10]" to "N10"
+ Warning (275081): Converted element name(s) from "N[11]" to "N11"
+ Warning (275081): Converted element name(s) from "N[12]" to "N12"
+ Warning (275081): Converted element name(s) from "N[14]" to "N14"
+Info (12128): Elaborating entity "full_adder" for hierarchy "full_adder:inst78"
+Info (286030): Timing-Driven Synthesis is running
+Info (16010): Generating hard_block partition "hard_block:auto_generated_inst"
+ Info (16011): Adding 0 node(s), including 0 DDIO, 0 PLL, 0 transceiver and 0 LCELL
+Info (21057): Implemented 37 device resources after synthesis - the final resource count might be different
+ Info (21058): Implemented 1 input pins
+ Info (21059): Implemented 1 output pins
+ Info (21061): Implemented 35 logic cells
+Info: Quartus II 64-Bit Analysis & Synthesis was successful. 0 errors, 18 warnings
+ Info: Peak virtual memory: 464 megabytes
+ Info: Processing ended: Tue Feb 23 16:02:11 2016
+ Info: Elapsed time: 00:00:02
+ Info: Total CPU time (on all processors): 00:00:01
+
+
diff --git a/one_hertz_clock/output_files/one_hertz_clock.map.summary b/one_hertz_clock/output_files/one_hertz_clock.map.summary
new file mode 100644
index 0000000..3540a8a
--- /dev/null
+++ b/one_hertz_clock/output_files/one_hertz_clock.map.summary
@@ -0,0 +1,14 @@
+Analysis & Synthesis Status : Successful - Tue Feb 23 16:02:10 2016
+Quartus II 64-Bit Version : 13.0.1 Build 232 06/12/2013 SP 1 SJ Full Version
+Revision Name : one_hertz_clock
+Top-level Entity Name : one_hertz_clock
+Family : Cyclone III
+Total logic elements : 35
+ Total combinational functions : 35
+ Dedicated logic registers : 19
+Total registers : 19
+Total pins : 2
+Total virtual pins : 0
+Total memory bits : 0
+Embedded Multiplier 9-bit elements : 0
+Total PLLs : 0
diff --git a/one_hertz_clock/output_files/one_hertz_clock.pin b/one_hertz_clock/output_files/one_hertz_clock.pin
new file mode 100644
index 0000000..5de5929
--- /dev/null
+++ b/one_hertz_clock/output_files/one_hertz_clock.pin
@@ -0,0 +1,554 @@
+ -- Copyright (C) 1991-2013 Altera Corporation
+ -- Your use of Altera Corporation's design tools, logic functions
+ -- and other software and tools, and its AMPP partner logic
+ -- functions, and any output files from any of the foregoing
+ -- (including device programming or simulation files), and any
+ -- associated documentation or information are expressly subject
+ -- to the terms and conditions of the Altera Program License
+ -- Subscription Agreement, Altera MegaCore Function License
+ -- Agreement, or other applicable license agreement, including,
+ -- without limitation, that your use is for the sole purpose of
+ -- programming logic devices manufactured by Altera and sold by
+ -- Altera or its authorized distributors. Please refer to the
+ -- applicable agreement for further details.
+ --
+ -- This is a Quartus II output file. It is for reporting purposes only, and is
+ -- not intended for use as a Quartus II input file. This file cannot be used
+ -- to make Quartus II pin assignments - for instructions on how to make pin
+ -- assignments, please see Quartus II help.
+ ---------------------------------------------------------------------------------
+
+
+
+ ---------------------------------------------------------------------------------
+ -- NC : No Connect. This pin has no internal connection to the device.
+ -- DNU : Do Not Use. This pin MUST NOT be connected.
+ -- VCCINT : Dedicated power pin, which MUST be connected to VCC (1.2V).
+ -- VCCIO : Dedicated power pin, which MUST be connected to VCC
+ -- of its bank.
+ -- Bank 1: 2.5V
+ -- Bank 2: 2.5V
+ -- Bank 3: 2.5V
+ -- Bank 4: 2.5V
+ -- Bank 5: 2.5V
+ -- Bank 6: 2.5V
+ -- Bank 7: 2.5V
+ -- Bank 8: 2.5V
+ -- GND : Dedicated ground pin. Dedicated GND pins MUST be connected to GND.
+ -- It can also be used to report unused dedicated pins. The connection
+ -- on the board for unused dedicated pins depends on whether this will
+ -- be used in a future design. One example is device migration. When
+ -- using device migration, refer to the device pin-tables. If it is a
+ -- GND pin in the pin table or if it will not be used in a future design
+ -- for another purpose the it MUST be connected to GND. If it is an unused
+ -- dedicated pin, then it can be connected to a valid signal on the board
+ -- (low, high, or toggling) if that signal is required for a different
+ -- revision of the design.
+ -- GND+ : Unused input pin. It can also be used to report unused dual-purpose pins.
+ -- This pin should be connected to GND. It may also be connected to a
+ -- valid signal on the board (low, high, or toggling) if that signal
+ -- is required for a different revision of the design.
+ -- GND* : Unused I/O pin. Connect each pin marked GND* directly to GND
+ -- or leave it unconnected.
+ -- RESERVED : Unused I/O pin, which MUST be left unconnected.
+ -- RESERVED_INPUT : Pin is tri-stated and should be connected to the board.
+ -- RESERVED_INPUT_WITH_WEAK_PULLUP : Pin is tri-stated with internal weak pull-up resistor.
+ -- RESERVED_INPUT_WITH_BUS_HOLD : Pin is tri-stated with bus-hold circuitry.
+ -- RESERVED_OUTPUT_DRIVEN_HIGH : Pin is output driven high.
+ ---------------------------------------------------------------------------------
+
+
+
+ ---------------------------------------------------------------------------------
+ -- Pin directions (input, output or bidir) are based on device operating in user mode.
+ ---------------------------------------------------------------------------------
+
+Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Full Version
+CHIP "one_hertz_clock" ASSIGNED TO AN: EP3C16U484C6
+
+Pin Name/Usage : Location : Dir. : I/O Standard : Voltage : I/O Bank : User Assignment
+-------------------------------------------------------------------------------------------------------------
+GND : A1 : gnd : : : :
+VCCIO8 : A2 : power : : 2.5V : 8 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : A3 : : : : 8 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : A4 : : : : 8 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : A5 : : : : 8 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : A6 : : : : 8 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : A7 : : : : 8 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : A8 : : : : 8 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : A9 : : : : 8 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : A10 : : : : 8 :
+GND+ : A11 : : : : 8 :
+GND+ : A12 : : : : 7 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : A13 : : : : 7 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : A14 : : : : 7 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : A15 : : : : 7 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : A16 : : : : 7 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : A17 : : : : 7 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : A18 : : : : 7 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : A19 : : : : 7 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : A20 : : : : 7 :
+VCCIO7 : A21 : power : : 2.5V : 7 :
+GND : A22 : gnd : : : :
+RESERVED_INPUT_WITH_WEAK_PULLUP : AA1 : : : : 2 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : AA2 : : : : 2 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : AA3 : : : : 3 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : AA4 : : : : 3 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : AA5 : : : : 3 :
+VCCIO3 : AA6 : power : : 2.5V : 3 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : AA7 : : : : 3 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : AA8 : : : : 3 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : AA9 : : : : 3 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : AA10 : : : : 3 :
+GND+ : AA11 : : : : 3 :
+GND+ : AA12 : : : : 4 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : AA13 : : : : 4 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : AA14 : : : : 4 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : AA15 : : : : 4 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : AA16 : : : : 4 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : AA17 : : : : 4 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : AA18 : : : : 4 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : AA19 : : : : 4 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : AA20 : : : : 4 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : AA21 : : : : 5 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : AA22 : : : : 5 :
+GND : AB1 : gnd : : : :
+VCCIO3 : AB2 : power : : 2.5V : 3 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : AB3 : : : : 3 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : AB4 : : : : 3 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : AB5 : : : : 3 :
+GND : AB6 : gnd : : : :
+RESERVED_INPUT_WITH_WEAK_PULLUP : AB7 : : : : 3 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : AB8 : : : : 3 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : AB9 : : : : 3 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : AB10 : : : : 3 :
+GND+ : AB11 : : : : 3 :
+GND+ : AB12 : : : : 4 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : AB13 : : : : 4 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : AB14 : : : : 4 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : AB15 : : : : 4 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : AB16 : : : : 4 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : AB17 : : : : 4 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : AB18 : : : : 4 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : AB19 : : : : 4 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : AB20 : : : : 4 :
+VCCIO4 : AB21 : power : : 2.5V : 4 :
+GND : AB22 : gnd : : : :
+RESERVED_INPUT_WITH_WEAK_PULLUP : B1 : : : : 1 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : B2 : : : : 1 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : B3 : : : : 8 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : B4 : : : : 8 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : B5 : : : : 8 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : B6 : : : : 8 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : B7 : : : : 8 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : B8 : : : : 8 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : B9 : : : : 8 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : B10 : : : : 8 :
+GND+ : B11 : : : : 8 :
+GND+ : B12 : : : : 7 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : B13 : : : : 7 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : B14 : : : : 7 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : B15 : : : : 7 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : B16 : : : : 7 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : B17 : : : : 7 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : B18 : : : : 7 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : B19 : : : : 7 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : B20 : : : : 7 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : B21 : : : : 6 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : B22 : : : : 6 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : C1 : : : : 1 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : C2 : : : : 1 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : C3 : : : : 8 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : C4 : : : : 8 :
+GND : C5 : gnd : : : :
+RESERVED_INPUT_WITH_WEAK_PULLUP : C6 : : : : 8 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : C7 : : : : 8 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : C8 : : : : 8 :
+GND : C9 : gnd : : : :
+RESERVED_INPUT_WITH_WEAK_PULLUP : C10 : : : : 8 :
+GND : C11 : gnd : : : :
+GND : C12 : gnd : : : :
+RESERVED_INPUT_WITH_WEAK_PULLUP : C13 : : : : 7 :
+GND : C14 : gnd : : : :
+RESERVED_INPUT_WITH_WEAK_PULLUP : C15 : : : : 7 :
+GND : C16 : gnd : : : :
+RESERVED_INPUT_WITH_WEAK_PULLUP : C17 : : : : 7 :
+GND : C18 : gnd : : : :
+RESERVED_INPUT_WITH_WEAK_PULLUP : C19 : : : : 7 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : C20 : : : : 6 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : C21 : : : : 6 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : C22 : : : : 6 :
+~ALTERA_ASDO_DATA1~ / RESERVED_INPUT_WITH_WEAK_PULLUP : D1 : input : 2.5 V : : 1 : N
+RESERVED_INPUT_WITH_WEAK_PULLUP : D2 : : : : 1 :
+GND : D3 : gnd : : : :
+VCCIO1 : D4 : power : : 2.5V : 1 :
+VCCIO8 : D5 : power : : 2.5V : 8 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : D6 : : : : 8 :
+GND : D7 : gnd : : : :
+GND : D8 : gnd : : : :
+VCCIO8 : D9 : power : : 2.5V : 8 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : D10 : : : : 8 :
+VCCIO8 : D11 : power : : 2.5V : 8 :
+VCCIO7 : D12 : power : : 2.5V : 7 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : D13 : : : : 7 :
+VCCIO7 : D14 : power : : 2.5V : 7 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : D15 : : : : 7 :
+VCCIO7 : D16 : power : : 2.5V : 7 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : D17 : : : : 7 :
+VCCIO7 : D18 : power : : 2.5V : 7 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : D19 : : : : 7 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : D20 : : : : 6 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : D21 : : : : 6 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : D22 : : : : 6 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : E1 : : : : 1 :
+~ALTERA_FLASH_nCE_nCSO~ / RESERVED_INPUT_WITH_WEAK_PULLUP : E2 : input : 2.5 V : : 1 : N
+RESERVED_INPUT_WITH_WEAK_PULLUP : E3 : : : : 1 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : E4 : : : : 1 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : E5 : : : : 8 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : E6 : : : : 8 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : E7 : : : : 8 :
+VCCIO8 : E8 : power : : 2.5V : 8 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : E9 : : : : 8 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : E10 : : : : 8 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : E11 : : : : 7 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : E12 : : : : 7 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : E13 : : : : 7 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : E14 : : : : 7 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : E15 : : : : 7 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : E16 : : : : 7 :
+VCCD_PLL2 : E17 : power : : 1.2V : :
+GNDA2 : E18 : gnd : : : :
+VCCIO6 : E19 : power : : 2.5V : 6 :
+GND : E20 : gnd : : : :
+RESERVED_INPUT_WITH_WEAK_PULLUP : E21 : : : : 6 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : E22 : : : : 6 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : F1 : : : : 1 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : F2 : : : : 1 :
+GND : F3 : gnd : : : :
+VCCIO1 : F4 : power : : 2.5V : 1 :
+GNDA3 : F5 : gnd : : : :
+VCCD_PLL3 : F6 : power : : 1.2V : :
+RESERVED_INPUT_WITH_WEAK_PULLUP : F7 : : : : 8 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : F8 : : : : 8 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : F9 : : : : 8 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : F10 : : : : 8 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : F11 : : : : 7 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : F12 : : : : 7 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : F13 : : : : 7 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : F14 : : : : 7 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : F15 : : : : 7 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : F16 : : : : 7 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : F17 : : : : 6 :
+VCCA2 : F18 : power : : 2.5V : :
+RESERVED_INPUT_WITH_WEAK_PULLUP : F19 : : : : 6 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : F20 : : : : 6 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : F21 : : : : 6 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : F22 : : : : 6 :
+GND+ : G1 : : : : 1 :
+CLK : G2 : input : 2.5 V : : 1 : N
+RESERVED_INPUT_WITH_WEAK_PULLUP : G3 : : : : 1 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : G4 : : : : 1 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : G5 : : : : 1 :
+VCCA3 : G6 : power : : 2.5V : :
+RESERVED_INPUT_WITH_WEAK_PULLUP : G7 : : : : 8 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : G8 : : : : 8 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : G9 : : : : 8 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : G10 : : : : 8 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : G11 : : : : 8 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : G12 : : : : 7 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : G13 : : : : 7 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : G14 : : : : 7 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : G15 : : : : 7 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : G16 : : : : 7 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : G17 : : : : 6 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : G18 : : : : 6 :
+VCCIO6 : G19 : power : : 2.5V : 6 :
+GND : G20 : gnd : : : :
+GND+ : G21 : : : : 6 :
+GND+ : G22 : : : : 6 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : H1 : : : : 1 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : H2 : : : : 1 :
+GND : H3 : gnd : : : :
+VCCIO1 : H4 : power : : 2.5V : 1 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : H5 : : : : 1 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : H6 : : : : 1 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : H7 : : : : 1 :
+GND : H8 : gnd : : : :
+RESERVED_INPUT_WITH_WEAK_PULLUP : H9 : : : : 8 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : H10 : : : : 8 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : H11 : : : : 8 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : H12 : : : : 7 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : H13 : : : : 7 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : H14 : : : : 7 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : H15 : : : : 7 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : H16 : : : : 6 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : H17 : : : : 6 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : H18 : : : : 6 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : H19 : : : : 6 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : H20 : : : : 6 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : H21 : : : : 6 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : H22 : : : : 6 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : J1 : : : : 1 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : J2 : : : : 1 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : J3 : : : : 1 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : J4 : : : : 1 :
+GND : J5 : gnd : : : :
+RESERVED_INPUT_WITH_WEAK_PULLUP : J6 : : : : 1 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : J7 : : : : 1 :
+VCCINT : J8 : power : : 1.2V : :
+GND : J9 : gnd : : : :
+VCCINT : J10 : power : : 1.2V : :
+VCCINT : J11 : power : : 1.2V : :
+VCCINT : J12 : power : : 1.2V : :
+VCCINT : J13 : power : : 1.2V : :
+VCCINT : J14 : power : : 1.2V : :
+RESERVED_INPUT_WITH_WEAK_PULLUP : J15 : : : : 6 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : J16 : : : : 6 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : J17 : : : : 6 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : J18 : : : : 6 :
+GND : J19 : gnd : : : :
+VCCIO6 : J20 : power : : 2.5V : 6 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : J21 : : : : 6 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : J22 : : : : 6 :
+~ALTERA_DATA0~ / RESERVED_INPUT_WITH_WEAK_PULLUP : K1 : input : 2.5 V : : 1 : N
+~ALTERA_DCLK~ : K2 : output : 2.5 V : : 1 : N
+GND : K3 : gnd : : : :
+VCCIO1 : K4 : power : : 2.5V : 1 :
+nCONFIG : K5 : : : : 1 :
+nSTATUS : K6 : : : : 1 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : K7 : : : : 1 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : K8 : : : : 1 :
+VCCINT : K9 : power : : 1.2V : :
+GND : K10 : gnd : : : :
+GND : K11 : gnd : : : :
+GND : K12 : gnd : : : :
+GND : K13 : gnd : : : :
+VCCINT : K14 : power : : 1.2V : :
+RESERVED_INPUT_WITH_WEAK_PULLUP : K15 : : : : 6 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : K16 : : : : 6 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : K17 : : : : 6 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : K18 : : : : 6 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : K19 : : : : 6 :
+MSEL3 : K20 : : : : 6 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : K21 : : : : 6 :
+~ALTERA_nCEO~ / RESERVED_OUTPUT_OPEN_DRAIN : K22 : output : 2.5 V : : 6 : N
+TMS : L1 : input : : : 1 :
+TCK : L2 : input : : : 1 :
+nCE : L3 : : : : 1 :
+TDO : L4 : output : : : 1 :
+TDI : L5 : input : : : 1 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : L6 : : : : 2 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : L7 : : : : 2 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : L8 : : : : 1 :
+VCCINT : L9 : power : : 1.2V : :
+GND : L10 : gnd : : : :
+GND : L11 : gnd : : : :
+GND : L12 : gnd : : : :
+GND : L13 : gnd : : : :
+VCCINT : L14 : power : : 1.2V : :
+RESERVED_INPUT_WITH_WEAK_PULLUP : L15 : : : : 6 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : L16 : : : : 6 :
+MSEL2 : L17 : : : : 6 :
+MSEL1 : L18 : : : : 6 :
+VCCIO6 : L19 : power : : 2.5V : 6 :
+GND : L20 : gnd : : : :
+RESERVED_INPUT_WITH_WEAK_PULLUP : L21 : : : : 6 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : L22 : : : : 6 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : M1 : : : : 2 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : M2 : : : : 2 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : M3 : : : : 2 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : M4 : : : : 2 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : M5 : : : : 2 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : M6 : : : : 2 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : M7 : : : : 2 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : M8 : : : : 2 :
+VCCINT : M9 : power : : 1.2V : :
+GND : M10 : gnd : : : :
+GND : M11 : gnd : : : :
+GND : M12 : gnd : : : :
+GND : M13 : gnd : : : :
+VCCINT : M14 : power : : 1.2V : :
+RESERVED_INPUT_WITH_WEAK_PULLUP : M15 : : : : 5 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : M16 : : : : 5 :
+MSEL0 : M17 : : : : 6 :
+CONF_DONE : M18 : : : : 6 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : M19 : : : : 5 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : M20 : : : : 5 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : M21 : : : : 5 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : M22 : : : : 5 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : N1 : : : : 2 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : N2 : : : : 2 :
+GND : N3 : gnd : : : :
+VCCIO2 : N4 : power : : 2.5V : 2 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : N5 : : : : 2 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : N6 : : : : 2 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : N7 : : : : 2 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : N8 : : : : 2 :
+VCCINT : N9 : power : : 1.2V : :
+GND : N10 : gnd : : : :
+GND : N11 : gnd : : : :
+GND : N12 : gnd : : : :
+GND : N13 : gnd : : : :
+RESERVED_INPUT_WITH_WEAK_PULLUP : N14 : : : : 5 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : N15 : : : : 5 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : N16 : : : : 5 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : N17 : : : : 5 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : N18 : : : : 5 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : N19 : : : : 5 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : N20 : : : : 5 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : N21 : : : : 5 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : N22 : : : : 5 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : P1 : : : : 2 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : P2 : : : : 2 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : P3 : : : : 2 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : P4 : : : : 2 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : P5 : : : : 2 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : P6 : : : : 2 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : P7 : : : : 2 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : P8 : : : : 2 :
+VCCINT : P9 : power : : 1.2V : :
+VCCINT : P10 : power : : 1.2V : :
+VCCINT : P11 : power : : 1.2V : :
+VCCINT : P12 : power : : 1.2V : :
+VCCINT : P13 : power : : 1.2V : :
+RESERVED_INPUT_WITH_WEAK_PULLUP : P14 : : : : 5 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : P15 : : : : 5 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : P16 : : : : 5 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : P17 : : : : 5 :
+VCCIO5 : P18 : power : : 2.5V : 5 :
+GND : P19 : gnd : : : :
+RESERVED_INPUT_WITH_WEAK_PULLUP : P20 : : : : 5 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : P21 : : : : 5 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : P22 : : : : 5 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : R1 : : : : 2 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : R2 : : : : 2 :
+GND : R3 : gnd : : : :
+VCCIO2 : R4 : power : : 2.5V : 2 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : R5 : : : : 2 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : R6 : : : : 2 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : R7 : : : : 2 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : R8 : : : : 2 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : R9 : : : : 3 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : R10 : : : : 3 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : R11 : : : : 3 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : R12 : : : : 3 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : R13 : : : : 4 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : R14 : : : : 4 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : R15 : : : : 4 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : R16 : : : : 4 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : R17 : : : : 5 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : R18 : : : : 5 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : R19 : : : : 5 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : R20 : : : : 5 :
+1_Hz : R21 : output : 2.5 V : : 5 : N
+RESERVED_INPUT_WITH_WEAK_PULLUP : R22 : : : : 5 :
+GND+ : T1 : : : : 2 :
+GND+ : T2 : : : : 2 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : T3 : : : : 2 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : T4 : : : : 2 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : T5 : : : : 2 :
+VCCA1 : T6 : power : : 2.5V : :
+RESERVED_INPUT_WITH_WEAK_PULLUP : T7 : : : : 2 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : T8 : : : : 3 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : T9 : : : : 3 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : T10 : : : : 3 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : T11 : : : : 3 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : T12 : : : : 4 :
+VCCINT : T13 : power : : 1.2V : :
+RESERVED_INPUT_WITH_WEAK_PULLUP : T14 : : : : 4 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : T15 : : : : 4 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : T16 : : : : 4 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : T17 : : : : 5 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : T18 : : : : 5 :
+VCCIO5 : T19 : power : : 2.5V : 5 :
+GND : T20 : gnd : : : :
+GND+ : T21 : : : : 5 :
+GND+ : T22 : : : : 5 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : U1 : : : : 2 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : U2 : : : : 2 :
+GND : U3 : gnd : : : :
+VCCIO2 : U4 : power : : 2.5V : 2 :
+GNDA1 : U5 : gnd : : : :
+VCCD_PLL1 : U6 : power : : 1.2V : :
+RESERVED_INPUT_WITH_WEAK_PULLUP : U7 : : : : 3 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : U8 : : : : 3 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : U9 : : : : 3 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : U10 : : : : 3 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : U11 : : : : 3 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : U12 : : : : 4 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : U13 : : : : 4 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : U14 : : : : 4 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : U15 : : : : 4 :
+VCCINT : U16 : power : : 1.2V : :
+VCCINT : U17 : power : : 1.2V : :
+VCCA4 : U18 : power : : 2.5V : :
+RESERVED_INPUT_WITH_WEAK_PULLUP : U19 : : : : 5 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : U20 : : : : 5 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : U21 : : : : 5 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : U22 : : : : 5 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : V1 : : : : 2 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : V2 : : : : 2 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : V3 : : : : 2 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : V4 : : : : 2 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : V5 : : : : 3 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : V6 : : : : 3 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : V7 : : : : 3 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : V8 : : : : 3 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : V9 : : : : 3 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : V10 : : : : 3 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : V11 : : : : 3 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : V12 : : : : 4 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : V13 : : : : 4 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : V14 : : : : 4 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : V15 : : : : 4 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : V16 : : : : 4 :
+VCCD_PLL4 : V17 : power : : 1.2V : :
+GNDA4 : V18 : gnd : : : :
+VCCIO5 : V19 : power : : 2.5V : 5 :
+GND : V20 : gnd : : : :
+RESERVED_INPUT_WITH_WEAK_PULLUP : V21 : : : : 5 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : V22 : : : : 5 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : W1 : : : : 2 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : W2 : : : : 2 :
+GND : W3 : gnd : : : :
+VCCIO2 : W4 : power : : 2.5V : 2 :
+VCCIO3 : W5 : power : : 2.5V : 3 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : W6 : : : : 3 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : W7 : : : : 3 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : W8 : : : : 3 :
+VCCIO3 : W9 : power : : 2.5V : 3 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : W10 : : : : 3 :
+VCCIO3 : W11 : power : : 2.5V : 3 :
+VCCIO4 : W12 : power : : 2.5V : 4 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : W13 : : : : 4 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : W14 : : : : 4 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : W15 : : : : 4 :
+VCCIO4 : W16 : power : : 2.5V : 4 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : W17 : : : : 4 :
+VCCIO4 : W18 : power : : 2.5V : 4 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : W19 : : : : 5 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : W20 : : : : 5 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : W21 : : : : 5 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : W22 : : : : 5 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : Y1 : : : : 2 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : Y2 : : : : 2 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : Y3 : : : : 3 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : Y4 : : : : 3 :
+GND : Y5 : gnd : : : :
+RESERVED_INPUT_WITH_WEAK_PULLUP : Y6 : : : : 3 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : Y7 : : : : 3 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : Y8 : : : : 3 :
+GND : Y9 : gnd : : : :
+RESERVED_INPUT_WITH_WEAK_PULLUP : Y10 : : : : 3 :
+GND : Y11 : gnd : : : :
+GND : Y12 : gnd : : : :
+RESERVED_INPUT_WITH_WEAK_PULLUP : Y13 : : : : 4 :
+VCCIO4 : Y14 : power : : 2.5V : 4 :
+GND : Y15 : gnd : : : :
+GND : Y16 : gnd : : : :
+RESERVED_INPUT_WITH_WEAK_PULLUP : Y17 : : : : 4 :
+GND : Y18 : gnd : : : :
+VCCIO5 : Y19 : power : : 2.5V : 5 :
+GND : Y20 : gnd : : : :
+RESERVED_INPUT_WITH_WEAK_PULLUP : Y21 : : : : 5 :
+RESERVED_INPUT_WITH_WEAK_PULLUP : Y22 : : : : 5 :
diff --git a/one_hertz_clock/output_files/one_hertz_clock.sof b/one_hertz_clock/output_files/one_hertz_clock.sof
new file mode 100644
index 0000000..3ed4f06
--- /dev/null
+++ b/one_hertz_clock/output_files/one_hertz_clock.sof
Binary files differ
diff --git a/one_hertz_clock/output_files/one_hertz_clock.sta.rpt b/one_hertz_clock/output_files/one_hertz_clock.sta.rpt
new file mode 100644
index 0000000..d6a59b0
--- /dev/null
+++ b/one_hertz_clock/output_files/one_hertz_clock.sta.rpt
@@ -0,0 +1,1515 @@
+TimeQuest Timing Analyzer report for one_hertz_clock
+Tue Feb 23 16:02:20 2016
+Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Full Version
+
+
+---------------------
+; Table of Contents ;
+---------------------
+ 1. Legal Notice
+ 2. TimeQuest Timing Analyzer Summary
+ 3. Parallel Compilation
+ 4. Clocks
+ 5. Slow 1200mV 85C Model Fmax Summary
+ 6. Timing Closure Recommendations
+ 7. Slow 1200mV 85C Model Setup Summary
+ 8. Slow 1200mV 85C Model Hold Summary
+ 9. Slow 1200mV 85C Model Recovery Summary
+ 10. Slow 1200mV 85C Model Removal Summary
+ 11. Slow 1200mV 85C Model Minimum Pulse Width Summary
+ 12. Slow 1200mV 85C Model Setup: 'CLK'
+ 13. Slow 1200mV 85C Model Hold: 'CLK'
+ 14. Slow 1200mV 85C Model Minimum Pulse Width: 'CLK'
+ 15. Clock to Output Times
+ 16. Minimum Clock to Output Times
+ 17. Slow 1200mV 85C Model Metastability Report
+ 18. Slow 1200mV 0C Model Fmax Summary
+ 19. Slow 1200mV 0C Model Setup Summary
+ 20. Slow 1200mV 0C Model Hold Summary
+ 21. Slow 1200mV 0C Model Recovery Summary
+ 22. Slow 1200mV 0C Model Removal Summary
+ 23. Slow 1200mV 0C Model Minimum Pulse Width Summary
+ 24. Slow 1200mV 0C Model Setup: 'CLK'
+ 25. Slow 1200mV 0C Model Hold: 'CLK'
+ 26. Slow 1200mV 0C Model Minimum Pulse Width: 'CLK'
+ 27. Clock to Output Times
+ 28. Minimum Clock to Output Times
+ 29. Slow 1200mV 0C Model Metastability Report
+ 30. Fast 1200mV 0C Model Setup Summary
+ 31. Fast 1200mV 0C Model Hold Summary
+ 32. Fast 1200mV 0C Model Recovery Summary
+ 33. Fast 1200mV 0C Model Removal Summary
+ 34. Fast 1200mV 0C Model Minimum Pulse Width Summary
+ 35. Fast 1200mV 0C Model Setup: 'CLK'
+ 36. Fast 1200mV 0C Model Hold: 'CLK'
+ 37. Fast 1200mV 0C Model Minimum Pulse Width: 'CLK'
+ 38. Clock to Output Times
+ 39. Minimum Clock to Output Times
+ 40. Fast 1200mV 0C Model Metastability Report
+ 41. Multicorner Timing Analysis Summary
+ 42. Clock to Output Times
+ 43. Minimum Clock to Output Times
+ 44. Board Trace Model Assignments
+ 45. Input Transition Times
+ 46. Slow Corner Signal Integrity Metrics
+ 47. Fast Corner Signal Integrity Metrics
+ 48. Setup Transfers
+ 49. Hold Transfers
+ 50. Report TCCS
+ 51. Report RSKM
+ 52. Unconstrained Paths
+ 53. TimeQuest Timing Analyzer Messages
+
+
+
+----------------
+; Legal Notice ;
+----------------
+Copyright (C) 1991-2013 Altera Corporation
+Your use of Altera Corporation's design tools, logic functions
+and other software and tools, and its AMPP partner logic
+functions, and any output files from any of the foregoing
+(including device programming or simulation files), and any
+associated documentation or information are expressly subject
+to the terms and conditions of the Altera Program License
+Subscription Agreement, Altera MegaCore Function License
+Agreement, or other applicable license agreement, including,
+without limitation, that your use is for the sole purpose of
+programming logic devices manufactured by Altera and sold by
+Altera or its authorized distributors. Please refer to the
+applicable agreement for further details.
+
+
+
++-----------------------------------------------------------------------------------------+
+; TimeQuest Timing Analyzer Summary ;
++--------------------+--------------------------------------------------------------------+
+; Quartus II Version ; Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Full Version ;
+; Revision Name ; one_hertz_clock ;
+; Device Family ; Cyclone III ;
+; Device Name ; EP3C16U484C6 ;
+; Timing Models ; Final ;
+; Delay Model ; Combined ;
+; Rise/Fall Delays ; Enabled ;
++--------------------+--------------------------------------------------------------------+
+
+
++------------------------------------------+
+; Parallel Compilation ;
++----------------------------+-------------+
+; Processors ; Number ;
++----------------------------+-------------+
+; Number detected on machine ; 8 ;
+; Maximum allowed ; 4 ;
+; ; ;
+; Average used ; 1.00 ;
+; Maximum used ; 4 ;
+; ; ;
+; Usage by Processor ; % Time Used ;
+; Processor 1 ; 100.0% ;
+; Processors 2-4 ; < 0.1% ;
+; Processors 5-8 ; 0.0% ;
++----------------------------+-------------+
+
+
++---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
+; Clocks ;
++------------+------+--------+------------+-------+-------+------------+-----------+-------------+-------+--------+-----------+------------+----------+--------+--------+---------+
+; Clock Name ; Type ; Period ; Frequency ; Rise ; Fall ; Duty Cycle ; Divide by ; Multiply by ; Phase ; Offset ; Edge List ; Edge Shift ; Inverted ; Master ; Source ; Targets ;
++------------+------+--------+------------+-------+-------+------------+-----------+-------------+-------+--------+-----------+------------+----------+--------+--------+---------+
+; CLK ; Base ; 1.000 ; 1000.0 MHz ; 0.000 ; 0.500 ; ; ; ; ; ; ; ; ; ; ; { CLK } ;
++------------+------+--------+------------+-------+-------+------------+-----------+-------------+-------+--------+-----------+------------+----------+--------+--------+---------+
+
+
++-----------------------------------------------------------------------------------------------------------+
+; Slow 1200mV 85C Model Fmax Summary ;
++------------+-----------------+------------+---------------------------------------------------------------+
+; Fmax ; Restricted Fmax ; Clock Name ; Note ;
++------------+-----------------+------------+---------------------------------------------------------------+
+; 339.21 MHz ; 250.0 MHz ; CLK ; limit due to minimum period restriction (max I/O toggle rate) ;
++------------+-----------------+------------+---------------------------------------------------------------+
+This panel reports FMAX for every clock in the design, regardless of the user-specified clock periods. FMAX is only computed for paths where the source and destination registers or ports are driven by the same clock. Paths of different clocks, including generated clocks, are ignored. For paths between a clock and its inversion, FMAX is computed as if the rising and falling edges are scaled along with FMAX, such that the duty cycle (in terms of a percentage) is maintained. Altera recommends that you always use clock constraints and other slack reports for sign-off analysis.
+
+
+----------------------------------
+; Timing Closure Recommendations ;
+----------------------------------
+HTML report is unavailable in plain text report export.
+
+
++-------------------------------------+
+; Slow 1200mV 85C Model Setup Summary ;
++-------+--------+--------------------+
+; Clock ; Slack ; End Point TNS ;
++-------+--------+--------------------+
+; CLK ; -1.948 ; -30.172 ;
++-------+--------+--------------------+
+
+
++------------------------------------+
+; Slow 1200mV 85C Model Hold Summary ;
++-------+-------+--------------------+
+; Clock ; Slack ; End Point TNS ;
++-------+-------+--------------------+
+; CLK ; 0.344 ; 0.000 ;
++-------+-------+--------------------+
+
+
+------------------------------------------
+; Slow 1200mV 85C Model Recovery Summary ;
+------------------------------------------
+No paths to report.
+
+
+-----------------------------------------
+; Slow 1200mV 85C Model Removal Summary ;
+-----------------------------------------
+No paths to report.
+
+
++---------------------------------------------------+
+; Slow 1200mV 85C Model Minimum Pulse Width Summary ;
++-------+--------+----------------------------------+
+; Clock ; Slack ; End Point TNS ;
++-------+--------+----------------------------------+
+; CLK ; -3.000 ; -22.000 ;
++-------+--------+----------------------------------+
+
+
++------------------------------------------------------------------------------------------------------+
+; Slow 1200mV 85C Model Setup: 'CLK' ;
++--------+-------------+---------+--------------+-------------+--------------+------------+------------+
+; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
++--------+-------------+---------+--------------+-------------+--------------+------------+------------+
+; -1.948 ; inst50 ; inst107 ; CLK ; CLK ; 1.000 ; -0.062 ; 2.881 ;
+; -1.946 ; inst43 ; inst107 ; CLK ; CLK ; 1.000 ; -0.062 ; 2.879 ;
+; -1.933 ; inst92 ; inst107 ; CLK ; CLK ; 1.000 ; -0.061 ; 2.867 ;
+; -1.925 ; inst50 ; inst92 ; CLK ; CLK ; 1.000 ; -0.062 ; 2.858 ;
+; -1.924 ; inst50 ; inst97 ; CLK ; CLK ; 1.000 ; -0.062 ; 2.857 ;
+; -1.923 ; inst43 ; inst92 ; CLK ; CLK ; 1.000 ; -0.062 ; 2.856 ;
+; -1.922 ; inst43 ; inst97 ; CLK ; CLK ; 1.000 ; -0.062 ; 2.855 ;
+; -1.908 ; inst97 ; inst107 ; CLK ; CLK ; 1.000 ; -0.061 ; 2.842 ;
+; -1.904 ; inst92 ; inst72 ; CLK ; CLK ; 1.000 ; -0.061 ; 2.838 ;
+; -1.879 ; inst97 ; inst72 ; CLK ; CLK ; 1.000 ; -0.061 ; 2.813 ;
+; -1.846 ; inst389 ; inst107 ; CLK ; CLK ; 1.000 ; -0.062 ; 2.779 ;
+; -1.823 ; inst389 ; inst92 ; CLK ; CLK ; 1.000 ; -0.062 ; 2.756 ;
+; -1.822 ; inst389 ; inst97 ; CLK ; CLK ; 1.000 ; -0.062 ; 2.755 ;
+; -1.816 ; inst102 ; inst107 ; CLK ; CLK ; 1.000 ; -0.425 ; 2.386 ;
+; -1.795 ; inst40 ; inst107 ; CLK ; CLK ; 1.000 ; -0.062 ; 2.728 ;
+; -1.787 ; inst82 ; inst107 ; CLK ; CLK ; 1.000 ; -0.061 ; 2.721 ;
+; -1.787 ; inst102 ; inst72 ; CLK ; CLK ; 1.000 ; -0.425 ; 2.357 ;
+; -1.784 ; inst92 ; inst77 ; CLK ; CLK ; 1.000 ; -0.061 ; 2.718 ;
+; -1.781 ; inst92 ; inst63 ; CLK ; CLK ; 1.000 ; -0.061 ; 2.715 ;
+; -1.780 ; inst92 ; inst67 ; CLK ; CLK ; 1.000 ; -0.061 ; 2.714 ;
+; -1.772 ; inst40 ; inst92 ; CLK ; CLK ; 1.000 ; -0.062 ; 2.705 ;
+; -1.771 ; inst40 ; inst97 ; CLK ; CLK ; 1.000 ; -0.062 ; 2.704 ;
+; -1.759 ; inst97 ; inst77 ; CLK ; CLK ; 1.000 ; -0.061 ; 2.693 ;
+; -1.758 ; inst82 ; inst72 ; CLK ; CLK ; 1.000 ; -0.061 ; 2.692 ;
+; -1.756 ; inst97 ; inst63 ; CLK ; CLK ; 1.000 ; -0.061 ; 2.690 ;
+; -1.755 ; inst97 ; inst67 ; CLK ; CLK ; 1.000 ; -0.061 ; 2.689 ;
+; -1.741 ; inst50 ; inst87 ; CLK ; CLK ; 1.000 ; -0.062 ; 2.674 ;
+; -1.739 ; inst43 ; inst87 ; CLK ; CLK ; 1.000 ; -0.062 ; 2.672 ;
+; -1.728 ; inst50 ; inst77 ; CLK ; CLK ; 1.000 ; -0.062 ; 2.661 ;
+; -1.726 ; inst43 ; inst77 ; CLK ; CLK ; 1.000 ; -0.062 ; 2.659 ;
+; -1.722 ; inst50 ; inst82 ; CLK ; CLK ; 1.000 ; -0.062 ; 2.655 ;
+; -1.720 ; inst43 ; inst82 ; CLK ; CLK ; 1.000 ; -0.062 ; 2.653 ;
+; -1.719 ; inst38 ; inst107 ; CLK ; CLK ; 1.000 ; -0.062 ; 2.652 ;
+; -1.706 ; inst77 ; inst107 ; CLK ; CLK ; 1.000 ; -0.061 ; 2.640 ;
+; -1.704 ; inst459 ; inst107 ; CLK ; CLK ; 1.000 ; -0.062 ; 2.637 ;
+; -1.696 ; inst38 ; inst92 ; CLK ; CLK ; 1.000 ; -0.062 ; 2.629 ;
+; -1.695 ; inst38 ; inst97 ; CLK ; CLK ; 1.000 ; -0.062 ; 2.628 ;
+; -1.681 ; inst459 ; inst92 ; CLK ; CLK ; 1.000 ; -0.062 ; 2.614 ;
+; -1.680 ; inst459 ; inst97 ; CLK ; CLK ; 1.000 ; -0.062 ; 2.613 ;
+; -1.677 ; inst77 ; inst72 ; CLK ; CLK ; 1.000 ; -0.061 ; 2.611 ;
+; -1.667 ; inst102 ; inst77 ; CLK ; CLK ; 1.000 ; -0.425 ; 2.237 ;
+; -1.664 ; inst102 ; inst63 ; CLK ; CLK ; 1.000 ; -0.425 ; 2.234 ;
+; -1.663 ; inst102 ; inst67 ; CLK ; CLK ; 1.000 ; -0.425 ; 2.233 ;
+; -1.660 ; inst92 ; inst97 ; CLK ; CLK ; 1.000 ; -0.061 ; 2.594 ;
+; -1.659 ; inst92 ; inst87 ; CLK ; CLK ; 1.000 ; -0.061 ; 2.593 ;
+; -1.657 ; inst92 ; inst48 ; CLK ; CLK ; 1.000 ; -0.061 ; 2.591 ;
+; -1.655 ; inst92 ; inst92 ; CLK ; CLK ; 1.000 ; -0.061 ; 2.589 ;
+; -1.654 ; inst92 ; inst82 ; CLK ; CLK ; 1.000 ; -0.061 ; 2.588 ;
+; -1.639 ; inst389 ; inst87 ; CLK ; CLK ; 1.000 ; -0.062 ; 2.572 ;
+; -1.638 ; inst82 ; inst77 ; CLK ; CLK ; 1.000 ; -0.061 ; 2.572 ;
+; -1.635 ; inst82 ; inst63 ; CLK ; CLK ; 1.000 ; -0.061 ; 2.569 ;
+; -1.635 ; inst97 ; inst97 ; CLK ; CLK ; 1.000 ; -0.061 ; 2.569 ;
+; -1.634 ; inst82 ; inst67 ; CLK ; CLK ; 1.000 ; -0.061 ; 2.568 ;
+; -1.634 ; inst97 ; inst87 ; CLK ; CLK ; 1.000 ; -0.061 ; 2.568 ;
+; -1.632 ; inst97 ; inst48 ; CLK ; CLK ; 1.000 ; -0.061 ; 2.566 ;
+; -1.630 ; inst97 ; inst92 ; CLK ; CLK ; 1.000 ; -0.061 ; 2.564 ;
+; -1.629 ; inst97 ; inst82 ; CLK ; CLK ; 1.000 ; -0.061 ; 2.563 ;
+; -1.626 ; inst389 ; inst77 ; CLK ; CLK ; 1.000 ; -0.062 ; 2.559 ;
+; -1.620 ; inst389 ; inst82 ; CLK ; CLK ; 1.000 ; -0.062 ; 2.553 ;
+; -1.601 ; inst87 ; inst107 ; CLK ; CLK ; 1.000 ; -0.061 ; 2.535 ;
+; -1.600 ; inst50 ; inst102 ; CLK ; CLK ; 1.000 ; 0.287 ; 2.882 ;
+; -1.598 ; inst43 ; inst102 ; CLK ; CLK ; 1.000 ; 0.287 ; 2.880 ;
+; -1.588 ; inst40 ; inst87 ; CLK ; CLK ; 1.000 ; -0.062 ; 2.521 ;
+; -1.582 ; inst92 ; inst102 ; CLK ; CLK ; 1.000 ; 0.288 ; 2.865 ;
+; -1.580 ; inst3799999 ; inst107 ; CLK ; CLK ; 1.000 ; -0.062 ; 2.513 ;
+; -1.575 ; inst40 ; inst77 ; CLK ; CLK ; 1.000 ; -0.062 ; 2.508 ;
+; -1.569 ; inst40 ; inst82 ; CLK ; CLK ; 1.000 ; -0.062 ; 2.502 ;
+; -1.561 ; inst87 ; inst72 ; CLK ; CLK ; 1.000 ; -0.061 ; 2.495 ;
+; -1.559 ; inst77 ; inst77 ; CLK ; CLK ; 1.000 ; -0.061 ; 2.493 ;
+; -1.557 ; inst3799999 ; inst92 ; CLK ; CLK ; 1.000 ; -0.062 ; 2.490 ;
+; -1.557 ; inst77 ; inst63 ; CLK ; CLK ; 1.000 ; -0.061 ; 2.491 ;
+; -1.557 ; inst77 ; inst67 ; CLK ; CLK ; 1.000 ; -0.061 ; 2.491 ;
+; -1.557 ; inst97 ; inst102 ; CLK ; CLK ; 1.000 ; 0.288 ; 2.840 ;
+; -1.556 ; inst3799999 ; inst97 ; CLK ; CLK ; 1.000 ; -0.062 ; 2.489 ;
+; -1.543 ; inst102 ; inst97 ; CLK ; CLK ; 1.000 ; -0.425 ; 2.113 ;
+; -1.542 ; inst102 ; inst87 ; CLK ; CLK ; 1.000 ; -0.425 ; 2.112 ;
+; -1.540 ; inst102 ; inst48 ; CLK ; CLK ; 1.000 ; -0.425 ; 2.110 ;
+; -1.538 ; inst102 ; inst92 ; CLK ; CLK ; 1.000 ; -0.425 ; 2.108 ;
+; -1.537 ; inst102 ; inst82 ; CLK ; CLK ; 1.000 ; -0.425 ; 2.107 ;
+; -1.523 ; inst72 ; inst107 ; CLK ; CLK ; 1.000 ; -0.061 ; 2.457 ;
+; -1.518 ; inst67 ; inst107 ; CLK ; CLK ; 1.000 ; -0.061 ; 2.452 ;
+; -1.514 ; inst82 ; inst97 ; CLK ; CLK ; 1.000 ; -0.061 ; 2.448 ;
+; -1.513 ; inst82 ; inst87 ; CLK ; CLK ; 1.000 ; -0.061 ; 2.447 ;
+; -1.512 ; inst38 ; inst87 ; CLK ; CLK ; 1.000 ; -0.062 ; 2.445 ;
+; -1.511 ; inst82 ; inst48 ; CLK ; CLK ; 1.000 ; -0.061 ; 2.445 ;
+; -1.509 ; inst82 ; inst92 ; CLK ; CLK ; 1.000 ; -0.061 ; 2.443 ;
+; -1.508 ; inst82 ; inst82 ; CLK ; CLK ; 1.000 ; -0.061 ; 2.442 ;
+; -1.506 ; inst58 ; inst107 ; CLK ; CLK ; 1.000 ; -0.062 ; 2.439 ;
+; -1.504 ; inst40 ; inst72 ; CLK ; CLK ; 1.000 ; -0.062 ; 2.437 ;
+; -1.499 ; inst38 ; inst77 ; CLK ; CLK ; 1.000 ; -0.062 ; 2.432 ;
+; -1.498 ; inst389 ; inst102 ; CLK ; CLK ; 1.000 ; 0.287 ; 2.780 ;
+; -1.497 ; inst459 ; inst87 ; CLK ; CLK ; 1.000 ; -0.062 ; 2.430 ;
+; -1.493 ; inst38 ; inst82 ; CLK ; CLK ; 1.000 ; -0.062 ; 2.426 ;
+; -1.492 ; inst72 ; inst72 ; CLK ; CLK ; 1.000 ; -0.061 ; 2.426 ;
+; -1.490 ; inst92 ; inst459 ; CLK ; CLK ; 1.000 ; -0.061 ; 2.424 ;
+; -1.489 ; inst92 ; inst43 ; CLK ; CLK ; 1.000 ; -0.061 ; 2.423 ;
+; -1.489 ; inst92 ; inst58 ; CLK ; CLK ; 1.000 ; -0.061 ; 2.423 ;
+; -1.489 ; inst67 ; inst72 ; CLK ; CLK ; 1.000 ; -0.061 ; 2.423 ;
+; -1.485 ; inst92 ; inst38 ; CLK ; CLK ; 1.000 ; -0.061 ; 2.419 ;
+; -1.485 ; inst92 ; inst50 ; CLK ; CLK ; 1.000 ; -0.061 ; 2.419 ;
++--------+-------------+---------+--------------+-------------+--------------+------------+------------+
+
+
++---------------------------------------------------------------------------------------------------------+
+; Slow 1200mV 85C Model Hold: 'CLK' ;
++-------+-------------+-------------+--------------+-------------+--------------+------------+------------+
+; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
++-------+-------------+-------------+--------------+-------------+--------------+------------+------------+
+; 0.344 ; inst102 ; inst102 ; CLK ; CLK ; 0.000 ; 0.076 ; 0.577 ;
+; 0.358 ; inst38 ; inst38 ; CLK ; CLK ; 0.000 ; 0.062 ; 0.577 ;
+; 0.358 ; inst40 ; inst40 ; CLK ; CLK ; 0.000 ; 0.062 ; 0.577 ;
+; 0.358 ; inst459 ; inst459 ; CLK ; CLK ; 0.000 ; 0.062 ; 0.577 ;
+; 0.358 ; inst389 ; inst389 ; CLK ; CLK ; 0.000 ; 0.062 ; 0.577 ;
+; 0.358 ; inst43 ; inst43 ; CLK ; CLK ; 0.000 ; 0.062 ; 0.577 ;
+; 0.358 ; inst50 ; inst50 ; CLK ; CLK ; 0.000 ; 0.062 ; 0.577 ;
+; 0.359 ; inst48 ; inst48 ; CLK ; CLK ; 0.000 ; 0.061 ; 0.577 ;
+; 0.359 ; inst63 ; inst63 ; CLK ; CLK ; 0.000 ; 0.061 ; 0.577 ;
+; 0.359 ; inst67 ; inst67 ; CLK ; CLK ; 0.000 ; 0.061 ; 0.577 ;
+; 0.359 ; inst77 ; inst77 ; CLK ; CLK ; 0.000 ; 0.061 ; 0.577 ;
+; 0.359 ; inst82 ; inst82 ; CLK ; CLK ; 0.000 ; 0.061 ; 0.577 ;
+; 0.359 ; inst92 ; inst92 ; CLK ; CLK ; 0.000 ; 0.061 ; 0.577 ;
+; 0.359 ; inst97 ; inst97 ; CLK ; CLK ; 0.000 ; 0.061 ; 0.577 ;
+; 0.359 ; inst107 ; inst107 ; CLK ; CLK ; 0.000 ; 0.061 ; 0.577 ;
+; 0.361 ; inst3799999 ; inst3799999 ; CLK ; CLK ; 0.000 ; 0.062 ; 0.580 ;
+; 0.425 ; inst92 ; inst102 ; CLK ; CLK ; 0.000 ; 0.425 ; 1.007 ;
+; 0.497 ; inst97 ; inst102 ; CLK ; CLK ; 0.000 ; 0.425 ; 1.079 ;
+; 0.618 ; inst92 ; inst97 ; CLK ; CLK ; 0.000 ; 0.061 ; 0.836 ;
+; 0.738 ; inst82 ; inst102 ; CLK ; CLK ; 0.000 ; 0.425 ; 1.320 ;
+; 0.759 ; inst63 ; inst67 ; CLK ; CLK ; 0.000 ; 0.061 ; 0.977 ;
+; 0.789 ; inst92 ; inst107 ; CLK ; CLK ; 0.000 ; 0.061 ; 1.007 ;
+; 0.800 ; inst77 ; inst82 ; CLK ; CLK ; 0.000 ; 0.061 ; 1.018 ;
+; 0.802 ; inst43 ; inst48 ; CLK ; CLK ; 0.000 ; 0.061 ; 1.020 ;
+; 0.814 ; inst87 ; inst102 ; CLK ; CLK ; 0.000 ; 0.425 ; 1.396 ;
+; 0.861 ; inst97 ; inst107 ; CLK ; CLK ; 0.000 ; 0.061 ; 1.079 ;
+; 0.895 ; inst82 ; inst87 ; CLK ; CLK ; 0.000 ; 0.061 ; 1.113 ;
+; 0.902 ; inst3799999 ; inst38 ; CLK ; CLK ; 0.000 ; 0.062 ; 1.121 ;
+; 0.903 ; inst3799999 ; inst40 ; CLK ; CLK ; 0.000 ; 0.062 ; 1.122 ;
+; 0.909 ; inst459 ; inst50 ; CLK ; CLK ; 0.000 ; 0.062 ; 1.128 ;
+; 0.922 ; inst38 ; inst40 ; CLK ; CLK ; 0.000 ; 0.062 ; 1.141 ;
+; 0.942 ; inst72 ; inst72 ; CLK ; CLK ; 0.000 ; 0.061 ; 1.160 ;
+; 0.953 ; inst3799999 ; inst50 ; CLK ; CLK ; 0.000 ; 0.062 ; 1.172 ;
+; 0.956 ; inst3799999 ; inst459 ; CLK ; CLK ; 0.000 ; 0.062 ; 1.175 ;
+; 0.970 ; inst87 ; inst87 ; CLK ; CLK ; 0.000 ; 0.061 ; 1.188 ;
+; 0.993 ; inst77 ; inst102 ; CLK ; CLK ; 0.000 ; 0.425 ; 1.575 ;
+; 1.016 ; inst58 ; inst58 ; CLK ; CLK ; 0.000 ; 0.062 ; 1.235 ;
+; 1.034 ; inst67 ; inst72 ; CLK ; CLK ; 0.000 ; 0.061 ; 1.252 ;
+; 1.035 ; inst82 ; inst97 ; CLK ; CLK ; 0.000 ; 0.061 ; 1.253 ;
+; 1.037 ; inst82 ; inst92 ; CLK ; CLK ; 0.000 ; 0.061 ; 1.255 ;
+; 1.043 ; inst389 ; inst43 ; CLK ; CLK ; 0.000 ; 0.062 ; 1.262 ;
+; 1.052 ; inst63 ; inst72 ; CLK ; CLK ; 0.000 ; 0.061 ; 1.270 ;
+; 1.058 ; inst38 ; inst50 ; CLK ; CLK ; 0.000 ; 0.062 ; 1.277 ;
+; 1.061 ; inst38 ; inst459 ; CLK ; CLK ; 0.000 ; 0.062 ; 1.280 ;
+; 1.074 ; inst102 ; inst107 ; CLK ; CLK ; 0.000 ; -0.288 ; 0.943 ;
+; 1.102 ; inst82 ; inst107 ; CLK ; CLK ; 0.000 ; 0.061 ; 1.320 ;
+; 1.111 ; inst87 ; inst97 ; CLK ; CLK ; 0.000 ; 0.061 ; 1.329 ;
+; 1.113 ; inst87 ; inst92 ; CLK ; CLK ; 0.000 ; 0.061 ; 1.331 ;
+; 1.115 ; inst40 ; inst50 ; CLK ; CLK ; 0.000 ; 0.062 ; 1.334 ;
+; 1.118 ; inst40 ; inst459 ; CLK ; CLK ; 0.000 ; 0.062 ; 1.337 ;
+; 1.135 ; inst50 ; inst43 ; CLK ; CLK ; 0.000 ; 0.062 ; 1.354 ;
+; 1.165 ; inst77 ; inst87 ; CLK ; CLK ; 0.000 ; 0.061 ; 1.383 ;
+; 1.172 ; inst389 ; inst48 ; CLK ; CLK ; 0.000 ; 0.061 ; 1.390 ;
+; 1.178 ; inst87 ; inst107 ; CLK ; CLK ; 0.000 ; 0.061 ; 1.396 ;
+; 1.208 ; inst48 ; inst58 ; CLK ; CLK ; 0.000 ; 0.062 ; 1.427 ;
+; 1.215 ; inst67 ; inst102 ; CLK ; CLK ; 0.000 ; 0.425 ; 1.797 ;
+; 1.236 ; inst50 ; inst389 ; CLK ; CLK ; 0.000 ; 0.062 ; 1.455 ;
+; 1.258 ; inst3799999 ; inst389 ; CLK ; CLK ; 0.000 ; 0.062 ; 1.477 ;
+; 1.264 ; inst107 ; inst38 ; CLK ; CLK ; 0.000 ; 0.062 ; 1.483 ;
+; 1.264 ; inst107 ; inst50 ; CLK ; CLK ; 0.000 ; 0.062 ; 1.483 ;
+; 1.264 ; inst50 ; inst48 ; CLK ; CLK ; 0.000 ; 0.061 ; 1.482 ;
+; 1.266 ; inst107 ; inst58 ; CLK ; CLK ; 0.000 ; 0.062 ; 1.485 ;
+; 1.267 ; inst107 ; inst40 ; CLK ; CLK ; 0.000 ; 0.062 ; 1.486 ;
+; 1.267 ; inst107 ; inst459 ; CLK ; CLK ; 0.000 ; 0.062 ; 1.486 ;
+; 1.267 ; inst107 ; inst389 ; CLK ; CLK ; 0.000 ; 0.062 ; 1.486 ;
+; 1.268 ; inst107 ; inst43 ; CLK ; CLK ; 0.000 ; 0.062 ; 1.487 ;
+; 1.279 ; inst3799999 ; inst43 ; CLK ; CLK ; 0.000 ; 0.062 ; 1.498 ;
+; 1.281 ; inst72 ; inst102 ; CLK ; CLK ; 0.000 ; 0.425 ; 1.863 ;
+; 1.288 ; inst107 ; inst102 ; CLK ; CLK ; 0.000 ; 0.425 ; 1.870 ;
+; 1.290 ; inst77 ; inst97 ; CLK ; CLK ; 0.000 ; 0.061 ; 1.508 ;
+; 1.292 ; inst77 ; inst92 ; CLK ; CLK ; 0.000 ; 0.061 ; 1.510 ;
+; 1.305 ; inst48 ; inst72 ; CLK ; CLK ; 0.000 ; 0.061 ; 1.523 ;
+; 1.317 ; inst63 ; inst102 ; CLK ; CLK ; 0.000 ; 0.425 ; 1.899 ;
+; 1.317 ; inst459 ; inst389 ; CLK ; CLK ; 0.000 ; 0.062 ; 1.536 ;
+; 1.324 ; inst389 ; inst58 ; CLK ; CLK ; 0.000 ; 0.062 ; 1.543 ;
+; 1.338 ; inst67 ; inst77 ; CLK ; CLK ; 0.000 ; 0.061 ; 1.556 ;
+; 1.341 ; inst67 ; inst82 ; CLK ; CLK ; 0.000 ; 0.061 ; 1.559 ;
+; 1.357 ; inst77 ; inst107 ; CLK ; CLK ; 0.000 ; 0.061 ; 1.575 ;
+; 1.358 ; inst58 ; inst72 ; CLK ; CLK ; 0.000 ; 0.061 ; 1.576 ;
+; 1.363 ; inst38 ; inst389 ; CLK ; CLK ; 0.000 ; 0.062 ; 1.582 ;
+; 1.367 ; inst43 ; inst58 ; CLK ; CLK ; 0.000 ; 0.062 ; 1.586 ;
+; 1.374 ; inst67 ; inst87 ; CLK ; CLK ; 0.000 ; 0.061 ; 1.592 ;
+; 1.375 ; inst50 ; inst38 ; CLK ; CLK ; 0.000 ; 0.062 ; 1.594 ;
+; 1.377 ; inst50 ; inst58 ; CLK ; CLK ; 0.000 ; 0.062 ; 1.596 ;
+; 1.378 ; inst50 ; inst40 ; CLK ; CLK ; 0.000 ; 0.062 ; 1.597 ;
+; 1.378 ; inst50 ; inst459 ; CLK ; CLK ; 0.000 ; 0.062 ; 1.597 ;
+; 1.384 ; inst38 ; inst43 ; CLK ; CLK ; 0.000 ; 0.062 ; 1.603 ;
+; 1.399 ; inst50 ; inst102 ; CLK ; CLK ; 0.000 ; 0.425 ; 1.981 ;
+; 1.399 ; inst3799999 ; inst58 ; CLK ; CLK ; 0.000 ; 0.062 ; 1.618 ;
+; 1.404 ; inst72 ; inst77 ; CLK ; CLK ; 0.000 ; 0.061 ; 1.622 ;
+; 1.407 ; inst72 ; inst82 ; CLK ; CLK ; 0.000 ; 0.061 ; 1.625 ;
+; 1.408 ; inst3799999 ; inst48 ; CLK ; CLK ; 0.000 ; 0.061 ; 1.626 ;
+; 1.409 ; inst459 ; inst43 ; CLK ; CLK ; 0.000 ; 0.062 ; 1.628 ;
+; 1.413 ; inst48 ; inst67 ; CLK ; CLK ; 0.000 ; 0.061 ; 1.631 ;
+; 1.414 ; inst48 ; inst63 ; CLK ; CLK ; 0.000 ; 0.061 ; 1.632 ;
+; 1.420 ; inst40 ; inst389 ; CLK ; CLK ; 0.000 ; 0.062 ; 1.639 ;
+; 1.421 ; inst3799999 ; inst102 ; CLK ; CLK ; 0.000 ; 0.425 ; 2.003 ;
+; 1.433 ; inst107 ; inst92 ; CLK ; CLK ; 0.000 ; 0.061 ; 1.651 ;
+; 1.434 ; inst107 ; inst82 ; CLK ; CLK ; 0.000 ; 0.061 ; 1.652 ;
+; 1.435 ; inst107 ; inst97 ; CLK ; CLK ; 0.000 ; 0.061 ; 1.653 ;
++-------+-------------+-------------+--------------+-------------+--------------+------------+------------+
+
+
++------------------------------------------------------------------------------------------------------------+
+; Slow 1200mV 85C Model Minimum Pulse Width: 'CLK' ;
++--------+--------------+----------------+------------------+-------+------------+---------------------------+
+; Slack ; Actual Width ; Required Width ; Type ; Clock ; Clock Edge ; Target ;
++--------+--------------+----------------+------------------+-------+------------+---------------------------+
+; -3.000 ; 1.000 ; 4.000 ; Port Rate ; CLK ; Rise ; CLK ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; CLK ; Rise ; inst102 ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; CLK ; Rise ; inst107 ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; CLK ; Rise ; inst3799999 ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; CLK ; Rise ; inst38 ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; CLK ; Rise ; inst389 ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; CLK ; Rise ; inst40 ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; CLK ; Rise ; inst43 ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; CLK ; Rise ; inst459 ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; CLK ; Rise ; inst48 ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; CLK ; Rise ; inst50 ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; CLK ; Rise ; inst58 ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; CLK ; Rise ; inst63 ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; CLK ; Rise ; inst67 ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; CLK ; Rise ; inst72 ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; CLK ; Rise ; inst77 ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; CLK ; Rise ; inst82 ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; CLK ; Rise ; inst87 ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; CLK ; Rise ; inst92 ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; CLK ; Rise ; inst97 ;
+; 0.166 ; 0.350 ; 0.184 ; Low Pulse Width ; CLK ; Rise ; inst102 ;
+; 0.186 ; 0.370 ; 0.184 ; Low Pulse Width ; CLK ; Rise ; inst107 ;
+; 0.186 ; 0.370 ; 0.184 ; Low Pulse Width ; CLK ; Rise ; inst48 ;
+; 0.186 ; 0.370 ; 0.184 ; Low Pulse Width ; CLK ; Rise ; inst63 ;
+; 0.186 ; 0.370 ; 0.184 ; Low Pulse Width ; CLK ; Rise ; inst67 ;
+; 0.186 ; 0.370 ; 0.184 ; Low Pulse Width ; CLK ; Rise ; inst72 ;
+; 0.186 ; 0.370 ; 0.184 ; Low Pulse Width ; CLK ; Rise ; inst77 ;
+; 0.186 ; 0.370 ; 0.184 ; Low Pulse Width ; CLK ; Rise ; inst82 ;
+; 0.186 ; 0.370 ; 0.184 ; Low Pulse Width ; CLK ; Rise ; inst87 ;
+; 0.186 ; 0.370 ; 0.184 ; Low Pulse Width ; CLK ; Rise ; inst92 ;
+; 0.186 ; 0.370 ; 0.184 ; Low Pulse Width ; CLK ; Rise ; inst97 ;
+; 0.187 ; 0.371 ; 0.184 ; Low Pulse Width ; CLK ; Rise ; inst3799999 ;
+; 0.187 ; 0.371 ; 0.184 ; Low Pulse Width ; CLK ; Rise ; inst38 ;
+; 0.187 ; 0.371 ; 0.184 ; Low Pulse Width ; CLK ; Rise ; inst389 ;
+; 0.187 ; 0.371 ; 0.184 ; Low Pulse Width ; CLK ; Rise ; inst40 ;
+; 0.187 ; 0.371 ; 0.184 ; Low Pulse Width ; CLK ; Rise ; inst43 ;
+; 0.187 ; 0.371 ; 0.184 ; Low Pulse Width ; CLK ; Rise ; inst459 ;
+; 0.187 ; 0.371 ; 0.184 ; Low Pulse Width ; CLK ; Rise ; inst50 ;
+; 0.187 ; 0.371 ; 0.184 ; Low Pulse Width ; CLK ; Rise ; inst58 ;
+; 0.328 ; 0.328 ; 0.000 ; Low Pulse Width ; CLK ; Rise ; inst102|clk ;
+; 0.339 ; 0.339 ; 0.000 ; Low Pulse Width ; CLK ; Rise ; CLK~input|o ;
+; 0.348 ; 0.348 ; 0.000 ; Low Pulse Width ; CLK ; Rise ; inst107|clk ;
+; 0.348 ; 0.348 ; 0.000 ; Low Pulse Width ; CLK ; Rise ; inst3799999|clk ;
+; 0.348 ; 0.348 ; 0.000 ; Low Pulse Width ; CLK ; Rise ; inst389|clk ;
+; 0.348 ; 0.348 ; 0.000 ; Low Pulse Width ; CLK ; Rise ; inst38|clk ;
+; 0.348 ; 0.348 ; 0.000 ; Low Pulse Width ; CLK ; Rise ; inst40|clk ;
+; 0.348 ; 0.348 ; 0.000 ; Low Pulse Width ; CLK ; Rise ; inst43|clk ;
+; 0.348 ; 0.348 ; 0.000 ; Low Pulse Width ; CLK ; Rise ; inst459|clk ;
+; 0.348 ; 0.348 ; 0.000 ; Low Pulse Width ; CLK ; Rise ; inst48|clk ;
+; 0.348 ; 0.348 ; 0.000 ; Low Pulse Width ; CLK ; Rise ; inst50|clk ;
+; 0.348 ; 0.348 ; 0.000 ; Low Pulse Width ; CLK ; Rise ; inst58|clk ;
+; 0.348 ; 0.348 ; 0.000 ; Low Pulse Width ; CLK ; Rise ; inst63|clk ;
+; 0.348 ; 0.348 ; 0.000 ; Low Pulse Width ; CLK ; Rise ; inst67|clk ;
+; 0.348 ; 0.348 ; 0.000 ; Low Pulse Width ; CLK ; Rise ; inst72|clk ;
+; 0.348 ; 0.348 ; 0.000 ; Low Pulse Width ; CLK ; Rise ; inst77|clk ;
+; 0.348 ; 0.348 ; 0.000 ; Low Pulse Width ; CLK ; Rise ; inst82|clk ;
+; 0.348 ; 0.348 ; 0.000 ; Low Pulse Width ; CLK ; Rise ; inst87|clk ;
+; 0.348 ; 0.348 ; 0.000 ; Low Pulse Width ; CLK ; Rise ; inst92|clk ;
+; 0.348 ; 0.348 ; 0.000 ; Low Pulse Width ; CLK ; Rise ; inst97|clk ;
+; 0.356 ; 0.356 ; 0.000 ; Low Pulse Width ; CLK ; Rise ; CLK~inputclkctrl|inclk[0] ;
+; 0.356 ; 0.356 ; 0.000 ; Low Pulse Width ; CLK ; Rise ; CLK~inputclkctrl|outclk ;
+; 0.412 ; 0.628 ; 0.216 ; High Pulse Width ; CLK ; Rise ; inst107 ;
+; 0.412 ; 0.628 ; 0.216 ; High Pulse Width ; CLK ; Rise ; inst3799999 ;
+; 0.412 ; 0.628 ; 0.216 ; High Pulse Width ; CLK ; Rise ; inst38 ;
+; 0.412 ; 0.628 ; 0.216 ; High Pulse Width ; CLK ; Rise ; inst389 ;
+; 0.412 ; 0.628 ; 0.216 ; High Pulse Width ; CLK ; Rise ; inst40 ;
+; 0.412 ; 0.628 ; 0.216 ; High Pulse Width ; CLK ; Rise ; inst43 ;
+; 0.412 ; 0.628 ; 0.216 ; High Pulse Width ; CLK ; Rise ; inst459 ;
+; 0.412 ; 0.628 ; 0.216 ; High Pulse Width ; CLK ; Rise ; inst48 ;
+; 0.412 ; 0.628 ; 0.216 ; High Pulse Width ; CLK ; Rise ; inst50 ;
+; 0.412 ; 0.628 ; 0.216 ; High Pulse Width ; CLK ; Rise ; inst58 ;
+; 0.412 ; 0.628 ; 0.216 ; High Pulse Width ; CLK ; Rise ; inst63 ;
+; 0.412 ; 0.628 ; 0.216 ; High Pulse Width ; CLK ; Rise ; inst67 ;
+; 0.412 ; 0.628 ; 0.216 ; High Pulse Width ; CLK ; Rise ; inst72 ;
+; 0.412 ; 0.628 ; 0.216 ; High Pulse Width ; CLK ; Rise ; inst77 ;
+; 0.412 ; 0.628 ; 0.216 ; High Pulse Width ; CLK ; Rise ; inst82 ;
+; 0.412 ; 0.628 ; 0.216 ; High Pulse Width ; CLK ; Rise ; inst87 ;
+; 0.412 ; 0.628 ; 0.216 ; High Pulse Width ; CLK ; Rise ; inst92 ;
+; 0.412 ; 0.628 ; 0.216 ; High Pulse Width ; CLK ; Rise ; inst97 ;
+; 0.432 ; 0.648 ; 0.216 ; High Pulse Width ; CLK ; Rise ; inst102 ;
+; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; CLK ; Rise ; CLK~input|i ;
+; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; CLK ; Rise ; CLK~input|i ;
+; 0.643 ; 0.643 ; 0.000 ; High Pulse Width ; CLK ; Rise ; CLK~inputclkctrl|inclk[0] ;
+; 0.643 ; 0.643 ; 0.000 ; High Pulse Width ; CLK ; Rise ; CLK~inputclkctrl|outclk ;
+; 0.651 ; 0.651 ; 0.000 ; High Pulse Width ; CLK ; Rise ; inst3799999|clk ;
+; 0.651 ; 0.651 ; 0.000 ; High Pulse Width ; CLK ; Rise ; inst389|clk ;
+; 0.651 ; 0.651 ; 0.000 ; High Pulse Width ; CLK ; Rise ; inst38|clk ;
+; 0.651 ; 0.651 ; 0.000 ; High Pulse Width ; CLK ; Rise ; inst40|clk ;
+; 0.651 ; 0.651 ; 0.000 ; High Pulse Width ; CLK ; Rise ; inst43|clk ;
+; 0.651 ; 0.651 ; 0.000 ; High Pulse Width ; CLK ; Rise ; inst459|clk ;
+; 0.651 ; 0.651 ; 0.000 ; High Pulse Width ; CLK ; Rise ; inst50|clk ;
+; 0.651 ; 0.651 ; 0.000 ; High Pulse Width ; CLK ; Rise ; inst58|clk ;
+; 0.652 ; 0.652 ; 0.000 ; High Pulse Width ; CLK ; Rise ; inst107|clk ;
+; 0.652 ; 0.652 ; 0.000 ; High Pulse Width ; CLK ; Rise ; inst48|clk ;
+; 0.652 ; 0.652 ; 0.000 ; High Pulse Width ; CLK ; Rise ; inst63|clk ;
+; 0.652 ; 0.652 ; 0.000 ; High Pulse Width ; CLK ; Rise ; inst67|clk ;
+; 0.652 ; 0.652 ; 0.000 ; High Pulse Width ; CLK ; Rise ; inst72|clk ;
+; 0.652 ; 0.652 ; 0.000 ; High Pulse Width ; CLK ; Rise ; inst77|clk ;
+; 0.652 ; 0.652 ; 0.000 ; High Pulse Width ; CLK ; Rise ; inst82|clk ;
+; 0.652 ; 0.652 ; 0.000 ; High Pulse Width ; CLK ; Rise ; inst87|clk ;
++--------+--------------+----------------+------------------+-------+------------+---------------------------+
+
+
++-----------------------------------------------------------------------+
+; Clock to Output Times ;
++-----------+------------+-------+-------+------------+-----------------+
+; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ;
++-----------+------------+-------+-------+------------+-----------------+
+; 1_Hz ; CLK ; 6.727 ; 6.886 ; Rise ; CLK ;
++-----------+------------+-------+-------+------------+-----------------+
+
+
++-----------------------------------------------------------------------+
+; Minimum Clock to Output Times ;
++-----------+------------+-------+-------+------------+-----------------+
+; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ;
++-----------+------------+-------+-------+------------+-----------------+
+; 1_Hz ; CLK ; 5.932 ; 5.992 ; Rise ; CLK ;
++-----------+------------+-------+-------+------------+-----------------+
+
+
+----------------------------------------------
+; Slow 1200mV 85C Model Metastability Report ;
+----------------------------------------------
+No synchronizer chains to report.
+
+
++-----------------------------------------------------------------------------------------------------------+
+; Slow 1200mV 0C Model Fmax Summary ;
++------------+-----------------+------------+---------------------------------------------------------------+
+; Fmax ; Restricted Fmax ; Clock Name ; Note ;
++------------+-----------------+------------+---------------------------------------------------------------+
+; 379.94 MHz ; 250.0 MHz ; CLK ; limit due to minimum period restriction (max I/O toggle rate) ;
++------------+-----------------+------------+---------------------------------------------------------------+
+This panel reports FMAX for every clock in the design, regardless of the user-specified clock periods. FMAX is only computed for paths where the source and destination registers or ports are driven by the same clock. Paths of different clocks, including generated clocks, are ignored. For paths between a clock and its inversion, FMAX is computed as if the rising and falling edges are scaled along with FMAX, such that the duty cycle (in terms of a percentage) is maintained. Altera recommends that you always use clock constraints and other slack reports for sign-off analysis.
+
+
++------------------------------------+
+; Slow 1200mV 0C Model Setup Summary ;
++-------+--------+-------------------+
+; Clock ; Slack ; End Point TNS ;
++-------+--------+-------------------+
+; CLK ; -1.632 ; -25.089 ;
++-------+--------+-------------------+
+
+
++-----------------------------------+
+; Slow 1200mV 0C Model Hold Summary ;
++-------+-------+-------------------+
+; Clock ; Slack ; End Point TNS ;
++-------+-------+-------------------+
+; CLK ; 0.298 ; 0.000 ;
++-------+-------+-------------------+
+
+
+-----------------------------------------
+; Slow 1200mV 0C Model Recovery Summary ;
+-----------------------------------------
+No paths to report.
+
+
+----------------------------------------
+; Slow 1200mV 0C Model Removal Summary ;
+----------------------------------------
+No paths to report.
+
+
++--------------------------------------------------+
+; Slow 1200mV 0C Model Minimum Pulse Width Summary ;
++-------+--------+---------------------------------+
+; Clock ; Slack ; End Point TNS ;
++-------+--------+---------------------------------+
+; CLK ; -3.000 ; -22.000 ;
++-------+--------+---------------------------------+
+
+
++------------------------------------------------------------------------------------------------------+
+; Slow 1200mV 0C Model Setup: 'CLK' ;
++--------+-------------+---------+--------------+-------------+--------------+------------+------------+
+; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
++--------+-------------+---------+--------------+-------------+--------------+------------+------------+
+; -1.632 ; inst50 ; inst107 ; CLK ; CLK ; 1.000 ; -0.055 ; 2.572 ;
+; -1.629 ; inst43 ; inst107 ; CLK ; CLK ; 1.000 ; -0.055 ; 2.569 ;
+; -1.622 ; inst92 ; inst107 ; CLK ; CLK ; 1.000 ; -0.054 ; 2.563 ;
+; -1.603 ; inst50 ; inst92 ; CLK ; CLK ; 1.000 ; -0.055 ; 2.543 ;
+; -1.602 ; inst50 ; inst97 ; CLK ; CLK ; 1.000 ; -0.055 ; 2.542 ;
+; -1.600 ; inst43 ; inst92 ; CLK ; CLK ; 1.000 ; -0.055 ; 2.540 ;
+; -1.599 ; inst43 ; inst97 ; CLK ; CLK ; 1.000 ; -0.055 ; 2.539 ;
+; -1.598 ; inst97 ; inst107 ; CLK ; CLK ; 1.000 ; -0.054 ; 2.539 ;
+; -1.591 ; inst92 ; inst72 ; CLK ; CLK ; 1.000 ; -0.054 ; 2.532 ;
+; -1.567 ; inst97 ; inst72 ; CLK ; CLK ; 1.000 ; -0.054 ; 2.508 ;
+; -1.547 ; inst389 ; inst107 ; CLK ; CLK ; 1.000 ; -0.055 ; 2.487 ;
+; -1.520 ; inst102 ; inst107 ; CLK ; CLK ; 1.000 ; -0.386 ; 2.129 ;
+; -1.518 ; inst389 ; inst92 ; CLK ; CLK ; 1.000 ; -0.055 ; 2.458 ;
+; -1.517 ; inst389 ; inst97 ; CLK ; CLK ; 1.000 ; -0.055 ; 2.457 ;
+; -1.500 ; inst92 ; inst77 ; CLK ; CLK ; 1.000 ; -0.055 ; 2.440 ;
+; -1.496 ; inst92 ; inst63 ; CLK ; CLK ; 1.000 ; -0.055 ; 2.436 ;
+; -1.496 ; inst92 ; inst67 ; CLK ; CLK ; 1.000 ; -0.055 ; 2.436 ;
+; -1.495 ; inst82 ; inst107 ; CLK ; CLK ; 1.000 ; -0.054 ; 2.436 ;
+; -1.491 ; inst40 ; inst107 ; CLK ; CLK ; 1.000 ; -0.055 ; 2.431 ;
+; -1.489 ; inst102 ; inst72 ; CLK ; CLK ; 1.000 ; -0.386 ; 2.098 ;
+; -1.476 ; inst97 ; inst77 ; CLK ; CLK ; 1.000 ; -0.055 ; 2.416 ;
+; -1.472 ; inst97 ; inst63 ; CLK ; CLK ; 1.000 ; -0.055 ; 2.412 ;
+; -1.472 ; inst97 ; inst67 ; CLK ; CLK ; 1.000 ; -0.055 ; 2.412 ;
+; -1.464 ; inst82 ; inst72 ; CLK ; CLK ; 1.000 ; -0.054 ; 2.405 ;
+; -1.462 ; inst40 ; inst92 ; CLK ; CLK ; 1.000 ; -0.055 ; 2.402 ;
+; -1.461 ; inst40 ; inst97 ; CLK ; CLK ; 1.000 ; -0.055 ; 2.401 ;
+; -1.444 ; inst50 ; inst87 ; CLK ; CLK ; 1.000 ; -0.055 ; 2.384 ;
+; -1.441 ; inst43 ; inst87 ; CLK ; CLK ; 1.000 ; -0.055 ; 2.381 ;
+; -1.433 ; inst77 ; inst107 ; CLK ; CLK ; 1.000 ; -0.054 ; 2.374 ;
+; -1.429 ; inst38 ; inst107 ; CLK ; CLK ; 1.000 ; -0.055 ; 2.369 ;
+; -1.423 ; inst50 ; inst77 ; CLK ; CLK ; 1.000 ; -0.056 ; 2.362 ;
+; -1.420 ; inst43 ; inst77 ; CLK ; CLK ; 1.000 ; -0.056 ; 2.359 ;
+; -1.418 ; inst50 ; inst82 ; CLK ; CLK ; 1.000 ; -0.055 ; 2.358 ;
+; -1.415 ; inst459 ; inst107 ; CLK ; CLK ; 1.000 ; -0.055 ; 2.355 ;
+; -1.415 ; inst43 ; inst82 ; CLK ; CLK ; 1.000 ; -0.055 ; 2.355 ;
+; -1.400 ; inst38 ; inst92 ; CLK ; CLK ; 1.000 ; -0.055 ; 2.340 ;
+; -1.399 ; inst38 ; inst97 ; CLK ; CLK ; 1.000 ; -0.055 ; 2.339 ;
+; -1.398 ; inst102 ; inst77 ; CLK ; CLK ; 1.000 ; -0.387 ; 2.006 ;
+; -1.396 ; inst77 ; inst72 ; CLK ; CLK ; 1.000 ; -0.054 ; 2.337 ;
+; -1.394 ; inst102 ; inst63 ; CLK ; CLK ; 1.000 ; -0.387 ; 2.002 ;
+; -1.394 ; inst102 ; inst67 ; CLK ; CLK ; 1.000 ; -0.387 ; 2.002 ;
+; -1.386 ; inst459 ; inst92 ; CLK ; CLK ; 1.000 ; -0.055 ; 2.326 ;
+; -1.385 ; inst459 ; inst97 ; CLK ; CLK ; 1.000 ; -0.055 ; 2.325 ;
+; -1.381 ; inst92 ; inst48 ; CLK ; CLK ; 1.000 ; -0.054 ; 2.322 ;
+; -1.380 ; inst92 ; inst97 ; CLK ; CLK ; 1.000 ; -0.054 ; 2.321 ;
+; -1.375 ; inst92 ; inst87 ; CLK ; CLK ; 1.000 ; -0.054 ; 2.316 ;
+; -1.373 ; inst92 ; inst92 ; CLK ; CLK ; 1.000 ; -0.054 ; 2.314 ;
+; -1.373 ; inst82 ; inst77 ; CLK ; CLK ; 1.000 ; -0.055 ; 2.313 ;
+; -1.372 ; inst92 ; inst82 ; CLK ; CLK ; 1.000 ; -0.054 ; 2.313 ;
+; -1.369 ; inst82 ; inst63 ; CLK ; CLK ; 1.000 ; -0.055 ; 2.309 ;
+; -1.369 ; inst82 ; inst67 ; CLK ; CLK ; 1.000 ; -0.055 ; 2.309 ;
+; -1.361 ; inst87 ; inst107 ; CLK ; CLK ; 1.000 ; -0.054 ; 2.302 ;
+; -1.359 ; inst389 ; inst87 ; CLK ; CLK ; 1.000 ; -0.055 ; 2.299 ;
+; -1.357 ; inst97 ; inst48 ; CLK ; CLK ; 1.000 ; -0.054 ; 2.298 ;
+; -1.356 ; inst97 ; inst97 ; CLK ; CLK ; 1.000 ; -0.054 ; 2.297 ;
+; -1.351 ; inst97 ; inst87 ; CLK ; CLK ; 1.000 ; -0.054 ; 2.292 ;
+; -1.349 ; inst97 ; inst92 ; CLK ; CLK ; 1.000 ; -0.054 ; 2.290 ;
+; -1.348 ; inst97 ; inst82 ; CLK ; CLK ; 1.000 ; -0.054 ; 2.289 ;
+; -1.338 ; inst389 ; inst77 ; CLK ; CLK ; 1.000 ; -0.056 ; 2.277 ;
+; -1.333 ; inst389 ; inst82 ; CLK ; CLK ; 1.000 ; -0.055 ; 2.273 ;
+; -1.324 ; inst87 ; inst72 ; CLK ; CLK ; 1.000 ; -0.054 ; 2.265 ;
+; -1.318 ; inst77 ; inst77 ; CLK ; CLK ; 1.000 ; -0.055 ; 2.258 ;
+; -1.316 ; inst50 ; inst102 ; CLK ; CLK ; 1.000 ; 0.262 ; 2.573 ;
+; -1.316 ; inst77 ; inst63 ; CLK ; CLK ; 1.000 ; -0.055 ; 2.256 ;
+; -1.316 ; inst77 ; inst67 ; CLK ; CLK ; 1.000 ; -0.055 ; 2.256 ;
+; -1.313 ; inst43 ; inst102 ; CLK ; CLK ; 1.000 ; 0.262 ; 2.570 ;
+; -1.303 ; inst40 ; inst87 ; CLK ; CLK ; 1.000 ; -0.055 ; 2.243 ;
+; -1.300 ; inst3799999 ; inst107 ; CLK ; CLK ; 1.000 ; -0.055 ; 2.240 ;
+; -1.300 ; inst92 ; inst102 ; CLK ; CLK ; 1.000 ; 0.263 ; 2.558 ;
+; -1.282 ; inst40 ; inst77 ; CLK ; CLK ; 1.000 ; -0.056 ; 2.221 ;
+; -1.281 ; inst72 ; inst107 ; CLK ; CLK ; 1.000 ; -0.054 ; 2.222 ;
+; -1.279 ; inst102 ; inst48 ; CLK ; CLK ; 1.000 ; -0.386 ; 1.888 ;
+; -1.278 ; inst102 ; inst97 ; CLK ; CLK ; 1.000 ; -0.386 ; 1.887 ;
+; -1.277 ; inst40 ; inst82 ; CLK ; CLK ; 1.000 ; -0.055 ; 2.217 ;
+; -1.276 ; inst67 ; inst107 ; CLK ; CLK ; 1.000 ; -0.054 ; 2.217 ;
+; -1.276 ; inst97 ; inst102 ; CLK ; CLK ; 1.000 ; 0.263 ; 2.534 ;
+; -1.273 ; inst102 ; inst87 ; CLK ; CLK ; 1.000 ; -0.386 ; 1.882 ;
+; -1.271 ; inst3799999 ; inst92 ; CLK ; CLK ; 1.000 ; -0.055 ; 2.211 ;
+; -1.271 ; inst102 ; inst92 ; CLK ; CLK ; 1.000 ; -0.386 ; 1.880 ;
+; -1.270 ; inst3799999 ; inst97 ; CLK ; CLK ; 1.000 ; -0.055 ; 2.210 ;
+; -1.270 ; inst102 ; inst82 ; CLK ; CLK ; 1.000 ; -0.386 ; 1.879 ;
+; -1.254 ; inst82 ; inst48 ; CLK ; CLK ; 1.000 ; -0.054 ; 2.195 ;
+; -1.253 ; inst82 ; inst97 ; CLK ; CLK ; 1.000 ; -0.054 ; 2.194 ;
+; -1.248 ; inst82 ; inst87 ; CLK ; CLK ; 1.000 ; -0.054 ; 2.189 ;
+; -1.246 ; inst87 ; inst77 ; CLK ; CLK ; 1.000 ; -0.055 ; 2.186 ;
+; -1.246 ; inst82 ; inst92 ; CLK ; CLK ; 1.000 ; -0.054 ; 2.187 ;
+; -1.245 ; inst82 ; inst82 ; CLK ; CLK ; 1.000 ; -0.054 ; 2.186 ;
+; -1.244 ; inst72 ; inst72 ; CLK ; CLK ; 1.000 ; -0.054 ; 2.185 ;
+; -1.244 ; inst87 ; inst63 ; CLK ; CLK ; 1.000 ; -0.055 ; 2.184 ;
+; -1.244 ; inst87 ; inst67 ; CLK ; CLK ; 1.000 ; -0.055 ; 2.184 ;
+; -1.241 ; inst38 ; inst87 ; CLK ; CLK ; 1.000 ; -0.055 ; 2.181 ;
+; -1.239 ; inst67 ; inst72 ; CLK ; CLK ; 1.000 ; -0.054 ; 2.180 ;
+; -1.233 ; inst92 ; inst459 ; CLK ; CLK ; 1.000 ; -0.054 ; 2.174 ;
+; -1.232 ; inst92 ; inst43 ; CLK ; CLK ; 1.000 ; -0.054 ; 2.173 ;
+; -1.232 ; inst92 ; inst58 ; CLK ; CLK ; 1.000 ; -0.054 ; 2.173 ;
+; -1.231 ; inst389 ; inst102 ; CLK ; CLK ; 1.000 ; 0.262 ; 2.488 ;
+; -1.229 ; inst92 ; inst50 ; CLK ; CLK ; 1.000 ; -0.054 ; 2.170 ;
+; -1.229 ; inst58 ; inst107 ; CLK ; CLK ; 1.000 ; -0.055 ; 2.169 ;
+; -1.228 ; inst92 ; inst38 ; CLK ; CLK ; 1.000 ; -0.054 ; 2.169 ;
+; -1.228 ; inst92 ; inst40 ; CLK ; CLK ; 1.000 ; -0.054 ; 2.169 ;
++--------+-------------+---------+--------------+-------------+--------------+------------+------------+
+
+
++---------------------------------------------------------------------------------------------------------+
+; Slow 1200mV 0C Model Hold: 'CLK' ;
++-------+-------------+-------------+--------------+-------------+--------------+------------+------------+
+; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
++-------+-------------+-------------+--------------+-------------+--------------+------------+------------+
+; 0.298 ; inst102 ; inst102 ; CLK ; CLK ; 0.000 ; 0.069 ; 0.511 ;
+; 0.312 ; inst38 ; inst38 ; CLK ; CLK ; 0.000 ; 0.055 ; 0.511 ;
+; 0.312 ; inst40 ; inst40 ; CLK ; CLK ; 0.000 ; 0.055 ; 0.511 ;
+; 0.312 ; inst459 ; inst459 ; CLK ; CLK ; 0.000 ; 0.055 ; 0.511 ;
+; 0.312 ; inst389 ; inst389 ; CLK ; CLK ; 0.000 ; 0.055 ; 0.511 ;
+; 0.312 ; inst43 ; inst43 ; CLK ; CLK ; 0.000 ; 0.055 ; 0.511 ;
+; 0.312 ; inst63 ; inst63 ; CLK ; CLK ; 0.000 ; 0.055 ; 0.511 ;
+; 0.312 ; inst67 ; inst67 ; CLK ; CLK ; 0.000 ; 0.055 ; 0.511 ;
+; 0.312 ; inst77 ; inst77 ; CLK ; CLK ; 0.000 ; 0.055 ; 0.511 ;
+; 0.312 ; inst50 ; inst50 ; CLK ; CLK ; 0.000 ; 0.055 ; 0.511 ;
+; 0.313 ; inst48 ; inst48 ; CLK ; CLK ; 0.000 ; 0.054 ; 0.511 ;
+; 0.313 ; inst82 ; inst82 ; CLK ; CLK ; 0.000 ; 0.054 ; 0.511 ;
+; 0.313 ; inst92 ; inst92 ; CLK ; CLK ; 0.000 ; 0.054 ; 0.511 ;
+; 0.313 ; inst97 ; inst97 ; CLK ; CLK ; 0.000 ; 0.054 ; 0.511 ;
+; 0.313 ; inst107 ; inst107 ; CLK ; CLK ; 0.000 ; 0.054 ; 0.511 ;
+; 0.320 ; inst3799999 ; inst3799999 ; CLK ; CLK ; 0.000 ; 0.055 ; 0.519 ;
+; 0.379 ; inst92 ; inst102 ; CLK ; CLK ; 0.000 ; 0.386 ; 0.909 ;
+; 0.440 ; inst97 ; inst102 ; CLK ; CLK ; 0.000 ; 0.386 ; 0.970 ;
+; 0.553 ; inst92 ; inst97 ; CLK ; CLK ; 0.000 ; 0.054 ; 0.751 ;
+; 0.660 ; inst82 ; inst102 ; CLK ; CLK ; 0.000 ; 0.386 ; 1.190 ;
+; 0.690 ; inst63 ; inst67 ; CLK ; CLK ; 0.000 ; 0.055 ; 0.889 ;
+; 0.711 ; inst92 ; inst107 ; CLK ; CLK ; 0.000 ; 0.054 ; 0.909 ;
+; 0.717 ; inst77 ; inst82 ; CLK ; CLK ; 0.000 ; 0.055 ; 0.916 ;
+; 0.728 ; inst87 ; inst102 ; CLK ; CLK ; 0.000 ; 0.386 ; 1.258 ;
+; 0.732 ; inst43 ; inst48 ; CLK ; CLK ; 0.000 ; 0.054 ; 0.930 ;
+; 0.772 ; inst97 ; inst107 ; CLK ; CLK ; 0.000 ; 0.054 ; 0.970 ;
+; 0.808 ; inst82 ; inst87 ; CLK ; CLK ; 0.000 ; 0.054 ; 1.006 ;
+; 0.826 ; inst3799999 ; inst38 ; CLK ; CLK ; 0.000 ; 0.055 ; 1.025 ;
+; 0.826 ; inst3799999 ; inst40 ; CLK ; CLK ; 0.000 ; 0.055 ; 1.025 ;
+; 0.832 ; inst459 ; inst50 ; CLK ; CLK ; 0.000 ; 0.055 ; 1.031 ;
+; 0.850 ; inst38 ; inst40 ; CLK ; CLK ; 0.000 ; 0.055 ; 1.049 ;
+; 0.853 ; inst72 ; inst72 ; CLK ; CLK ; 0.000 ; 0.054 ; 1.051 ;
+; 0.859 ; inst3799999 ; inst50 ; CLK ; CLK ; 0.000 ; 0.055 ; 1.058 ;
+; 0.862 ; inst3799999 ; inst459 ; CLK ; CLK ; 0.000 ; 0.055 ; 1.061 ;
+; 0.875 ; inst87 ; inst87 ; CLK ; CLK ; 0.000 ; 0.054 ; 1.073 ;
+; 0.887 ; inst77 ; inst102 ; CLK ; CLK ; 0.000 ; 0.387 ; 1.418 ;
+; 0.915 ; inst58 ; inst58 ; CLK ; CLK ; 0.000 ; 0.055 ; 1.114 ;
+; 0.929 ; inst82 ; inst97 ; CLK ; CLK ; 0.000 ; 0.054 ; 1.127 ;
+; 0.931 ; inst82 ; inst92 ; CLK ; CLK ; 0.000 ; 0.054 ; 1.129 ;
+; 0.938 ; inst389 ; inst43 ; CLK ; CLK ; 0.000 ; 0.055 ; 1.137 ;
+; 0.940 ; inst67 ; inst72 ; CLK ; CLK ; 0.000 ; 0.055 ; 1.139 ;
+; 0.952 ; inst38 ; inst50 ; CLK ; CLK ; 0.000 ; 0.055 ; 1.151 ;
+; 0.955 ; inst38 ; inst459 ; CLK ; CLK ; 0.000 ; 0.055 ; 1.154 ;
+; 0.960 ; inst63 ; inst72 ; CLK ; CLK ; 0.000 ; 0.055 ; 1.159 ;
+; 0.979 ; inst102 ; inst107 ; CLK ; CLK ; 0.000 ; -0.263 ; 0.860 ;
+; 0.992 ; inst82 ; inst107 ; CLK ; CLK ; 0.000 ; 0.054 ; 1.190 ;
+; 0.997 ; inst87 ; inst97 ; CLK ; CLK ; 0.000 ; 0.054 ; 1.195 ;
+; 0.999 ; inst87 ; inst92 ; CLK ; CLK ; 0.000 ; 0.054 ; 1.197 ;
+; 1.003 ; inst40 ; inst50 ; CLK ; CLK ; 0.000 ; 0.055 ; 1.202 ;
+; 1.006 ; inst40 ; inst459 ; CLK ; CLK ; 0.000 ; 0.055 ; 1.205 ;
+; 1.019 ; inst50 ; inst43 ; CLK ; CLK ; 0.000 ; 0.055 ; 1.218 ;
+; 1.052 ; inst77 ; inst87 ; CLK ; CLK ; 0.000 ; 0.055 ; 1.251 ;
+; 1.060 ; inst87 ; inst107 ; CLK ; CLK ; 0.000 ; 0.054 ; 1.258 ;
+; 1.065 ; inst389 ; inst48 ; CLK ; CLK ; 0.000 ; 0.054 ; 1.263 ;
+; 1.093 ; inst48 ; inst58 ; CLK ; CLK ; 0.000 ; 0.055 ; 1.292 ;
+; 1.098 ; inst67 ; inst102 ; CLK ; CLK ; 0.000 ; 0.387 ; 1.629 ;
+; 1.117 ; inst50 ; inst389 ; CLK ; CLK ; 0.000 ; 0.055 ; 1.316 ;
+; 1.139 ; inst3799999 ; inst389 ; CLK ; CLK ; 0.000 ; 0.055 ; 1.338 ;
+; 1.146 ; inst50 ; inst48 ; CLK ; CLK ; 0.000 ; 0.054 ; 1.344 ;
+; 1.149 ; inst107 ; inst38 ; CLK ; CLK ; 0.000 ; 0.055 ; 1.348 ;
+; 1.149 ; inst107 ; inst40 ; CLK ; CLK ; 0.000 ; 0.055 ; 1.348 ;
+; 1.149 ; inst107 ; inst389 ; CLK ; CLK ; 0.000 ; 0.055 ; 1.348 ;
+; 1.150 ; inst107 ; inst459 ; CLK ; CLK ; 0.000 ; 0.055 ; 1.349 ;
+; 1.151 ; inst107 ; inst50 ; CLK ; CLK ; 0.000 ; 0.055 ; 1.350 ;
+; 1.151 ; inst107 ; inst58 ; CLK ; CLK ; 0.000 ; 0.055 ; 1.350 ;
+; 1.152 ; inst107 ; inst43 ; CLK ; CLK ; 0.000 ; 0.055 ; 1.351 ;
+; 1.156 ; inst77 ; inst97 ; CLK ; CLK ; 0.000 ; 0.055 ; 1.355 ;
+; 1.157 ; inst3799999 ; inst43 ; CLK ; CLK ; 0.000 ; 0.055 ; 1.356 ;
+; 1.158 ; inst77 ; inst92 ; CLK ; CLK ; 0.000 ; 0.055 ; 1.357 ;
+; 1.159 ; inst72 ; inst102 ; CLK ; CLK ; 0.000 ; 0.386 ; 1.689 ;
+; 1.175 ; inst107 ; inst102 ; CLK ; CLK ; 0.000 ; 0.386 ; 1.705 ;
+; 1.185 ; inst48 ; inst72 ; CLK ; CLK ; 0.000 ; 0.054 ; 1.383 ;
+; 1.193 ; inst63 ; inst102 ; CLK ; CLK ; 0.000 ; 0.387 ; 1.724 ;
+; 1.195 ; inst389 ; inst58 ; CLK ; CLK ; 0.000 ; 0.055 ; 1.394 ;
+; 1.203 ; inst459 ; inst389 ; CLK ; CLK ; 0.000 ; 0.055 ; 1.402 ;
+; 1.219 ; inst67 ; inst77 ; CLK ; CLK ; 0.000 ; 0.055 ; 1.418 ;
+; 1.219 ; inst77 ; inst107 ; CLK ; CLK ; 0.000 ; 0.055 ; 1.418 ;
+; 1.222 ; inst67 ; inst82 ; CLK ; CLK ; 0.000 ; 0.055 ; 1.421 ;
+; 1.232 ; inst38 ; inst389 ; CLK ; CLK ; 0.000 ; 0.055 ; 1.431 ;
+; 1.233 ; inst58 ; inst72 ; CLK ; CLK ; 0.000 ; 0.054 ; 1.431 ;
+; 1.244 ; inst50 ; inst38 ; CLK ; CLK ; 0.000 ; 0.055 ; 1.443 ;
+; 1.244 ; inst50 ; inst40 ; CLK ; CLK ; 0.000 ; 0.055 ; 1.443 ;
+; 1.245 ; inst50 ; inst459 ; CLK ; CLK ; 0.000 ; 0.055 ; 1.444 ;
+; 1.246 ; inst50 ; inst58 ; CLK ; CLK ; 0.000 ; 0.055 ; 1.445 ;
+; 1.249 ; inst67 ; inst87 ; CLK ; CLK ; 0.000 ; 0.055 ; 1.448 ;
+; 1.250 ; inst43 ; inst58 ; CLK ; CLK ; 0.000 ; 0.055 ; 1.449 ;
+; 1.250 ; inst38 ; inst43 ; CLK ; CLK ; 0.000 ; 0.055 ; 1.449 ;
+; 1.268 ; inst3799999 ; inst58 ; CLK ; CLK ; 0.000 ; 0.055 ; 1.467 ;
+; 1.270 ; inst50 ; inst102 ; CLK ; CLK ; 0.000 ; 0.386 ; 1.800 ;
+; 1.280 ; inst72 ; inst77 ; CLK ; CLK ; 0.000 ; 0.054 ; 1.478 ;
+; 1.282 ; inst459 ; inst43 ; CLK ; CLK ; 0.000 ; 0.055 ; 1.481 ;
+; 1.283 ; inst40 ; inst389 ; CLK ; CLK ; 0.000 ; 0.055 ; 1.482 ;
+; 1.283 ; inst72 ; inst82 ; CLK ; CLK ; 0.000 ; 0.054 ; 1.481 ;
+; 1.284 ; inst3799999 ; inst48 ; CLK ; CLK ; 0.000 ; 0.054 ; 1.482 ;
+; 1.292 ; inst3799999 ; inst102 ; CLK ; CLK ; 0.000 ; 0.386 ; 1.822 ;
+; 1.294 ; inst48 ; inst67 ; CLK ; CLK ; 0.000 ; 0.054 ; 1.492 ;
+; 1.295 ; inst48 ; inst63 ; CLK ; CLK ; 0.000 ; 0.054 ; 1.493 ;
+; 1.301 ; inst40 ; inst43 ; CLK ; CLK ; 0.000 ; 0.055 ; 1.500 ;
+; 1.306 ; inst107 ; inst92 ; CLK ; CLK ; 0.000 ; 0.054 ; 1.504 ;
+; 1.307 ; inst107 ; inst82 ; CLK ; CLK ; 0.000 ; 0.054 ; 1.505 ;
++-------+-------------+-------------+--------------+-------------+--------------+------------+------------+
+
+
++------------------------------------------------------------------------------------------------------------+
+; Slow 1200mV 0C Model Minimum Pulse Width: 'CLK' ;
++--------+--------------+----------------+------------------+-------+------------+---------------------------+
+; Slack ; Actual Width ; Required Width ; Type ; Clock ; Clock Edge ; Target ;
++--------+--------------+----------------+------------------+-------+------------+---------------------------+
+; -3.000 ; 1.000 ; 4.000 ; Port Rate ; CLK ; Rise ; CLK ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; CLK ; Rise ; inst102 ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; CLK ; Rise ; inst107 ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; CLK ; Rise ; inst3799999 ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; CLK ; Rise ; inst38 ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; CLK ; Rise ; inst389 ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; CLK ; Rise ; inst40 ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; CLK ; Rise ; inst43 ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; CLK ; Rise ; inst459 ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; CLK ; Rise ; inst48 ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; CLK ; Rise ; inst50 ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; CLK ; Rise ; inst58 ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; CLK ; Rise ; inst63 ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; CLK ; Rise ; inst67 ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; CLK ; Rise ; inst72 ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; CLK ; Rise ; inst77 ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; CLK ; Rise ; inst82 ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; CLK ; Rise ; inst87 ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; CLK ; Rise ; inst92 ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; CLK ; Rise ; inst97 ;
+; 0.175 ; 0.359 ; 0.184 ; Low Pulse Width ; CLK ; Rise ; inst102 ;
+; 0.182 ; 0.366 ; 0.184 ; Low Pulse Width ; CLK ; Rise ; inst107 ;
+; 0.182 ; 0.366 ; 0.184 ; Low Pulse Width ; CLK ; Rise ; inst3799999 ;
+; 0.182 ; 0.366 ; 0.184 ; Low Pulse Width ; CLK ; Rise ; inst38 ;
+; 0.182 ; 0.366 ; 0.184 ; Low Pulse Width ; CLK ; Rise ; inst389 ;
+; 0.182 ; 0.366 ; 0.184 ; Low Pulse Width ; CLK ; Rise ; inst40 ;
+; 0.182 ; 0.366 ; 0.184 ; Low Pulse Width ; CLK ; Rise ; inst43 ;
+; 0.182 ; 0.366 ; 0.184 ; Low Pulse Width ; CLK ; Rise ; inst459 ;
+; 0.182 ; 0.366 ; 0.184 ; Low Pulse Width ; CLK ; Rise ; inst48 ;
+; 0.182 ; 0.366 ; 0.184 ; Low Pulse Width ; CLK ; Rise ; inst50 ;
+; 0.182 ; 0.366 ; 0.184 ; Low Pulse Width ; CLK ; Rise ; inst58 ;
+; 0.182 ; 0.366 ; 0.184 ; Low Pulse Width ; CLK ; Rise ; inst63 ;
+; 0.182 ; 0.366 ; 0.184 ; Low Pulse Width ; CLK ; Rise ; inst67 ;
+; 0.182 ; 0.366 ; 0.184 ; Low Pulse Width ; CLK ; Rise ; inst72 ;
+; 0.182 ; 0.366 ; 0.184 ; Low Pulse Width ; CLK ; Rise ; inst77 ;
+; 0.182 ; 0.366 ; 0.184 ; Low Pulse Width ; CLK ; Rise ; inst82 ;
+; 0.182 ; 0.366 ; 0.184 ; Low Pulse Width ; CLK ; Rise ; inst87 ;
+; 0.182 ; 0.366 ; 0.184 ; Low Pulse Width ; CLK ; Rise ; inst92 ;
+; 0.182 ; 0.366 ; 0.184 ; Low Pulse Width ; CLK ; Rise ; inst97 ;
+; 0.335 ; 0.335 ; 0.000 ; Low Pulse Width ; CLK ; Rise ; inst102|clk ;
+; 0.339 ; 0.339 ; 0.000 ; Low Pulse Width ; CLK ; Rise ; CLK~input|o ;
+; 0.342 ; 0.342 ; 0.000 ; Low Pulse Width ; CLK ; Rise ; inst107|clk ;
+; 0.342 ; 0.342 ; 0.000 ; Low Pulse Width ; CLK ; Rise ; inst3799999|clk ;
+; 0.342 ; 0.342 ; 0.000 ; Low Pulse Width ; CLK ; Rise ; inst389|clk ;
+; 0.342 ; 0.342 ; 0.000 ; Low Pulse Width ; CLK ; Rise ; inst38|clk ;
+; 0.342 ; 0.342 ; 0.000 ; Low Pulse Width ; CLK ; Rise ; inst40|clk ;
+; 0.342 ; 0.342 ; 0.000 ; Low Pulse Width ; CLK ; Rise ; inst43|clk ;
+; 0.342 ; 0.342 ; 0.000 ; Low Pulse Width ; CLK ; Rise ; inst459|clk ;
+; 0.342 ; 0.342 ; 0.000 ; Low Pulse Width ; CLK ; Rise ; inst48|clk ;
+; 0.342 ; 0.342 ; 0.000 ; Low Pulse Width ; CLK ; Rise ; inst50|clk ;
+; 0.342 ; 0.342 ; 0.000 ; Low Pulse Width ; CLK ; Rise ; inst58|clk ;
+; 0.342 ; 0.342 ; 0.000 ; Low Pulse Width ; CLK ; Rise ; inst63|clk ;
+; 0.342 ; 0.342 ; 0.000 ; Low Pulse Width ; CLK ; Rise ; inst67|clk ;
+; 0.342 ; 0.342 ; 0.000 ; Low Pulse Width ; CLK ; Rise ; inst72|clk ;
+; 0.342 ; 0.342 ; 0.000 ; Low Pulse Width ; CLK ; Rise ; inst77|clk ;
+; 0.342 ; 0.342 ; 0.000 ; Low Pulse Width ; CLK ; Rise ; inst82|clk ;
+; 0.342 ; 0.342 ; 0.000 ; Low Pulse Width ; CLK ; Rise ; inst87|clk ;
+; 0.342 ; 0.342 ; 0.000 ; Low Pulse Width ; CLK ; Rise ; inst92|clk ;
+; 0.342 ; 0.342 ; 0.000 ; Low Pulse Width ; CLK ; Rise ; inst97|clk ;
+; 0.348 ; 0.348 ; 0.000 ; Low Pulse Width ; CLK ; Rise ; CLK~inputclkctrl|inclk[0] ;
+; 0.348 ; 0.348 ; 0.000 ; Low Pulse Width ; CLK ; Rise ; CLK~inputclkctrl|outclk ;
+; 0.417 ; 0.633 ; 0.216 ; High Pulse Width ; CLK ; Rise ; inst3799999 ;
+; 0.417 ; 0.633 ; 0.216 ; High Pulse Width ; CLK ; Rise ; inst38 ;
+; 0.417 ; 0.633 ; 0.216 ; High Pulse Width ; CLK ; Rise ; inst389 ;
+; 0.417 ; 0.633 ; 0.216 ; High Pulse Width ; CLK ; Rise ; inst40 ;
+; 0.417 ; 0.633 ; 0.216 ; High Pulse Width ; CLK ; Rise ; inst43 ;
+; 0.417 ; 0.633 ; 0.216 ; High Pulse Width ; CLK ; Rise ; inst459 ;
+; 0.417 ; 0.633 ; 0.216 ; High Pulse Width ; CLK ; Rise ; inst50 ;
+; 0.417 ; 0.633 ; 0.216 ; High Pulse Width ; CLK ; Rise ; inst58 ;
+; 0.417 ; 0.633 ; 0.216 ; High Pulse Width ; CLK ; Rise ; inst63 ;
+; 0.417 ; 0.633 ; 0.216 ; High Pulse Width ; CLK ; Rise ; inst67 ;
+; 0.417 ; 0.633 ; 0.216 ; High Pulse Width ; CLK ; Rise ; inst77 ;
+; 0.418 ; 0.634 ; 0.216 ; High Pulse Width ; CLK ; Rise ; inst107 ;
+; 0.418 ; 0.634 ; 0.216 ; High Pulse Width ; CLK ; Rise ; inst48 ;
+; 0.418 ; 0.634 ; 0.216 ; High Pulse Width ; CLK ; Rise ; inst72 ;
+; 0.418 ; 0.634 ; 0.216 ; High Pulse Width ; CLK ; Rise ; inst82 ;
+; 0.418 ; 0.634 ; 0.216 ; High Pulse Width ; CLK ; Rise ; inst87 ;
+; 0.418 ; 0.634 ; 0.216 ; High Pulse Width ; CLK ; Rise ; inst92 ;
+; 0.418 ; 0.634 ; 0.216 ; High Pulse Width ; CLK ; Rise ; inst97 ;
+; 0.423 ; 0.639 ; 0.216 ; High Pulse Width ; CLK ; Rise ; inst102 ;
+; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; CLK ; Rise ; CLK~input|i ;
+; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; CLK ; Rise ; CLK~input|i ;
+; 0.651 ; 0.651 ; 0.000 ; High Pulse Width ; CLK ; Rise ; CLK~inputclkctrl|inclk[0] ;
+; 0.651 ; 0.651 ; 0.000 ; High Pulse Width ; CLK ; Rise ; CLK~inputclkctrl|outclk ;
+; 0.657 ; 0.657 ; 0.000 ; High Pulse Width ; CLK ; Rise ; inst3799999|clk ;
+; 0.657 ; 0.657 ; 0.000 ; High Pulse Width ; CLK ; Rise ; inst389|clk ;
+; 0.657 ; 0.657 ; 0.000 ; High Pulse Width ; CLK ; Rise ; inst38|clk ;
+; 0.657 ; 0.657 ; 0.000 ; High Pulse Width ; CLK ; Rise ; inst40|clk ;
+; 0.657 ; 0.657 ; 0.000 ; High Pulse Width ; CLK ; Rise ; inst43|clk ;
+; 0.657 ; 0.657 ; 0.000 ; High Pulse Width ; CLK ; Rise ; inst459|clk ;
+; 0.657 ; 0.657 ; 0.000 ; High Pulse Width ; CLK ; Rise ; inst50|clk ;
+; 0.657 ; 0.657 ; 0.000 ; High Pulse Width ; CLK ; Rise ; inst58|clk ;
+; 0.657 ; 0.657 ; 0.000 ; High Pulse Width ; CLK ; Rise ; inst63|clk ;
+; 0.657 ; 0.657 ; 0.000 ; High Pulse Width ; CLK ; Rise ; inst67|clk ;
+; 0.657 ; 0.657 ; 0.000 ; High Pulse Width ; CLK ; Rise ; inst77|clk ;
+; 0.658 ; 0.658 ; 0.000 ; High Pulse Width ; CLK ; Rise ; inst107|clk ;
+; 0.658 ; 0.658 ; 0.000 ; High Pulse Width ; CLK ; Rise ; inst48|clk ;
+; 0.658 ; 0.658 ; 0.000 ; High Pulse Width ; CLK ; Rise ; inst72|clk ;
+; 0.658 ; 0.658 ; 0.000 ; High Pulse Width ; CLK ; Rise ; inst82|clk ;
+; 0.658 ; 0.658 ; 0.000 ; High Pulse Width ; CLK ; Rise ; inst87|clk ;
++--------+--------------+----------------+------------------+-------+------------+---------------------------+
+
+
++-----------------------------------------------------------------------+
+; Clock to Output Times ;
++-----------+------------+-------+-------+------------+-----------------+
+; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ;
++-----------+------------+-------+-------+------------+-----------------+
+; 1_Hz ; CLK ; 6.345 ; 6.459 ; Rise ; CLK ;
++-----------+------------+-------+-------+------------+-----------------+
+
+
++-----------------------------------------------------------------------+
+; Minimum Clock to Output Times ;
++-----------+------------+-------+-------+------------+-----------------+
+; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ;
++-----------+------------+-------+-------+------------+-----------------+
+; 1_Hz ; CLK ; 5.632 ; 5.660 ; Rise ; CLK ;
++-----------+------------+-------+-------+------------+-----------------+
+
+
+---------------------------------------------
+; Slow 1200mV 0C Model Metastability Report ;
+---------------------------------------------
+No synchronizer chains to report.
+
+
++------------------------------------+
+; Fast 1200mV 0C Model Setup Summary ;
++-------+--------+-------------------+
+; Clock ; Slack ; End Point TNS ;
++-------+--------+-------------------+
+; CLK ; -0.652 ; -8.911 ;
++-------+--------+-------------------+
+
+
++-----------------------------------+
+; Fast 1200mV 0C Model Hold Summary ;
++-------+-------+-------------------+
+; Clock ; Slack ; End Point TNS ;
++-------+-------+-------------------+
+; CLK ; 0.180 ; 0.000 ;
++-------+-------+-------------------+
+
+
+-----------------------------------------
+; Fast 1200mV 0C Model Recovery Summary ;
+-----------------------------------------
+No paths to report.
+
+
+----------------------------------------
+; Fast 1200mV 0C Model Removal Summary ;
+----------------------------------------
+No paths to report.
+
+
++--------------------------------------------------+
+; Fast 1200mV 0C Model Minimum Pulse Width Summary ;
++-------+--------+---------------------------------+
+; Clock ; Slack ; End Point TNS ;
++-------+--------+---------------------------------+
+; CLK ; -3.000 ; -23.093 ;
++-------+--------+---------------------------------+
+
+
++------------------------------------------------------------------------------------------------------+
+; Fast 1200mV 0C Model Setup: 'CLK' ;
++--------+-------------+---------+--------------+-------------+--------------+------------+------------+
+; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
++--------+-------------+---------+--------------+-------------+--------------+------------+------------+
+; -0.652 ; inst92 ; inst107 ; CLK ; CLK ; 1.000 ; -0.036 ; 1.603 ;
+; -0.641 ; inst50 ; inst107 ; CLK ; CLK ; 1.000 ; -0.036 ; 1.592 ;
+; -0.639 ; inst97 ; inst107 ; CLK ; CLK ; 1.000 ; -0.036 ; 1.590 ;
+; -0.637 ; inst43 ; inst107 ; CLK ; CLK ; 1.000 ; -0.036 ; 1.588 ;
+; -0.635 ; inst50 ; inst92 ; CLK ; CLK ; 1.000 ; -0.036 ; 1.586 ;
+; -0.633 ; inst92 ; inst72 ; CLK ; CLK ; 1.000 ; -0.036 ; 1.584 ;
+; -0.633 ; inst50 ; inst97 ; CLK ; CLK ; 1.000 ; -0.036 ; 1.584 ;
+; -0.631 ; inst43 ; inst92 ; CLK ; CLK ; 1.000 ; -0.036 ; 1.582 ;
+; -0.629 ; inst43 ; inst97 ; CLK ; CLK ; 1.000 ; -0.036 ; 1.580 ;
+; -0.620 ; inst97 ; inst72 ; CLK ; CLK ; 1.000 ; -0.036 ; 1.571 ;
+; -0.587 ; inst102 ; inst107 ; CLK ; CLK ; 1.000 ; -0.233 ; 1.341 ;
+; -0.579 ; inst389 ; inst107 ; CLK ; CLK ; 1.000 ; -0.036 ; 1.530 ;
+; -0.573 ; inst389 ; inst92 ; CLK ; CLK ; 1.000 ; -0.036 ; 1.524 ;
+; -0.571 ; inst389 ; inst97 ; CLK ; CLK ; 1.000 ; -0.036 ; 1.522 ;
+; -0.569 ; inst92 ; inst77 ; CLK ; CLK ; 1.000 ; -0.036 ; 1.520 ;
+; -0.568 ; inst102 ; inst72 ; CLK ; CLK ; 1.000 ; -0.233 ; 1.322 ;
+; -0.567 ; inst82 ; inst107 ; CLK ; CLK ; 1.000 ; -0.036 ; 1.518 ;
+; -0.566 ; inst92 ; inst63 ; CLK ; CLK ; 1.000 ; -0.036 ; 1.517 ;
+; -0.565 ; inst92 ; inst67 ; CLK ; CLK ; 1.000 ; -0.036 ; 1.516 ;
+; -0.561 ; inst40 ; inst107 ; CLK ; CLK ; 1.000 ; -0.036 ; 1.512 ;
+; -0.556 ; inst97 ; inst77 ; CLK ; CLK ; 1.000 ; -0.036 ; 1.507 ;
+; -0.555 ; inst40 ; inst92 ; CLK ; CLK ; 1.000 ; -0.036 ; 1.506 ;
+; -0.553 ; inst40 ; inst97 ; CLK ; CLK ; 1.000 ; -0.036 ; 1.504 ;
+; -0.553 ; inst97 ; inst63 ; CLK ; CLK ; 1.000 ; -0.036 ; 1.504 ;
+; -0.552 ; inst97 ; inst67 ; CLK ; CLK ; 1.000 ; -0.036 ; 1.503 ;
+; -0.548 ; inst82 ; inst72 ; CLK ; CLK ; 1.000 ; -0.036 ; 1.499 ;
+; -0.528 ; inst50 ; inst77 ; CLK ; CLK ; 1.000 ; -0.036 ; 1.479 ;
+; -0.526 ; inst50 ; inst82 ; CLK ; CLK ; 1.000 ; -0.036 ; 1.477 ;
+; -0.524 ; inst43 ; inst77 ; CLK ; CLK ; 1.000 ; -0.036 ; 1.475 ;
+; -0.522 ; inst50 ; inst87 ; CLK ; CLK ; 1.000 ; -0.036 ; 1.473 ;
+; -0.522 ; inst43 ; inst82 ; CLK ; CLK ; 1.000 ; -0.036 ; 1.473 ;
+; -0.518 ; inst43 ; inst87 ; CLK ; CLK ; 1.000 ; -0.036 ; 1.469 ;
+; -0.511 ; inst38 ; inst107 ; CLK ; CLK ; 1.000 ; -0.036 ; 1.462 ;
+; -0.507 ; inst77 ; inst107 ; CLK ; CLK ; 1.000 ; -0.036 ; 1.458 ;
+; -0.505 ; inst38 ; inst92 ; CLK ; CLK ; 1.000 ; -0.036 ; 1.456 ;
+; -0.504 ; inst102 ; inst77 ; CLK ; CLK ; 1.000 ; -0.233 ; 1.258 ;
+; -0.503 ; inst38 ; inst97 ; CLK ; CLK ; 1.000 ; -0.036 ; 1.454 ;
+; -0.501 ; inst102 ; inst63 ; CLK ; CLK ; 1.000 ; -0.233 ; 1.255 ;
+; -0.500 ; inst459 ; inst107 ; CLK ; CLK ; 1.000 ; -0.036 ; 1.451 ;
+; -0.500 ; inst102 ; inst67 ; CLK ; CLK ; 1.000 ; -0.233 ; 1.254 ;
+; -0.494 ; inst459 ; inst92 ; CLK ; CLK ; 1.000 ; -0.036 ; 1.445 ;
+; -0.492 ; inst459 ; inst97 ; CLK ; CLK ; 1.000 ; -0.036 ; 1.443 ;
+; -0.489 ; inst92 ; inst97 ; CLK ; CLK ; 1.000 ; -0.036 ; 1.440 ;
+; -0.488 ; inst77 ; inst72 ; CLK ; CLK ; 1.000 ; -0.036 ; 1.439 ;
+; -0.487 ; inst92 ; inst48 ; CLK ; CLK ; 1.000 ; -0.036 ; 1.438 ;
+; -0.486 ; inst92 ; inst87 ; CLK ; CLK ; 1.000 ; -0.036 ; 1.437 ;
+; -0.485 ; inst92 ; inst92 ; CLK ; CLK ; 1.000 ; -0.036 ; 1.436 ;
+; -0.484 ; inst82 ; inst77 ; CLK ; CLK ; 1.000 ; -0.036 ; 1.435 ;
+; -0.484 ; inst92 ; inst82 ; CLK ; CLK ; 1.000 ; -0.036 ; 1.435 ;
+; -0.481 ; inst82 ; inst63 ; CLK ; CLK ; 1.000 ; -0.036 ; 1.432 ;
+; -0.480 ; inst82 ; inst67 ; CLK ; CLK ; 1.000 ; -0.036 ; 1.431 ;
+; -0.476 ; inst97 ; inst97 ; CLK ; CLK ; 1.000 ; -0.036 ; 1.427 ;
+; -0.474 ; inst97 ; inst48 ; CLK ; CLK ; 1.000 ; -0.036 ; 1.425 ;
+; -0.473 ; inst97 ; inst87 ; CLK ; CLK ; 1.000 ; -0.036 ; 1.424 ;
+; -0.472 ; inst97 ; inst92 ; CLK ; CLK ; 1.000 ; -0.036 ; 1.423 ;
+; -0.471 ; inst97 ; inst82 ; CLK ; CLK ; 1.000 ; -0.036 ; 1.422 ;
+; -0.466 ; inst389 ; inst77 ; CLK ; CLK ; 1.000 ; -0.036 ; 1.417 ;
+; -0.464 ; inst389 ; inst82 ; CLK ; CLK ; 1.000 ; -0.036 ; 1.415 ;
+; -0.460 ; inst389 ; inst87 ; CLK ; CLK ; 1.000 ; -0.036 ; 1.411 ;
+; -0.459 ; inst92 ; inst102 ; CLK ; CLK ; 1.000 ; 0.154 ; 1.600 ;
+; -0.457 ; inst87 ; inst107 ; CLK ; CLK ; 1.000 ; -0.036 ; 1.408 ;
+; -0.451 ; inst50 ; inst102 ; CLK ; CLK ; 1.000 ; 0.154 ; 1.592 ;
+; -0.448 ; inst40 ; inst77 ; CLK ; CLK ; 1.000 ; -0.036 ; 1.399 ;
+; -0.447 ; inst43 ; inst102 ; CLK ; CLK ; 1.000 ; 0.154 ; 1.588 ;
+; -0.446 ; inst40 ; inst82 ; CLK ; CLK ; 1.000 ; -0.036 ; 1.397 ;
+; -0.446 ; inst97 ; inst102 ; CLK ; CLK ; 1.000 ; 0.154 ; 1.587 ;
+; -0.442 ; inst40 ; inst87 ; CLK ; CLK ; 1.000 ; -0.036 ; 1.393 ;
+; -0.438 ; inst87 ; inst72 ; CLK ; CLK ; 1.000 ; -0.036 ; 1.389 ;
+; -0.437 ; inst3799999 ; inst107 ; CLK ; CLK ; 1.000 ; -0.036 ; 1.388 ;
+; -0.431 ; inst3799999 ; inst92 ; CLK ; CLK ; 1.000 ; -0.036 ; 1.382 ;
+; -0.429 ; inst3799999 ; inst97 ; CLK ; CLK ; 1.000 ; -0.036 ; 1.380 ;
+; -0.424 ; inst77 ; inst77 ; CLK ; CLK ; 1.000 ; -0.036 ; 1.375 ;
+; -0.424 ; inst102 ; inst97 ; CLK ; CLK ; 1.000 ; -0.233 ; 1.178 ;
+; -0.422 ; inst72 ; inst107 ; CLK ; CLK ; 1.000 ; -0.036 ; 1.373 ;
+; -0.422 ; inst102 ; inst48 ; CLK ; CLK ; 1.000 ; -0.233 ; 1.176 ;
+; -0.421 ; inst77 ; inst63 ; CLK ; CLK ; 1.000 ; -0.036 ; 1.372 ;
+; -0.421 ; inst102 ; inst87 ; CLK ; CLK ; 1.000 ; -0.233 ; 1.175 ;
+; -0.420 ; inst77 ; inst67 ; CLK ; CLK ; 1.000 ; -0.036 ; 1.371 ;
+; -0.420 ; inst102 ; inst92 ; CLK ; CLK ; 1.000 ; -0.233 ; 1.174 ;
+; -0.419 ; inst102 ; inst82 ; CLK ; CLK ; 1.000 ; -0.233 ; 1.173 ;
+; -0.416 ; inst40 ; inst72 ; CLK ; CLK ; 1.000 ; -0.036 ; 1.367 ;
+; -0.412 ; inst67 ; inst107 ; CLK ; CLK ; 1.000 ; -0.036 ; 1.363 ;
+; -0.404 ; inst82 ; inst97 ; CLK ; CLK ; 1.000 ; -0.036 ; 1.355 ;
+; -0.403 ; inst72 ; inst72 ; CLK ; CLK ; 1.000 ; -0.036 ; 1.354 ;
+; -0.402 ; inst82 ; inst48 ; CLK ; CLK ; 1.000 ; -0.036 ; 1.353 ;
+; -0.401 ; inst82 ; inst87 ; CLK ; CLK ; 1.000 ; -0.036 ; 1.352 ;
+; -0.400 ; inst58 ; inst107 ; CLK ; CLK ; 1.000 ; -0.036 ; 1.351 ;
+; -0.400 ; inst82 ; inst92 ; CLK ; CLK ; 1.000 ; -0.036 ; 1.351 ;
+; -0.399 ; inst82 ; inst82 ; CLK ; CLK ; 1.000 ; -0.036 ; 1.350 ;
+; -0.398 ; inst38 ; inst77 ; CLK ; CLK ; 1.000 ; -0.036 ; 1.349 ;
+; -0.396 ; inst38 ; inst82 ; CLK ; CLK ; 1.000 ; -0.036 ; 1.347 ;
+; -0.394 ; inst58 ; inst92 ; CLK ; CLK ; 1.000 ; -0.036 ; 1.345 ;
+; -0.394 ; inst102 ; inst102 ; CLK ; CLK ; 1.000 ; -0.043 ; 1.338 ;
+; -0.393 ; inst67 ; inst72 ; CLK ; CLK ; 1.000 ; -0.036 ; 1.344 ;
+; -0.392 ; inst58 ; inst97 ; CLK ; CLK ; 1.000 ; -0.036 ; 1.343 ;
+; -0.392 ; inst38 ; inst87 ; CLK ; CLK ; 1.000 ; -0.036 ; 1.343 ;
+; -0.389 ; inst389 ; inst102 ; CLK ; CLK ; 1.000 ; 0.154 ; 1.530 ;
+; -0.387 ; inst459 ; inst77 ; CLK ; CLK ; 1.000 ; -0.036 ; 1.338 ;
+; -0.385 ; inst459 ; inst82 ; CLK ; CLK ; 1.000 ; -0.036 ; 1.336 ;
+; -0.383 ; inst48 ; inst107 ; CLK ; CLK ; 1.000 ; -0.036 ; 1.334 ;
++--------+-------------+---------+--------------+-------------+--------------+------------+------------+
+
+
++---------------------------------------------------------------------------------------------------------+
+; Fast 1200mV 0C Model Hold: 'CLK' ;
++-------+-------------+-------------+--------------+-------------+--------------+------------+------------+
+; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
++-------+-------------+-------------+--------------+-------------+--------------+------------+------------+
+; 0.180 ; inst102 ; inst102 ; CLK ; CLK ; 0.000 ; 0.043 ; 0.307 ;
+; 0.187 ; inst48 ; inst48 ; CLK ; CLK ; 0.000 ; 0.036 ; 0.307 ;
+; 0.187 ; inst63 ; inst63 ; CLK ; CLK ; 0.000 ; 0.036 ; 0.307 ;
+; 0.187 ; inst67 ; inst67 ; CLK ; CLK ; 0.000 ; 0.036 ; 0.307 ;
+; 0.187 ; inst77 ; inst77 ; CLK ; CLK ; 0.000 ; 0.036 ; 0.307 ;
+; 0.187 ; inst82 ; inst82 ; CLK ; CLK ; 0.000 ; 0.036 ; 0.307 ;
+; 0.187 ; inst92 ; inst92 ; CLK ; CLK ; 0.000 ; 0.036 ; 0.307 ;
+; 0.187 ; inst97 ; inst97 ; CLK ; CLK ; 0.000 ; 0.036 ; 0.307 ;
+; 0.187 ; inst107 ; inst107 ; CLK ; CLK ; 0.000 ; 0.036 ; 0.307 ;
+; 0.188 ; inst38 ; inst38 ; CLK ; CLK ; 0.000 ; 0.035 ; 0.307 ;
+; 0.188 ; inst40 ; inst40 ; CLK ; CLK ; 0.000 ; 0.035 ; 0.307 ;
+; 0.188 ; inst459 ; inst459 ; CLK ; CLK ; 0.000 ; 0.035 ; 0.307 ;
+; 0.188 ; inst389 ; inst389 ; CLK ; CLK ; 0.000 ; 0.035 ; 0.307 ;
+; 0.188 ; inst43 ; inst43 ; CLK ; CLK ; 0.000 ; 0.035 ; 0.307 ;
+; 0.188 ; inst50 ; inst50 ; CLK ; CLK ; 0.000 ; 0.035 ; 0.307 ;
+; 0.195 ; inst3799999 ; inst3799999 ; CLK ; CLK ; 0.000 ; 0.035 ; 0.314 ;
+; 0.221 ; inst92 ; inst102 ; CLK ; CLK ; 0.000 ; 0.233 ; 0.538 ;
+; 0.259 ; inst97 ; inst102 ; CLK ; CLK ; 0.000 ; 0.233 ; 0.576 ;
+; 0.334 ; inst92 ; inst97 ; CLK ; CLK ; 0.000 ; 0.036 ; 0.454 ;
+; 0.389 ; inst82 ; inst102 ; CLK ; CLK ; 0.000 ; 0.233 ; 0.706 ;
+; 0.402 ; inst63 ; inst67 ; CLK ; CLK ; 0.000 ; 0.036 ; 0.522 ;
+; 0.418 ; inst92 ; inst107 ; CLK ; CLK ; 0.000 ; 0.036 ; 0.538 ;
+; 0.419 ; inst77 ; inst82 ; CLK ; CLK ; 0.000 ; 0.036 ; 0.539 ;
+; 0.422 ; inst43 ; inst48 ; CLK ; CLK ; 0.000 ; 0.035 ; 0.541 ;
+; 0.431 ; inst87 ; inst102 ; CLK ; CLK ; 0.000 ; 0.233 ; 0.748 ;
+; 0.456 ; inst97 ; inst107 ; CLK ; CLK ; 0.000 ; 0.036 ; 0.576 ;
+; 0.477 ; inst82 ; inst87 ; CLK ; CLK ; 0.000 ; 0.036 ; 0.597 ;
+; 0.477 ; inst3799999 ; inst38 ; CLK ; CLK ; 0.000 ; 0.035 ; 0.596 ;
+; 0.477 ; inst3799999 ; inst40 ; CLK ; CLK ; 0.000 ; 0.035 ; 0.596 ;
+; 0.481 ; inst459 ; inst50 ; CLK ; CLK ; 0.000 ; 0.035 ; 0.600 ;
+; 0.489 ; inst38 ; inst40 ; CLK ; CLK ; 0.000 ; 0.035 ; 0.608 ;
+; 0.495 ; inst72 ; inst72 ; CLK ; CLK ; 0.000 ; 0.036 ; 0.615 ;
+; 0.512 ; inst3799999 ; inst50 ; CLK ; CLK ; 0.000 ; 0.035 ; 0.631 ;
+; 0.512 ; inst77 ; inst102 ; CLK ; CLK ; 0.000 ; 0.233 ; 0.829 ;
+; 0.513 ; inst3799999 ; inst459 ; CLK ; CLK ; 0.000 ; 0.035 ; 0.632 ;
+; 0.516 ; inst87 ; inst87 ; CLK ; CLK ; 0.000 ; 0.036 ; 0.636 ;
+; 0.545 ; inst67 ; inst72 ; CLK ; CLK ; 0.000 ; 0.036 ; 0.665 ;
+; 0.546 ; inst58 ; inst58 ; CLK ; CLK ; 0.000 ; 0.035 ; 0.665 ;
+; 0.554 ; inst63 ; inst72 ; CLK ; CLK ; 0.000 ; 0.036 ; 0.674 ;
+; 0.560 ; inst82 ; inst97 ; CLK ; CLK ; 0.000 ; 0.036 ; 0.680 ;
+; 0.561 ; inst82 ; inst92 ; CLK ; CLK ; 0.000 ; 0.036 ; 0.681 ;
+; 0.562 ; inst389 ; inst43 ; CLK ; CLK ; 0.000 ; 0.035 ; 0.681 ;
+; 0.571 ; inst102 ; inst107 ; CLK ; CLK ; 0.000 ; -0.154 ; 0.501 ;
+; 0.572 ; inst38 ; inst50 ; CLK ; CLK ; 0.000 ; 0.035 ; 0.691 ;
+; 0.573 ; inst38 ; inst459 ; CLK ; CLK ; 0.000 ; 0.035 ; 0.692 ;
+; 0.586 ; inst82 ; inst107 ; CLK ; CLK ; 0.000 ; 0.036 ; 0.706 ;
+; 0.602 ; inst87 ; inst97 ; CLK ; CLK ; 0.000 ; 0.036 ; 0.722 ;
+; 0.603 ; inst87 ; inst92 ; CLK ; CLK ; 0.000 ; 0.036 ; 0.723 ;
+; 0.604 ; inst40 ; inst50 ; CLK ; CLK ; 0.000 ; 0.035 ; 0.723 ;
+; 0.605 ; inst40 ; inst459 ; CLK ; CLK ; 0.000 ; 0.035 ; 0.724 ;
+; 0.608 ; inst77 ; inst87 ; CLK ; CLK ; 0.000 ; 0.036 ; 0.728 ;
+; 0.613 ; inst50 ; inst43 ; CLK ; CLK ; 0.000 ; 0.035 ; 0.732 ;
+; 0.627 ; inst389 ; inst48 ; CLK ; CLK ; 0.000 ; 0.035 ; 0.746 ;
+; 0.628 ; inst87 ; inst107 ; CLK ; CLK ; 0.000 ; 0.036 ; 0.748 ;
+; 0.632 ; inst67 ; inst102 ; CLK ; CLK ; 0.000 ; 0.233 ; 0.949 ;
+; 0.643 ; inst48 ; inst58 ; CLK ; CLK ; 0.000 ; 0.036 ; 0.763 ;
+; 0.662 ; inst50 ; inst389 ; CLK ; CLK ; 0.000 ; 0.035 ; 0.781 ;
+; 0.670 ; inst107 ; inst38 ; CLK ; CLK ; 0.000 ; 0.036 ; 0.790 ;
+; 0.670 ; inst107 ; inst40 ; CLK ; CLK ; 0.000 ; 0.036 ; 0.790 ;
+; 0.670 ; inst107 ; inst459 ; CLK ; CLK ; 0.000 ; 0.036 ; 0.790 ;
+; 0.670 ; inst107 ; inst389 ; CLK ; CLK ; 0.000 ; 0.036 ; 0.790 ;
+; 0.672 ; inst72 ; inst102 ; CLK ; CLK ; 0.000 ; 0.233 ; 0.989 ;
+; 0.673 ; inst107 ; inst43 ; CLK ; CLK ; 0.000 ; 0.036 ; 0.793 ;
+; 0.673 ; inst107 ; inst50 ; CLK ; CLK ; 0.000 ; 0.036 ; 0.793 ;
+; 0.673 ; inst107 ; inst58 ; CLK ; CLK ; 0.000 ; 0.036 ; 0.793 ;
+; 0.673 ; inst3799999 ; inst389 ; CLK ; CLK ; 0.000 ; 0.035 ; 0.792 ;
+; 0.675 ; inst107 ; inst102 ; CLK ; CLK ; 0.000 ; 0.233 ; 0.992 ;
+; 0.678 ; inst50 ; inst48 ; CLK ; CLK ; 0.000 ; 0.035 ; 0.797 ;
+; 0.683 ; inst77 ; inst97 ; CLK ; CLK ; 0.000 ; 0.036 ; 0.803 ;
+; 0.684 ; inst77 ; inst92 ; CLK ; CLK ; 0.000 ; 0.036 ; 0.804 ;
+; 0.685 ; inst3799999 ; inst43 ; CLK ; CLK ; 0.000 ; 0.035 ; 0.804 ;
+; 0.687 ; inst48 ; inst72 ; CLK ; CLK ; 0.000 ; 0.036 ; 0.807 ;
+; 0.690 ; inst63 ; inst102 ; CLK ; CLK ; 0.000 ; 0.233 ; 1.007 ;
+; 0.702 ; inst459 ; inst389 ; CLK ; CLK ; 0.000 ; 0.035 ; 0.821 ;
+; 0.707 ; inst67 ; inst77 ; CLK ; CLK ; 0.000 ; 0.036 ; 0.827 ;
+; 0.709 ; inst67 ; inst82 ; CLK ; CLK ; 0.000 ; 0.036 ; 0.829 ;
+; 0.709 ; inst389 ; inst58 ; CLK ; CLK ; 0.000 ; 0.035 ; 0.828 ;
+; 0.709 ; inst77 ; inst107 ; CLK ; CLK ; 0.000 ; 0.036 ; 0.829 ;
+; 0.713 ; inst58 ; inst72 ; CLK ; CLK ; 0.000 ; 0.035 ; 0.832 ;
+; 0.721 ; inst67 ; inst87 ; CLK ; CLK ; 0.000 ; 0.036 ; 0.841 ;
+; 0.726 ; inst43 ; inst58 ; CLK ; CLK ; 0.000 ; 0.035 ; 0.845 ;
+; 0.733 ; inst38 ; inst389 ; CLK ; CLK ; 0.000 ; 0.035 ; 0.852 ;
+; 0.735 ; inst50 ; inst38 ; CLK ; CLK ; 0.000 ; 0.035 ; 0.854 ;
+; 0.735 ; inst50 ; inst40 ; CLK ; CLK ; 0.000 ; 0.035 ; 0.854 ;
+; 0.735 ; inst50 ; inst459 ; CLK ; CLK ; 0.000 ; 0.035 ; 0.854 ;
+; 0.738 ; inst50 ; inst58 ; CLK ; CLK ; 0.000 ; 0.035 ; 0.857 ;
+; 0.740 ; inst50 ; inst102 ; CLK ; CLK ; 0.000 ; 0.232 ; 1.056 ;
+; 0.745 ; inst38 ; inst43 ; CLK ; CLK ; 0.000 ; 0.035 ; 0.864 ;
+; 0.747 ; inst72 ; inst77 ; CLK ; CLK ; 0.000 ; 0.036 ; 0.867 ;
+; 0.748 ; inst48 ; inst63 ; CLK ; CLK ; 0.000 ; 0.036 ; 0.868 ;
+; 0.749 ; inst48 ; inst67 ; CLK ; CLK ; 0.000 ; 0.036 ; 0.869 ;
+; 0.749 ; inst72 ; inst82 ; CLK ; CLK ; 0.000 ; 0.036 ; 0.869 ;
+; 0.749 ; inst3799999 ; inst58 ; CLK ; CLK ; 0.000 ; 0.035 ; 0.868 ;
+; 0.750 ; inst459 ; inst43 ; CLK ; CLK ; 0.000 ; 0.035 ; 0.869 ;
+; 0.750 ; inst3799999 ; inst48 ; CLK ; CLK ; 0.000 ; 0.035 ; 0.869 ;
+; 0.751 ; inst3799999 ; inst102 ; CLK ; CLK ; 0.000 ; 0.232 ; 1.067 ;
+; 0.755 ; inst107 ; inst82 ; CLK ; CLK ; 0.000 ; 0.036 ; 0.875 ;
+; 0.756 ; inst107 ; inst92 ; CLK ; CLK ; 0.000 ; 0.036 ; 0.876 ;
+; 0.757 ; inst107 ; inst87 ; CLK ; CLK ; 0.000 ; 0.036 ; 0.877 ;
+; 0.758 ; inst107 ; inst48 ; CLK ; CLK ; 0.000 ; 0.036 ; 0.878 ;
++-------+-------------+-------------+--------------+-------------+--------------+------------+------------+
+
+
++------------------------------------------------------------------------------------------------------------+
+; Fast 1200mV 0C Model Minimum Pulse Width: 'CLK' ;
++--------+--------------+----------------+------------------+-------+------------+---------------------------+
+; Slack ; Actual Width ; Required Width ; Type ; Clock ; Clock Edge ; Target ;
++--------+--------------+----------------+------------------+-------+------------+---------------------------+
+; -3.000 ; 1.000 ; 4.000 ; Port Rate ; CLK ; Rise ; CLK ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; CLK ; Rise ; inst102 ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; CLK ; Rise ; inst107 ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; CLK ; Rise ; inst3799999 ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; CLK ; Rise ; inst38 ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; CLK ; Rise ; inst389 ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; CLK ; Rise ; inst40 ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; CLK ; Rise ; inst43 ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; CLK ; Rise ; inst459 ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; CLK ; Rise ; inst48 ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; CLK ; Rise ; inst50 ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; CLK ; Rise ; inst58 ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; CLK ; Rise ; inst63 ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; CLK ; Rise ; inst67 ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; CLK ; Rise ; inst72 ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; CLK ; Rise ; inst77 ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; CLK ; Rise ; inst82 ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; CLK ; Rise ; inst87 ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; CLK ; Rise ; inst92 ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; CLK ; Rise ; inst97 ;
+; -0.085 ; 0.099 ; 0.184 ; Low Pulse Width ; CLK ; Rise ; inst102 ;
+; -0.056 ; 0.128 ; 0.184 ; Low Pulse Width ; CLK ; Rise ; inst107 ;
+; -0.056 ; 0.128 ; 0.184 ; Low Pulse Width ; CLK ; Rise ; inst3799999 ;
+; -0.056 ; 0.128 ; 0.184 ; Low Pulse Width ; CLK ; Rise ; inst38 ;
+; -0.056 ; 0.128 ; 0.184 ; Low Pulse Width ; CLK ; Rise ; inst389 ;
+; -0.056 ; 0.128 ; 0.184 ; Low Pulse Width ; CLK ; Rise ; inst40 ;
+; -0.056 ; 0.128 ; 0.184 ; Low Pulse Width ; CLK ; Rise ; inst43 ;
+; -0.056 ; 0.128 ; 0.184 ; Low Pulse Width ; CLK ; Rise ; inst459 ;
+; -0.056 ; 0.128 ; 0.184 ; Low Pulse Width ; CLK ; Rise ; inst48 ;
+; -0.056 ; 0.128 ; 0.184 ; Low Pulse Width ; CLK ; Rise ; inst50 ;
+; -0.056 ; 0.128 ; 0.184 ; Low Pulse Width ; CLK ; Rise ; inst58 ;
+; -0.056 ; 0.128 ; 0.184 ; Low Pulse Width ; CLK ; Rise ; inst63 ;
+; -0.056 ; 0.128 ; 0.184 ; Low Pulse Width ; CLK ; Rise ; inst67 ;
+; -0.056 ; 0.128 ; 0.184 ; Low Pulse Width ; CLK ; Rise ; inst72 ;
+; -0.056 ; 0.128 ; 0.184 ; Low Pulse Width ; CLK ; Rise ; inst77 ;
+; -0.056 ; 0.128 ; 0.184 ; Low Pulse Width ; CLK ; Rise ; inst82 ;
+; -0.056 ; 0.128 ; 0.184 ; Low Pulse Width ; CLK ; Rise ; inst87 ;
+; -0.056 ; 0.128 ; 0.184 ; Low Pulse Width ; CLK ; Rise ; inst92 ;
+; -0.056 ; 0.128 ; 0.184 ; Low Pulse Width ; CLK ; Rise ; inst97 ;
+; 0.095 ; 0.095 ; 0.000 ; Low Pulse Width ; CLK ; Rise ; inst102|clk ;
+; 0.118 ; 0.118 ; 0.000 ; Low Pulse Width ; CLK ; Rise ; CLK~input|o ;
+; 0.123 ; 0.123 ; 0.000 ; Low Pulse Width ; CLK ; Rise ; inst107|clk ;
+; 0.123 ; 0.123 ; 0.000 ; Low Pulse Width ; CLK ; Rise ; inst48|clk ;
+; 0.123 ; 0.123 ; 0.000 ; Low Pulse Width ; CLK ; Rise ; inst63|clk ;
+; 0.123 ; 0.123 ; 0.000 ; Low Pulse Width ; CLK ; Rise ; inst67|clk ;
+; 0.123 ; 0.123 ; 0.000 ; Low Pulse Width ; CLK ; Rise ; inst72|clk ;
+; 0.123 ; 0.123 ; 0.000 ; Low Pulse Width ; CLK ; Rise ; inst77|clk ;
+; 0.123 ; 0.123 ; 0.000 ; Low Pulse Width ; CLK ; Rise ; inst82|clk ;
+; 0.123 ; 0.123 ; 0.000 ; Low Pulse Width ; CLK ; Rise ; inst87|clk ;
+; 0.123 ; 0.123 ; 0.000 ; Low Pulse Width ; CLK ; Rise ; inst92|clk ;
+; 0.123 ; 0.123 ; 0.000 ; Low Pulse Width ; CLK ; Rise ; inst97|clk ;
+; 0.124 ; 0.124 ; 0.000 ; Low Pulse Width ; CLK ; Rise ; inst3799999|clk ;
+; 0.124 ; 0.124 ; 0.000 ; Low Pulse Width ; CLK ; Rise ; inst389|clk ;
+; 0.124 ; 0.124 ; 0.000 ; Low Pulse Width ; CLK ; Rise ; inst38|clk ;
+; 0.124 ; 0.124 ; 0.000 ; Low Pulse Width ; CLK ; Rise ; inst40|clk ;
+; 0.124 ; 0.124 ; 0.000 ; Low Pulse Width ; CLK ; Rise ; inst43|clk ;
+; 0.124 ; 0.124 ; 0.000 ; Low Pulse Width ; CLK ; Rise ; inst459|clk ;
+; 0.124 ; 0.124 ; 0.000 ; Low Pulse Width ; CLK ; Rise ; inst50|clk ;
+; 0.124 ; 0.124 ; 0.000 ; Low Pulse Width ; CLK ; Rise ; inst58|clk ;
+; 0.132 ; 0.132 ; 0.000 ; Low Pulse Width ; CLK ; Rise ; CLK~inputclkctrl|inclk[0] ;
+; 0.132 ; 0.132 ; 0.000 ; Low Pulse Width ; CLK ; Rise ; CLK~inputclkctrl|outclk ;
+; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; CLK ; Rise ; CLK~input|i ;
+; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; CLK ; Rise ; CLK~input|i ;
+; 0.654 ; 0.870 ; 0.216 ; High Pulse Width ; CLK ; Rise ; inst3799999 ;
+; 0.654 ; 0.870 ; 0.216 ; High Pulse Width ; CLK ; Rise ; inst38 ;
+; 0.654 ; 0.870 ; 0.216 ; High Pulse Width ; CLK ; Rise ; inst389 ;
+; 0.654 ; 0.870 ; 0.216 ; High Pulse Width ; CLK ; Rise ; inst40 ;
+; 0.654 ; 0.870 ; 0.216 ; High Pulse Width ; CLK ; Rise ; inst43 ;
+; 0.654 ; 0.870 ; 0.216 ; High Pulse Width ; CLK ; Rise ; inst459 ;
+; 0.654 ; 0.870 ; 0.216 ; High Pulse Width ; CLK ; Rise ; inst50 ;
+; 0.654 ; 0.870 ; 0.216 ; High Pulse Width ; CLK ; Rise ; inst58 ;
+; 0.655 ; 0.871 ; 0.216 ; High Pulse Width ; CLK ; Rise ; inst107 ;
+; 0.655 ; 0.871 ; 0.216 ; High Pulse Width ; CLK ; Rise ; inst48 ;
+; 0.655 ; 0.871 ; 0.216 ; High Pulse Width ; CLK ; Rise ; inst63 ;
+; 0.655 ; 0.871 ; 0.216 ; High Pulse Width ; CLK ; Rise ; inst67 ;
+; 0.655 ; 0.871 ; 0.216 ; High Pulse Width ; CLK ; Rise ; inst72 ;
+; 0.655 ; 0.871 ; 0.216 ; High Pulse Width ; CLK ; Rise ; inst77 ;
+; 0.655 ; 0.871 ; 0.216 ; High Pulse Width ; CLK ; Rise ; inst82 ;
+; 0.655 ; 0.871 ; 0.216 ; High Pulse Width ; CLK ; Rise ; inst87 ;
+; 0.655 ; 0.871 ; 0.216 ; High Pulse Width ; CLK ; Rise ; inst92 ;
+; 0.655 ; 0.871 ; 0.216 ; High Pulse Width ; CLK ; Rise ; inst97 ;
+; 0.682 ; 0.898 ; 0.216 ; High Pulse Width ; CLK ; Rise ; inst102 ;
+; 0.867 ; 0.867 ; 0.000 ; High Pulse Width ; CLK ; Rise ; CLK~inputclkctrl|inclk[0] ;
+; 0.867 ; 0.867 ; 0.000 ; High Pulse Width ; CLK ; Rise ; CLK~inputclkctrl|outclk ;
+; 0.876 ; 0.876 ; 0.000 ; High Pulse Width ; CLK ; Rise ; inst107|clk ;
+; 0.876 ; 0.876 ; 0.000 ; High Pulse Width ; CLK ; Rise ; inst3799999|clk ;
+; 0.876 ; 0.876 ; 0.000 ; High Pulse Width ; CLK ; Rise ; inst389|clk ;
+; 0.876 ; 0.876 ; 0.000 ; High Pulse Width ; CLK ; Rise ; inst38|clk ;
+; 0.876 ; 0.876 ; 0.000 ; High Pulse Width ; CLK ; Rise ; inst40|clk ;
+; 0.876 ; 0.876 ; 0.000 ; High Pulse Width ; CLK ; Rise ; inst43|clk ;
+; 0.876 ; 0.876 ; 0.000 ; High Pulse Width ; CLK ; Rise ; inst459|clk ;
+; 0.876 ; 0.876 ; 0.000 ; High Pulse Width ; CLK ; Rise ; inst48|clk ;
+; 0.876 ; 0.876 ; 0.000 ; High Pulse Width ; CLK ; Rise ; inst50|clk ;
+; 0.876 ; 0.876 ; 0.000 ; High Pulse Width ; CLK ; Rise ; inst58|clk ;
+; 0.876 ; 0.876 ; 0.000 ; High Pulse Width ; CLK ; Rise ; inst63|clk ;
+; 0.876 ; 0.876 ; 0.000 ; High Pulse Width ; CLK ; Rise ; inst67|clk ;
+; 0.876 ; 0.876 ; 0.000 ; High Pulse Width ; CLK ; Rise ; inst72|clk ;
+; 0.876 ; 0.876 ; 0.000 ; High Pulse Width ; CLK ; Rise ; inst77|clk ;
+; 0.876 ; 0.876 ; 0.000 ; High Pulse Width ; CLK ; Rise ; inst82|clk ;
+; 0.876 ; 0.876 ; 0.000 ; High Pulse Width ; CLK ; Rise ; inst87|clk ;
++--------+--------------+----------------+------------------+-------+------------+---------------------------+
+
+
++-----------------------------------------------------------------------+
+; Clock to Output Times ;
++-----------+------------+-------+-------+------------+-----------------+
+; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ;
++-----------+------------+-------+-------+------------+-----------------+
+; 1_Hz ; CLK ; 3.973 ; 4.093 ; Rise ; CLK ;
++-----------+------------+-------+-------+------------+-----------------+
+
+
++-----------------------------------------------------------------------+
+; Minimum Clock to Output Times ;
++-----------+------------+-------+-------+------------+-----------------+
+; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ;
++-----------+------------+-------+-------+------------+-----------------+
+; 1_Hz ; CLK ; 3.531 ; 3.594 ; Rise ; CLK ;
++-----------+------------+-------+-------+------------+-----------------+
+
+
+---------------------------------------------
+; Fast 1200mV 0C Model Metastability Report ;
+---------------------------------------------
+No synchronizer chains to report.
+
+
++-------------------------------------------------------------------------------+
+; Multicorner Timing Analysis Summary ;
++------------------+---------+-------+----------+---------+---------------------+
+; Clock ; Setup ; Hold ; Recovery ; Removal ; Minimum Pulse Width ;
++------------------+---------+-------+----------+---------+---------------------+
+; Worst-case Slack ; -1.948 ; 0.180 ; N/A ; N/A ; -3.000 ;
+; CLK ; -1.948 ; 0.180 ; N/A ; N/A ; -3.000 ;
+; Design-wide TNS ; -30.172 ; 0.0 ; 0.0 ; 0.0 ; -23.093 ;
+; CLK ; -30.172 ; 0.000 ; N/A ; N/A ; -23.093 ;
++------------------+---------+-------+----------+---------+---------------------+
+
+
++-----------------------------------------------------------------------+
+; Clock to Output Times ;
++-----------+------------+-------+-------+------------+-----------------+
+; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ;
++-----------+------------+-------+-------+------------+-----------------+
+; 1_Hz ; CLK ; 6.727 ; 6.886 ; Rise ; CLK ;
++-----------+------------+-------+-------+------------+-----------------+
+
+
++-----------------------------------------------------------------------+
+; Minimum Clock to Output Times ;
++-----------+------------+-------+-------+------------+-----------------+
+; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ;
++-----------+------------+-------+-------+------------+-----------------+
+; 1_Hz ; CLK ; 3.531 ; 3.594 ; Rise ; CLK ;
++-----------+------------+-------+-------+------------+-----------------+
+
+
++------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
+; Board Trace Model Assignments ;
++---------------+--------------+-------------------+-------------------------+-------------------------+---------------+---------------------+----------------+------------------+--------+------------------+------------------------+------------------------+--------------+---------------+-----------------+-------+---------------------+--------------------+---------------+-----------------+-------------+
+; Pin ; I/O Standard ; Near Tline Length ; Near Tline L per Length ; Near Tline C per Length ; Near Series R ; Near Differential R ; Near Pull-up R ; Near Pull-down R ; Near C ; Far Tline Length ; Far Tline L per Length ; Far Tline C per Length ; Far Series R ; Far Pull-up R ; Far Pull-down R ; Far C ; Termination Voltage ; Far Differential R ; EBD File Name ; EBD Signal Name ; EBD Far-end ;
++---------------+--------------+-------------------+-------------------------+-------------------------+---------------+---------------------+----------------+------------------+--------+------------------+------------------------+------------------------+--------------+---------------+-----------------+-------+---------------------+--------------------+---------------+-----------------+-------------+
+; 1_Hz ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
+; ~ALTERA_DCLK~ ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
+; ~ALTERA_nCEO~ ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
++---------------+--------------+-------------------+-------------------------+-------------------------+---------------+---------------------+----------------+------------------+--------+------------------+------------------------+------------------------+--------------+---------------+-----------------+-------+---------------------+--------------------+---------------+-----------------+-------------+
+
+
++----------------------------------------------------------------------------+
+; Input Transition Times ;
++-------------------------+--------------+-----------------+-----------------+
+; Pin ; I/O Standard ; 10-90 Rise Time ; 90-10 Fall Time ;
++-------------------------+--------------+-----------------+-----------------+
+; CLK ; 2.5 V ; 2000 ps ; 2000 ps ;
+; ~ALTERA_ASDO_DATA1~ ; 2.5 V ; 2000 ps ; 2000 ps ;
+; ~ALTERA_FLASH_nCE_nCSO~ ; 2.5 V ; 2000 ps ; 2000 ps ;
+; ~ALTERA_DATA0~ ; 2.5 V ; 2000 ps ; 2000 ps ;
++-------------------------+--------------+-----------------+-----------------+
+
+
++--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
+; Slow Corner Signal Integrity Metrics ;
++---------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+
+; Pin ; I/O Standard ; Board Delay on Rise ; Board Delay on Fall ; Steady State Voh at FPGA Pin ; Steady State Vol at FPGA Pin ; Voh Max at FPGA Pin ; Vol Min at FPGA Pin ; Ringback Voltage on Rise at FPGA Pin ; Ringback Voltage on Fall at FPGA Pin ; 10-90 Rise Time at FPGA Pin ; 90-10 Fall Time at FPGA Pin ; Monotonic Rise at FPGA Pin ; Monotonic Fall at FPGA Pin ; Steady State Voh at Far-end ; Steady State Vol at Far-end ; Voh Max at Far-end ; Vol Min at Far-end ; Ringback Voltage on Rise at Far-end ; Ringback Voltage on Fall at Far-end ; 10-90 Rise Time at Far-end ; 90-10 Fall Time at Far-end ; Monotonic Rise at Far-end ; Monotonic Fall at Far-end ;
++---------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+
+; 1_Hz ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 7.25e-07 V ; 2.35 V ; -0.0111 V ; 0.113 V ; 0.035 V ; 7.76e-10 s ; 8.04e-10 s ; Yes ; Yes ; 2.32 V ; 7.25e-07 V ; 2.35 V ; -0.0111 V ; 0.113 V ; 0.035 V ; 7.76e-10 s ; 8.04e-10 s ; Yes ; Yes ;
+; ~ALTERA_DCLK~ ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 5.68e-07 V ; 2.35 V ; -0.0132 V ; 0.2 V ; 0.027 V ; 5.26e-10 s ; 4.81e-10 s ; Yes ; Yes ; 2.32 V ; 5.68e-07 V ; 2.35 V ; -0.0132 V ; 0.2 V ; 0.027 V ; 5.26e-10 s ; 4.81e-10 s ; Yes ; Yes ;
+; ~ALTERA_nCEO~ ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 9.36e-07 V ; 2.35 V ; -0.00444 V ; 0.18 V ; 0.019 V ; 7.23e-10 s ; 9.82e-10 s ; Yes ; Yes ; 2.32 V ; 9.36e-07 V ; 2.35 V ; -0.00444 V ; 0.18 V ; 0.019 V ; 7.23e-10 s ; 9.82e-10 s ; Yes ; Yes ;
++---------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+
+
+
++--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
+; Fast Corner Signal Integrity Metrics ;
++---------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+
+; Pin ; I/O Standard ; Board Delay on Rise ; Board Delay on Fall ; Steady State Voh at FPGA Pin ; Steady State Vol at FPGA Pin ; Voh Max at FPGA Pin ; Vol Min at FPGA Pin ; Ringback Voltage on Rise at FPGA Pin ; Ringback Voltage on Fall at FPGA Pin ; 10-90 Rise Time at FPGA Pin ; 90-10 Fall Time at FPGA Pin ; Monotonic Rise at FPGA Pin ; Monotonic Fall at FPGA Pin ; Steady State Voh at Far-end ; Steady State Vol at Far-end ; Voh Max at Far-end ; Vol Min at Far-end ; Ringback Voltage on Rise at Far-end ; Ringback Voltage on Fall at Far-end ; 10-90 Rise Time at Far-end ; 90-10 Fall Time at Far-end ; Monotonic Rise at Far-end ; Monotonic Fall at Far-end ;
++---------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+
+; 1_Hz ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 2.78e-08 V ; 2.71 V ; -0.0349 V ; 0.253 V ; 0.069 V ; 4.96e-10 s ; 5.19e-10 s ; No ; Yes ; 2.62 V ; 2.78e-08 V ; 2.71 V ; -0.0349 V ; 0.253 V ; 0.069 V ; 4.96e-10 s ; 5.19e-10 s ; No ; Yes ;
+; ~ALTERA_DCLK~ ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 2.22e-08 V ; 2.72 V ; -0.0747 V ; 0.28 V ; 0.169 V ; 3.1e-10 s ; 3.01e-10 s ; No ; Yes ; 2.62 V ; 2.22e-08 V ; 2.72 V ; -0.0747 V ; 0.28 V ; 0.169 V ; 3.1e-10 s ; 3.01e-10 s ; No ; Yes ;
+; ~ALTERA_nCEO~ ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 3.53e-08 V ; 2.7 V ; -0.0212 V ; 0.204 V ; 0.049 V ; 4.85e-10 s ; 6.73e-10 s ; No ; Yes ; 2.62 V ; 3.53e-08 V ; 2.7 V ; -0.0212 V ; 0.204 V ; 0.049 V ; 4.85e-10 s ; 6.73e-10 s ; No ; Yes ;
++---------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+
+
+
++-------------------------------------------------------------------+
+; Setup Transfers ;
++------------+----------+----------+----------+----------+----------+
+; From Clock ; To Clock ; RR Paths ; FR Paths ; RF Paths ; FF Paths ;
++------------+----------+----------+----------+----------+----------+
+; CLK ; CLK ; 532 ; 0 ; 0 ; 0 ;
++------------+----------+----------+----------+----------+----------+
+Entries labeled "false path" only account for clock-to-clock false paths and not path-based false paths. As a result, actual path counts may be lower than reported.
+
+
++-------------------------------------------------------------------+
+; Hold Transfers ;
++------------+----------+----------+----------+----------+----------+
+; From Clock ; To Clock ; RR Paths ; FR Paths ; RF Paths ; FF Paths ;
++------------+----------+----------+----------+----------+----------+
+; CLK ; CLK ; 532 ; 0 ; 0 ; 0 ;
++------------+----------+----------+----------+----------+----------+
+Entries labeled "false path" only account for clock-to-clock false paths and not path-based false paths. As a result, actual path counts may be lower than reported.
+
+
+---------------
+; Report TCCS ;
+---------------
+No dedicated SERDES Transmitter circuitry present in device or used in design
+
+
+---------------
+; Report RSKM ;
+---------------
+No dedicated SERDES Receiver circuitry present in device or used in design
+
+
++------------------------------------------------+
+; Unconstrained Paths ;
++---------------------------------+-------+------+
+; Property ; Setup ; Hold ;
++---------------------------------+-------+------+
+; Illegal Clocks ; 0 ; 0 ;
+; Unconstrained Clocks ; 0 ; 0 ;
+; Unconstrained Input Ports ; 0 ; 0 ;
+; Unconstrained Input Port Paths ; 0 ; 0 ;
+; Unconstrained Output Ports ; 1 ; 1 ;
+; Unconstrained Output Port Paths ; 19 ; 19 ;
++---------------------------------+-------+------+
+
+
++------------------------------------+
+; TimeQuest Timing Analyzer Messages ;
++------------------------------------+
+Info: *******************************************************************
+Info: Running Quartus II 64-Bit TimeQuest Timing Analyzer
+ Info: Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Full Version
+ Info: Processing started: Tue Feb 23 16:02:19 2016
+Info: Command: quartus_sta one_hertz_clock -c one_hertz_clock
+Info: qsta_default_script.tcl version: #1
+Info (11104): Parallel Compilation has detected 8 hyper-threaded processors. However, the extra hyper-threaded processors will not be used by default. Parallel Compilation will use 4 of the 4 physical processors detected instead.
+Info (21077): Core supply voltage is 1.2V
+Info (21077): Low junction temperature is 0 degrees C
+Info (21077): High junction temperature is 85 degrees C
+Critical Warning (332012): Synopsys Design Constraints File file not found: 'one_hertz_clock.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design.
+Info (332142): No user constrained base clocks found in the design. Calling "derive_clocks -period 1.0"
+Info (332105): Deriving Clocks
+ Info (332105): create_clock -period 1.000 -name CLK CLK
+Info (332143): No user constrained clock uncertainty found in the design. Calling "derive_clock_uncertainty"
+Info (332123): Deriving Clock Uncertainty. Please refer to report_sdc in TimeQuest to see clock uncertainties.
+Info: Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON
+Info: Analyzing Slow 1200mV 85C Model
+Critical Warning (332148): Timing requirements not met
+ Info (11105): For recommendations on closing timing, run Report Timing Closure Recommendations in the TimeQuest Timing Analyzer.
+Info (332146): Worst-case setup slack is -1.948
+ Info (332119): Slack End Point TNS Clock
+ Info (332119): ========= ============= =====================
+ Info (332119): -1.948 -30.172 CLK
+Info (332146): Worst-case hold slack is 0.344
+ Info (332119): Slack End Point TNS Clock
+ Info (332119): ========= ============= =====================
+ Info (332119): 0.344 0.000 CLK
+Info (332140): No Recovery paths to report
+Info (332140): No Removal paths to report
+Info (332146): Worst-case minimum pulse width slack is -3.000
+ Info (332119): Slack End Point TNS Clock
+ Info (332119): ========= ============= =====================
+ Info (332119): -3.000 -22.000 CLK
+Info: Analyzing Slow 1200mV 0C Model
+Info (334003): Started post-fitting delay annotation
+Info (334004): Delay annotation completed successfully
+Info (332123): Deriving Clock Uncertainty. Please refer to report_sdc in TimeQuest to see clock uncertainties.
+Critical Warning (332148): Timing requirements not met
+ Info (11105): For recommendations on closing timing, run Report Timing Closure Recommendations in the TimeQuest Timing Analyzer.
+Info (332146): Worst-case setup slack is -1.632
+ Info (332119): Slack End Point TNS Clock
+ Info (332119): ========= ============= =====================
+ Info (332119): -1.632 -25.089 CLK
+Info (332146): Worst-case hold slack is 0.298
+ Info (332119): Slack End Point TNS Clock
+ Info (332119): ========= ============= =====================
+ Info (332119): 0.298 0.000 CLK
+Info (332140): No Recovery paths to report
+Info (332140): No Removal paths to report
+Info (332146): Worst-case minimum pulse width slack is -3.000
+ Info (332119): Slack End Point TNS Clock
+ Info (332119): ========= ============= =====================
+ Info (332119): -3.000 -22.000 CLK
+Info: Analyzing Fast 1200mV 0C Model
+Info (332123): Deriving Clock Uncertainty. Please refer to report_sdc in TimeQuest to see clock uncertainties.
+Critical Warning (332148): Timing requirements not met
+ Info (11105): For recommendations on closing timing, run Report Timing Closure Recommendations in the TimeQuest Timing Analyzer.
+Info (332146): Worst-case setup slack is -0.652
+ Info (332119): Slack End Point TNS Clock
+ Info (332119): ========= ============= =====================
+ Info (332119): -0.652 -8.911 CLK
+Info (332146): Worst-case hold slack is 0.180
+ Info (332119): Slack End Point TNS Clock
+ Info (332119): ========= ============= =====================
+ Info (332119): 0.180 0.000 CLK
+Info (332140): No Recovery paths to report
+Info (332140): No Removal paths to report
+Info (332146): Worst-case minimum pulse width slack is -3.000
+ Info (332119): Slack End Point TNS Clock
+ Info (332119): ========= ============= =====================
+ Info (332119): -3.000 -23.093 CLK
+Info (332102): Design is not fully constrained for setup requirements
+Info (332102): Design is not fully constrained for hold requirements
+Info: Quartus II 64-Bit TimeQuest Timing Analyzer was successful. 0 errors, 4 warnings
+ Info: Peak virtual memory: 485 megabytes
+ Info: Processing ended: Tue Feb 23 16:02:20 2016
+ Info: Elapsed time: 00:00:01
+ Info: Total CPU time (on all processors): 00:00:01
+
+
diff --git a/one_hertz_clock/output_files/one_hertz_clock.sta.summary b/one_hertz_clock/output_files/one_hertz_clock.sta.summary
new file mode 100644
index 0000000..8cc44fe
--- /dev/null
+++ b/one_hertz_clock/output_files/one_hertz_clock.sta.summary
@@ -0,0 +1,41 @@
+------------------------------------------------------------
+TimeQuest Timing Analyzer Summary
+------------------------------------------------------------
+
+Type : Slow 1200mV 85C Model Setup 'CLK'
+Slack : -1.948
+TNS : -30.172
+
+Type : Slow 1200mV 85C Model Hold 'CLK'
+Slack : 0.344
+TNS : 0.000
+
+Type : Slow 1200mV 85C Model Minimum Pulse Width 'CLK'
+Slack : -3.000
+TNS : -22.000
+
+Type : Slow 1200mV 0C Model Setup 'CLK'
+Slack : -1.632
+TNS : -25.089
+
+Type : Slow 1200mV 0C Model Hold 'CLK'
+Slack : 0.298
+TNS : 0.000
+
+Type : Slow 1200mV 0C Model Minimum Pulse Width 'CLK'
+Slack : -3.000
+TNS : -22.000
+
+Type : Fast 1200mV 0C Model Setup 'CLK'
+Slack : -0.652
+TNS : -8.911
+
+Type : Fast 1200mV 0C Model Hold 'CLK'
+Slack : 0.180
+TNS : 0.000
+
+Type : Fast 1200mV 0C Model Minimum Pulse Width 'CLK'
+Slack : -3.000
+TNS : -23.093
+
+------------------------------------------------------------