summaryrefslogtreecommitdiffstats
path: root/part_1/ex3/db/ex3.asm.qmsg
diff options
context:
space:
mode:
authorzedarider <ymherklotz@gmail.com>2016-11-15 21:33:02 +0000
committerzedarider <ymherklotz@gmail.com>2016-11-15 21:33:02 +0000
commitee5d729de8ea22b4d7524bf839ba08fcb4b3843d (patch)
treea6cbbd40144834affb26acfeaaa8e9159b7cf4a9 /part_1/ex3/db/ex3.asm.qmsg
downloadVerilogCoursework-ee5d729de8ea22b4d7524bf839ba08fcb4b3843d.tar.gz
VerilogCoursework-ee5d729de8ea22b4d7524bf839ba08fcb4b3843d.zip
adding first project and initial files
Diffstat (limited to 'part_1/ex3/db/ex3.asm.qmsg')
-rw-r--r--part_1/ex3/db/ex3.asm.qmsg6
1 files changed, 6 insertions, 0 deletions
diff --git a/part_1/ex3/db/ex3.asm.qmsg b/part_1/ex3/db/ex3.asm.qmsg
new file mode 100644
index 0000000..9e1ba3d
--- /dev/null
+++ b/part_1/ex3/db/ex3.asm.qmsg
@@ -0,0 +1,6 @@
+{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1479207493282 ""}
+{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus Prime " "Running Quartus Prime Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 16.0.0 Build 211 04/27/2016 SJ Standard Edition " "Version 16.0.0 Build 211 04/27/2016 SJ Standard Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1479207493285 ""} { "Info" "IQEXE_START_BANNER_TIME" "Tue Nov 15 10:58:12 2016 " "Processing started: Tue Nov 15 10:58:12 2016" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1479207493285 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1479207493285 ""}
+{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off ex3 -c ex3 " "Command: quartus_asm --read_settings_files=off --write_settings_files=off ex3 -c ex3" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1479207493286 ""}
+{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Assembler" 0 -1 1479207494181 ""}
+{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1479207499113 ""}
+{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 1 Quartus Prime " "Quartus Prime Assembler was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "893 " "Peak virtual memory: 893 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1479207503199 ""} { "Info" "IQEXE_END_BANNER_TIME" "Tue Nov 15 10:58:23 2016 " "Processing ended: Tue Nov 15 10:58:23 2016" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1479207503199 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:11 " "Elapsed time: 00:00:11" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1479207503199 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:06 " "Total CPU time (on all processors): 00:00:06" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1479207503199 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1479207503199 ""}