summaryrefslogtreecommitdiffstats
path: root/part_4/ex17/verilog_files/d_ff.v
diff options
context:
space:
mode:
Diffstat (limited to 'part_4/ex17/verilog_files/d_ff.v')
-rwxr-xr-xpart_4/ex17/verilog_files/d_ff.v11
1 files changed, 11 insertions, 0 deletions
diff --git a/part_4/ex17/verilog_files/d_ff.v b/part_4/ex17/verilog_files/d_ff.v
new file mode 100755
index 0000000..65aec4d
--- /dev/null
+++ b/part_4/ex17/verilog_files/d_ff.v
@@ -0,0 +1,11 @@
+module d_ff(clk, in, out);
+
+ input clk, in;
+ output out;
+ wire in;
+ reg out;
+
+ always @ (posedge clk)
+ out <= in;
+
+endmodule \ No newline at end of file