diff options
author | zedarider <ymherklotz@gmail.com> | 2016-03-01 17:02:26 +0000 |
---|---|---|
committer | zedarider <ymherklotz@gmail.com> | 2016-03-01 17:02:26 +0000 |
commit | c238302339907c59fe915c1b442db1cb5ddc4899 (patch) | |
tree | c7316736278f148db522e7a1c9b35548e20128ec | |
parent | e962509eeed79f58f4492eb27d89f56aa23afa52 (diff) | |
download | verilog-c238302339907c59fe915c1b442db1cb5ddc4899.tar.gz verilog-c238302339907c59fe915c1b442db1cb5ddc4899.zip |
adding HelloWorld Demo
-rw-r--r-- | a.out | 0 | ||||
-rwxr-xr-x | hello_world | 22 | ||||
-rw-r--r-- | hello_world.v | 8 | ||||
-rw-r--r-- | hello_world.v~ | 8 |
4 files changed, 38 insertions, 0 deletions
diff --git a/hello_world b/hello_world new file mode 100755 index 0000000..f99577e --- /dev/null +++ b/hello_world @@ -0,0 +1,22 @@ +#! /usr/bin/vvp +:ivl_version "10.0 (stable)" "(v10_0)"; +:ivl_delay_selection "TYPICAL"; +:vpi_time_precision + 0; +:vpi_module "system"; +:vpi_module "vhdl_sys"; +:vpi_module "v2005_math"; +:vpi_module "va_math"; +S_0x157ad90 .scope module, "hello_world" "hello_world" 2 3; + .timescale 0 0; + .scope S_0x157ad90; +T_0 ; + %vpi_call 2 5 "$display", "Hello World!" {0 0 0}; + %delay 10, 0; + %vpi_call 2 6 "$finish" {0 0 0}; + %end; + .thread T_0; +# The file index is used to find the file name in the following table. +:file_names 3; + "N/A"; + "<interactive>"; + "hello_world.v"; diff --git a/hello_world.v b/hello_world.v new file mode 100644 index 0000000..6f57a4a --- /dev/null +++ b/hello_world.v @@ -0,0 +1,8 @@ +// First Verilog HelloWorld Program + +module hello_world; + initial begin + $display("Hello World!"); + $finish; + end +endmodule diff --git a/hello_world.v~ b/hello_world.v~ new file mode 100644 index 0000000..c8d64f1 --- /dev/null +++ b/hello_world.v~ @@ -0,0 +1,8 @@ +// First Verilog HelloWorld Program + +module hello_world; + initial begin + $display("Hello World!"); + #10 $finish; + end +endmodule |