aboutsummaryrefslogtreecommitdiffstats
path: root/dhrystone
diff options
context:
space:
mode:
authorClifford Wolf <clifford@clifford.at>2015-06-07 12:11:20 +0200
committerClifford Wolf <clifford@clifford.at>2015-06-07 12:11:20 +0200
commitbbbcea2faa8db842ca92f1f25b1c3ff0400c5160 (patch)
treec75fcc4f773b04b8a796beb13593021a8a70b2fe /dhrystone
parente84f044bc5e740c880ae547e84c3f3a0fe424f51 (diff)
downloadpicorv32-bbbcea2faa8db842ca92f1f25b1c3ff0400c5160.tar.gz
picorv32-bbbcea2faa8db842ca92f1f25b1c3ff0400c5160.zip
Added look-ahead write interface
Diffstat (limited to 'dhrystone')
-rw-r--r--dhrystone/testbench.v45
1 files changed, 26 insertions, 19 deletions
diff --git a/dhrystone/testbench.v b/dhrystone/testbench.v
index bfa9fbc..9e0d329 100644
--- a/dhrystone/testbench.v
+++ b/dhrystone/testbench.v
@@ -19,22 +19,29 @@ module testbench;
wire [31:0] mem_wdata;
wire [3:0] mem_wstrb;
reg [31:0] mem_rdata;
+
wire mem_la_read;
+ wire mem_la_write;
wire [31:0] mem_la_addr;
+ wire [31:0] mem_la_wdata;
+ wire [3:0] mem_la_wstrb;
picorv32 uut (
- .clk (clk ),
- .resetn (resetn ),
- .trap (trap ),
- .mem_valid (mem_valid ),
- .mem_instr (mem_instr ),
- .mem_ready (mem_ready ),
- .mem_addr (mem_addr ),
- .mem_wdata (mem_wdata ),
- .mem_wstrb (mem_wstrb ),
- .mem_rdata (mem_rdata ),
- .mem_la_read(mem_la_read),
- .mem_la_addr(mem_la_addr)
+ .clk (clk ),
+ .resetn (resetn ),
+ .trap (trap ),
+ .mem_valid (mem_valid ),
+ .mem_instr (mem_instr ),
+ .mem_ready (mem_ready ),
+ .mem_addr (mem_addr ),
+ .mem_wdata (mem_wdata ),
+ .mem_wstrb (mem_wstrb ),
+ .mem_rdata (mem_rdata ),
+ .mem_la_read (mem_la_read ),
+ .mem_la_write(mem_la_write),
+ .mem_la_addr (mem_la_addr ),
+ .mem_la_wdata(mem_la_wdata),
+ .mem_la_wstrb(mem_la_wstrb)
);
reg [31:0] memory [0:64*1024/4-1];
@@ -45,19 +52,19 @@ module testbench;
always @(posedge clk) begin
if (mem_la_read)
mem_rdata <= memory[mem_la_addr >> 2];
- if (mem_valid) begin
- case (mem_addr)
+ if (mem_la_write) begin
+ case (mem_la_addr)
32'h1000_0000: begin
`ifndef TIMING
- $write("%c", mem_wdata);
+ $write("%c", mem_la_wdata);
$fflush();
`endif
end
default: begin
- if (mem_wstrb[0]) memory[mem_addr >> 2][ 7: 0] <= mem_wdata[ 7: 0];
- if (mem_wstrb[1]) memory[mem_addr >> 2][15: 8] <= mem_wdata[15: 8];
- if (mem_wstrb[2]) memory[mem_addr >> 2][23:16] <= mem_wdata[23:16];
- if (mem_wstrb[3]) memory[mem_addr >> 2][31:24] <= mem_wdata[31:24];
+ if (mem_la_wstrb[0]) memory[mem_la_addr >> 2][ 7: 0] <= mem_la_wdata[ 7: 0];
+ if (mem_la_wstrb[1]) memory[mem_la_addr >> 2][15: 8] <= mem_la_wdata[15: 8];
+ if (mem_la_wstrb[2]) memory[mem_la_addr >> 2][23:16] <= mem_la_wdata[23:16];
+ if (mem_la_wstrb[3]) memory[mem_la_addr >> 2][31:24] <= mem_la_wdata[31:24];
end
endcase
end