summaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
authorYann Herklotz <ymherklotz@gmail.com>2017-02-22 00:14:38 +0000
committerYann Herklotz <ymherklotz@gmail.com>2017-02-22 00:14:38 +0000
commite318230b35fc130d74f1b4c6b70bbb2d5afe6780 (patch)
tree1a1730eb8f35f6932ce82e349c2dba1c089b1b64
parent0446c43ffae38888dfad9120281acde6a7954509 (diff)
downloadFPGA_Playground-master.tar.gz
FPGA_Playground-master.zip
Working blinking lightHEADmaster
-rw-r--r--BPC3011-Papilio_Pro-general.ucf127
-rw-r--r--FPGA-led-lights.gise151
-rw-r--r--FPGA-led-lights.xise94
-rw-r--r--_ngo/netlist.lst2
-rw-r--r--_xmsgs/bitgen.xmsgs9
-rw-r--r--_xmsgs/map.xmsgs33
-rw-r--r--_xmsgs/ngdbuild.xmsgs1327
-rw-r--r--_xmsgs/par.xmsgs9
-rw-r--r--_xmsgs/trce.xmsgs15
-rw-r--r--_xmsgs/xdl.xmsgs12
-rw-r--r--_xmsgs/xst.xmsgs8
-rwxr-xr-xa.out49
-rw-r--r--iseconfig/FPGA-led-lights.projectmgr19
-rw-r--r--iseconfig/led.xreport4
-rwxr-xr-xled49
-rw-r--r--led.bgn134
-rw-r--r--led.bitbin0 -> 340692 bytes
-rw-r--r--led.bld1657
-rw-r--r--led.cmd_log130
-rw-r--r--led.drc8
-rw-r--r--led.ncd3
-rw-r--r--led.ngc3
-rw-r--r--led.ngd3
-rw-r--r--led.ngr3
-rw-r--r--led.pad174
-rw-r--r--led.par181
-rw-r--r--led.pcf13
-rw-r--r--led.ptwx332
-rw-r--r--led.stx0
-rw-r--r--led.syr334
-rw-r--r--led.twr670
-rw-r--r--led.twx346
-rw-r--r--led.unroutes9
-rw-r--r--led.ut30
-rw-r--r--led.v25
-rw-r--r--led.xdlbin0 -> 3238 bytes
-rw-r--r--led.xpi3
-rw-r--r--led.xst28
-rw-r--r--led_bitgen.xwbt8
-rw-r--r--led_envsettings.html333
-rw-r--r--led_guide.ncd3
-rw-r--r--led_map.map146
-rw-r--r--led_map.mrp196
-rw-r--r--led_map.ncd3
-rw-r--r--led_map.ngm3
-rw-r--r--led_map.xrpt292
-rw-r--r--led_ngdbuild.xrpt106
-rw-r--r--led_pad.csv175
-rw-r--r--led_pad.txt174
-rw-r--r--led_par.xrpt1191
-rw-r--r--led_summary.html339
-rw-r--r--led_summary.xml10
-rw-r--r--led_usage.xml612
-rw-r--r--led_xst.xrpt165
-rw-r--r--pa.fromHdl.tcl13
-rw-r--r--pa.fromNcd.tcl15
-rw-r--r--pa.fromNetlist.tcl11
-rw-r--r--par_usage_statistics.html32
-rw-r--r--planAhead.ngc2edif.log33
-rw-r--r--planAhead_pid24048.debug90
-rw-r--r--planAhead_pid24218.debug91
-rw-r--r--planAhead_pid7603.debug90
-rw-r--r--planAhead_pid7744.debug90
-rw-r--r--planAhead_run_1/FPGA-led-lights.data/constrs_1/fileset.xml16
-rw-r--r--planAhead_run_1/FPGA-led-lights.data/sim_1/fileset.xml13
-rw-r--r--planAhead_run_1/FPGA-led-lights.data/sources_1/fileset.xml17
-rw-r--r--planAhead_run_1/FPGA-led-lights.data/wt/project.wpc4
-rw-r--r--planAhead_run_1/FPGA-led-lights.data/wt/webtalk_pa.xml27
-rw-r--r--planAhead_run_1/FPGA-led-lights.ppr27
-rw-r--r--planAhead_run_1/planAhead.jou11
-rw-r--r--planAhead_run_1/planAhead.log160
-rw-r--r--planAhead_run_1/planAhead_run.log189
-rw-r--r--planAhead_run_2/FPGA-led-lights.data/cache/led_ngc_cb841106.edif1149
-rw-r--r--planAhead_run_2/FPGA-led-lights.data/constrs_1/designprops.xml10
-rw-r--r--planAhead_run_2/FPGA-led-lights.data/constrs_1/fileset.xml22
-rw-r--r--planAhead_run_2/FPGA-led-lights.data/constrs_1/usercols.xml4
-rw-r--r--planAhead_run_2/FPGA-led-lights.data/runs/impl_1.psg20
-rw-r--r--planAhead_run_2/FPGA-led-lights.data/runs/runs.xml5
-rw-r--r--planAhead_run_2/FPGA-led-lights.data/sim_1/fileset.xml10
-rw-r--r--planAhead_run_2/FPGA-led-lights.data/sources_1/chipscope.xml6
-rw-r--r--planAhead_run_2/FPGA-led-lights.data/sources_1/fileset.xml24
-rw-r--r--planAhead_run_2/FPGA-led-lights.data/sources_1/ports.xml8
-rw-r--r--planAhead_run_2/FPGA-led-lights.data/wt/java_command_handlers.wdf3
-rw-r--r--planAhead_run_2/FPGA-led-lights.data/wt/project.wpc4
-rw-r--r--planAhead_run_2/FPGA-led-lights.data/wt/webtalk_pa.xml29
-rw-r--r--planAhead_run_2/FPGA-led-lights.ppr28
-rw-r--r--planAhead_run_2/planAhead.jou17
-rw-r--r--planAhead_run_2/planAhead.log182
-rw-r--r--planAhead_run_2/planAhead_run.log179
-rw-r--r--planAhead_run_3/FPGA-led-lights.data/cache/led_ngc_cb841106.edif1286
-rw-r--r--planAhead_run_3/FPGA-led-lights.data/constrs_1/fileset.xml16
-rw-r--r--planAhead_run_3/FPGA-led-lights.data/runs/impl_1.psg20
-rw-r--r--planAhead_run_3/FPGA-led-lights.data/runs/runs.xml5
-rw-r--r--planAhead_run_3/FPGA-led-lights.data/sim_1/fileset.xml10
-rw-r--r--planAhead_run_3/FPGA-led-lights.data/sources_1/fileset.xml18
-rw-r--r--planAhead_run_3/FPGA-led-lights.data/wt/project.wpc4
-rw-r--r--planAhead_run_3/FPGA-led-lights.data/wt/webtalk_pa.xml26
-rw-r--r--planAhead_run_3/FPGA-led-lights.ppr28
-rw-r--r--planAhead_run_3/planAhead.jou10
-rw-r--r--planAhead_run_3/planAhead.log70
-rw-r--r--planAhead_run_3/planAhead_run.log61
-rw-r--r--planAhead_run_4/FPGA-led-lights.data/cache/led_ngc_cb841106.edif1286
-rw-r--r--planAhead_run_4/FPGA-led-lights.data/constrs_1/fileset.xml16
-rw-r--r--planAhead_run_4/FPGA-led-lights.data/runs/impl_1.psg20
-rw-r--r--planAhead_run_4/FPGA-led-lights.data/runs/runs.xml5
-rw-r--r--planAhead_run_4/FPGA-led-lights.data/sim_1/fileset.xml10
-rw-r--r--planAhead_run_4/FPGA-led-lights.data/sources_1/fileset.xml18
-rw-r--r--planAhead_run_4/FPGA-led-lights.data/wt/java_command_handlers.wdf4
-rw-r--r--planAhead_run_4/FPGA-led-lights.data/wt/project.wpc4
-rw-r--r--planAhead_run_4/FPGA-led-lights.data/wt/webtalk_pa.xml30
-rw-r--r--planAhead_run_4/FPGA-led-lights.ppr28
-rw-r--r--planAhead_run_4/planAhead.jou14
-rw-r--r--planAhead_run_4/planAhead.log96
-rw-r--r--planAhead_run_4/planAhead_run.log105
-rw-r--r--usage_statistics_webtalk.html943
-rw-r--r--webtalk.log16
-rw-r--r--webtalk_pn.xml18
-rw-r--r--xlnx_auto_0_xdb/cst.xbcdbin0 -> 11389 bytes
-rw-r--r--xst/work/hdllib.ref2
-rw-r--r--xst/work/vlg69/led.binbin271 -> 1581 bytes
-rw-r--r--xst/work/work.sdblbin0 -> 1646 bytes
-rw-r--r--xst/work/work.sdbxbin0 -> 73 bytes
122 files changed, 16283 insertions, 265 deletions
diff --git a/BPC3011-Papilio_Pro-general.ucf b/BPC3011-Papilio_Pro-general.ucf
new file mode 100644
index 0000000..845fdf2
--- /dev/null
+++ b/BPC3011-Papilio_Pro-general.ucf
@@ -0,0 +1,127 @@
+# UCF file for the Papilio Pro board
+# Generated by pin_converter, written by Kevin Lindsey
+# https://github.com/thelonious/papilio_pins/tree/development/pin_converter
+
+# Main board wing pin [] to FPGA pin Pxx map
+# -------C------- -------B------- -------A-------
+# [GND] [C00] P114 [GND] [B00] P99 P100 [A15]
+# [2V5] [C01] P115 [2V5] [B01] P97 P98 [A14]
+# [3V3] [C02] P116 [3V3] [B02] P92 P93 [A13]
+# [5V0] [C03] P117 [5V0] [B03] P87 P88 [A12]
+# [C04] P118 [B04] P84 P85 [A11] [5V0]
+# [C05] P119 [B05] P82 P83 [A10] [3V3]
+# [C06] P120 [B06] P80 P81 [A09] [2V5]
+# [C07] P121 [B07] P78 P79 [A08] [GND]
+# [GND] [C08] P123 [GND] [B08] P74 P75 [A07]
+# [2V5] [C09] P124 [2V5] [B09] P95 P67 [A06]
+# [3V3] [C10] P126 [3V3] [B10] P62 P66 [A05]
+# [5V0] [C11] P127 [5V0] [B11] P59 P61 [A04]
+# [C12] P131 [B12] P57 P58 [A03] [5V0]
+# [C13] P132 [B13] P55 P56 [A02] [3V3]
+# [C14] P133 [B14] P50 P51 [A01] [2V5]
+# [C15] P134 [B15] P47 P48 [A00] [GND]
+
+## Prohibit the automatic placement of pins that are connected to VCC or GND for configuration.
+CONFIG PROHIBIT=P144;
+CONFIG PROHIBIT=P69;
+CONFIG PROHIBIT=P60;
+
+NET CLK LOC="P94" | IOSTANDARD=LVTTL | PERIOD=31.25ns; # CLK
+NET RX LOC="P101" | IOSTANDARD=LVTTL | DRIVE=8 | SLEW=FAST; # RX
+#NET TX LOC="P105" | IOSTANDARD=LVTTL | DRIVE=8 | SLEW=FAST | PULLUP; # TX
+NET A(0) LOC="P48" | IOSTANDARD=LVTTL; # A0
+NET A(1) LOC="P51" | IOSTANDARD=LVTTL; # A1
+NET A(2) LOC="P56" | IOSTANDARD=LVTTL; # A2
+NET A(3) LOC="P58" | IOSTANDARD=LVTTL; # A3
+NET A(4) LOC="P61" | IOSTANDARD=LVTTL; # A4
+NET A(5) LOC="P66" | IOSTANDARD=LVTTL; # A5
+NET A(6) LOC="P67" | IOSTANDARD=LVTTL; # A6
+NET A(7) LOC="P75" | IOSTANDARD=LVTTL; # A7
+NET A(8) LOC="P79" | IOSTANDARD=LVTTL; # A8
+NET A(9) LOC="P81" | IOSTANDARD=LVTTL; # A9
+NET A(10) LOC="P83" | IOSTANDARD=LVTTL; # A10
+NET A(11) LOC="P85" | IOSTANDARD=LVTTL; # A11
+NET A(12) LOC="P88" | IOSTANDARD=LVTTL; # A12
+NET A(13) LOC="P93" | IOSTANDARD=LVTTL; # A13
+NET A(14) LOC="P98" | IOSTANDARD=LVTTL; # A14
+NET A(15) LOC="P100" | IOSTANDARD=LVTTL; # A15
+NET B(0) LOC="P99" | IOSTANDARD=LVTTL; # B0
+NET B(1) LOC="P97" | IOSTANDARD=LVTTL; # B1
+NET B(2) LOC="P92" | IOSTANDARD=LVTTL; # B2
+NET B(3) LOC="P87" | IOSTANDARD=LVTTL; # B3
+NET B(4) LOC="P84" | IOSTANDARD=LVTTL; # B4
+NET B(5) LOC="P82" | IOSTANDARD=LVTTL; # B5
+NET B(6) LOC="P80" | IOSTANDARD=LVTTL; # B6
+NET B(7) LOC="P78" | IOSTANDARD=LVTTL; # B7
+NET B(8) LOC="P74" | IOSTANDARD=LVTTL; # B8
+NET B(9) LOC="P95" | IOSTANDARD=LVTTL; # B9
+NET B(10) LOC="P62" | IOSTANDARD=LVTTL; # B10
+NET B(11) LOC="P59" | IOSTANDARD=LVTTL; # B11
+NET B(12) LOC="P57" | IOSTANDARD=LVTTL; # B12
+NET B(13) LOC="P55" | IOSTANDARD=LVTTL; # B13
+NET B(14) LOC="P50" | IOSTANDARD=LVTTL; # B14
+NET B(15) LOC="P47" | IOSTANDARD=LVTTL; # B15
+NET C(0) LOC="P114" | IOSTANDARD=LVTTL; # C0
+NET C(1) LOC="P115" | IOSTANDARD=LVTTL; # C1
+NET C(2) LOC="P116" | IOSTANDARD=LVTTL; # C2
+NET C(3) LOC="P117" | IOSTANDARD=LVTTL; # C3
+NET C(4) LOC="P118" | IOSTANDARD=LVTTL; # C4
+NET C(5) LOC="P119" | IOSTANDARD=LVTTL; # C5
+NET C(6) LOC="P120" | IOSTANDARD=LVTTL; # C6
+NET C(7) LOC="P121" | IOSTANDARD=LVTTL; # C7
+NET C(8) LOC="P123" | IOSTANDARD=LVTTL; # C8
+NET C(9) LOC="P124" | IOSTANDARD=LVTTL; # C9
+NET C(10) LOC="P126" | IOSTANDARD=LVTTL; # C10
+NET C(11) LOC="P127" | IOSTANDARD=LVTTL; # C11
+NET C(12) LOC="P131" | IOSTANDARD=LVTTL; # C12
+NET C(13) LOC="P132" | IOSTANDARD=LVTTL; # C13
+NET C(14) LOC="P133" | IOSTANDARD=LVTTL; # C14
+NET C(15) LOC="P134" | IOSTANDARD=LVTTL; # C15
+NET SDRAM_ADDR(0) LOC="P140" | IOSTANDARD=LVTTL; # SDRAM_ADDR0
+NET SDRAM_ADDR(1) LOC="P139" | IOSTANDARD=LVTTL; # SDRAM_ADDR1
+NET SDRAM_ADDR(2) LOC="P138" | IOSTANDARD=LVTTL; # SDRAM_ADDR2
+NET SDRAM_ADDR(3) LOC="P137" | IOSTANDARD=LVTTL; # SDRAM_ADDR3
+NET SDRAM_ADDR(4) LOC="P46" | IOSTANDARD=LVTTL; # SDRAM_ADDR4
+NET SDRAM_ADDR(5) LOC="P45" | IOSTANDARD=LVTTL; # SDRAM_ADDR5
+NET SDRAM_ADDR(6) LOC="P44" | IOSTANDARD=LVTTL; # SDRAM_ADDR6
+NET SDRAM_ADDR(7) LOC="P43" | IOSTANDARD=LVTTL; # SDRAM_ADDR7
+NET SDRAM_ADDR(8) LOC="P41" | IOSTANDARD=LVTTL; # SDRAM_ADDR8
+NET SDRAM_ADDR(9) LOC="P40" | IOSTANDARD=LVTTL; # SDRAM_ADDR9
+NET SDRAM_ADDR(10) LOC="P141" | IOSTANDARD=LVTTL; # SDRAM_ADDR10
+NET SDRAM_ADDR(11) LOC="P35" | IOSTANDARD=LVTTL; # SDRAM_ADDR11
+NET SDRAM_ADDR(12) LOC="P34" | IOSTANDARD=LVTTL; # SDRAM_ADDR12
+NET SDRAM_DATA(0) LOC="P9" | IOSTANDARD=LVTTL; # SDRAM_DATA0
+NET SDRAM_DATA(1) LOC="P10" | IOSTANDARD=LVTTL; # SDRAM_DATA1
+NET SDRAM_DATA(2) LOC="P11" | IOSTANDARD=LVTTL; # SDRAM_DATA2
+NET SDRAM_DATA(3) LOC="P12" | IOSTANDARD=LVTTL; # SDRAM_DATA3
+NET SDRAM_DATA(4) LOC="P14" | IOSTANDARD=LVTTL; # SDRAM_DATA4
+NET SDRAM_DATA(5) LOC="P15" | IOSTANDARD=LVTTL; # SDRAM_DATA5
+NET SDRAM_DATA(6) LOC="P16" | IOSTANDARD=LVTTL; # SDRAM_DATA6
+NET SDRAM_DATA(7) LOC="P8" | IOSTANDARD=LVTTL; # SDRAM_DATA7
+NET SDRAM_DATA(8) LOC="P21" | IOSTANDARD=LVTTL; # SDRAM_DATA8
+NET SDRAM_DATA(9) LOC="P22" | IOSTANDARD=LVTTL; # SDRAM_DATA9
+NET SDRAM_DATA(10) LOC="P23" | IOSTANDARD=LVTTL; # SDRAM_DATA10
+NET SDRAM_DATA(11) LOC="P24" | IOSTANDARD=LVTTL; # SDRAM_DATA11
+NET SDRAM_DATA(12) LOC="P26" | IOSTANDARD=LVTTL; # SDRAM_DATA12
+NET SDRAM_DATA(13) LOC="P27" | IOSTANDARD=LVTTL; # SDRAM_DATA13
+NET SDRAM_DATA(14) LOC="P29" | IOSTANDARD=LVTTL; # SDRAM_DATA14
+NET SDRAM_DATA(15) LOC="P30" | IOSTANDARD=LVTTL; # SDRAM_DATA15
+NET SDRAM_DQML LOC="P7" | IOSTANDARD=LVTTL; # SDRAM_DQML
+NET SDRAM_DQMH LOC="P17" | IOSTANDARD=LVTTL; # SDRAM_DQMH
+NET SDRAM_BA(0) LOC="P143" | IOSTANDARD=LVTTL; # SDRAM_BA0
+NET SDRAM_BA(1) LOC="P142" | IOSTANDARD=LVTTL; # SDRAM_BA1
+NET SDRAM_nWE LOC="P6" | IOSTANDARD=LVTTL; # SDRAM_nWE
+NET SDRAM_nCAS LOC="P5" | IOSTANDARD=LVTTL; # SDRAM_nCAS
+NET SDRAM_nRAS LOC="P2" | IOSTANDARD=LVTTL; # SDRAM_nRAS
+NET SDRAM_CS LOC="P1" | IOSTANDARD=LVTTL; # SDRAM_CS
+NET SDRAM_CLK LOC="P32" | IOSTANDARD=LVTTL; # SDRAM_CLK
+NET SDRAM_CKE LOC="P33" | IOSTANDARD=LVTTL; # SDRAM_CKE
+NET LED1 LOC="P112" | IOSTANDARD=LVTTL | DRIVE=8 | SLEW=SLOW; # LED1
+NET JTAG_TMS LOC="P107" | IOSTANDARD=LVTTL | DRIVE=8 | SLEW=FAST; # JTAG_TMS
+NET JTAG_TCK LOC="P109" | IOSTANDARD=LVTTL | DRIVE=8 | SLEW=FAST; # JTAG_TCK
+NET JTAG_TDI LOC="P110" | IOSTANDARD=LVTTL | DRIVE=8 | SLEW=FAST; # JTAG_TDI
+NET JTAG_TDO LOC="P106" | IOSTANDARD=LVTTL | DRIVE=8 | SLEW=FAST; # JTAG_TDO
+NET FLASH_CS LOC="P38" | IOSTANDARD=LVTTL | DRIVE=8 | SLEW=FAST; # FLASH_CS
+NET FLASH_CK LOC="P70" | IOSTANDARD=LVTTL | DRIVE=8 | SLEW=FAST; # FLASH_CK
+NET FLASH_SI LOC="P64" | IOSTANDARD=LVTTL | DRIVE=8 | SLEW=FAST; # FLASH_SI
+#NET FLASH_SO LOC="P65" | IOSTANDARD=LVTTL | DRIVE=8 | SLEW=FAST | PULLUP; # FLASH_SO
diff --git a/FPGA-led-lights.gise b/FPGA-led-lights.gise
index 481f264..d852a79 100644
--- a/FPGA-led-lights.gise
+++ b/FPGA-led-lights.gise
@@ -22,64 +22,195 @@
<sourceproject xmlns="http://www.xilinx.com/XMLSchema" xil_pn:fileType="FILE_XISE" xil_pn:name="FPGA-led-lights.xise"/>
<files xmlns="http://www.xilinx.com/XMLSchema">
+ <file xil_pn:fileType="FILE_DIRECTORY" xil_pn:name="_ngo"/>
+ <file xil_pn:fileType="FILE_XMSGS" xil_pn:name="_xmsgs/bitgen.xmsgs"/>
+ <file xil_pn:fileType="FILE_XMSGS" xil_pn:name="_xmsgs/map.xmsgs"/>
+ <file xil_pn:fileType="FILE_XMSGS" xil_pn:name="_xmsgs/ngdbuild.xmsgs"/>
+ <file xil_pn:fileType="FILE_XMSGS" xil_pn:name="_xmsgs/par.xmsgs"/>
+ <file xil_pn:fileType="FILE_XMSGS" xil_pn:name="_xmsgs/trce.xmsgs"/>
<file xil_pn:fileType="FILE_XMSGS" xil_pn:name="_xmsgs/xst.xmsgs"/>
+ <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_BITGEN_REPORT" xil_pn:name="led.bgn" xil_pn:subbranch="FPGAConfiguration"/>
+ <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_BIT" xil_pn:name="led.bit" xil_pn:subbranch="FPGAConfiguration"/>
+ <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_NGDBUILD_LOG" xil_pn:name="led.bld"/>
<file xil_pn:fileType="FILE_CMD_LOG" xil_pn:name="led.cmd_log"/>
+ <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_BITGEN_DRC" xil_pn:name="led.drc" xil_pn:subbranch="FPGAConfiguration"/>
<file xil_pn:branch="Implementation" xil_pn:fileType="FILE_LSO" xil_pn:name="led.lso"/>
+ <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_NCD" xil_pn:name="led.ncd" xil_pn:subbranch="Par"/>
+ <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_NGC" xil_pn:name="led.ngc"/>
+ <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_NGD" xil_pn:name="led.ngd"/>
+ <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_NGR" xil_pn:name="led.ngr"/>
+ <file xil_pn:fileType="FILE_PAD_MISC" xil_pn:name="led.pad"/>
+ <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_PAR_REPORT" xil_pn:name="led.par" xil_pn:subbranch="Par"/>
+ <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_PCF" xil_pn:name="led.pcf" xil_pn:subbranch="Map"/>
<file xil_pn:branch="Implementation" xil_pn:fileType="FILE_XST_PROJECT" xil_pn:name="led.prj"/>
+ <file xil_pn:fileType="FILE_TRCE_MISC" xil_pn:name="led.ptwx"/>
+ <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_XST_STX" xil_pn:name="led.stx"/>
<file xil_pn:branch="Implementation" xil_pn:fileType="FILE_XST_REPORT" xil_pn:name="led.syr"/>
+ <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_TIMING_TXT_REPORT" xil_pn:name="led.twr" xil_pn:subbranch="Par"/>
+ <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_TIMING_XML_REPORT" xil_pn:name="led.twx" xil_pn:subbranch="Par"/>
+ <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_UNROUTES" xil_pn:name="led.unroutes" xil_pn:subbranch="Par"/>
+ <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_BITGEN_REPORT" xil_pn:name="led.ut" xil_pn:subbranch="FPGAConfiguration"/>
+ <file xil_pn:fileType="FILE_XPI" xil_pn:name="led.xpi"/>
<file xil_pn:branch="Implementation" xil_pn:fileType="FILE_XST" xil_pn:name="led.xst"/>
+ <file xil_pn:fileType="FILE_HTML" xil_pn:name="led_envsettings.html"/>
+ <file xil_pn:fileType="FILE_NCD" xil_pn:name="led_guide.ncd" xil_pn:origination="imported"/>
+ <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_MAP_REPORT" xil_pn:name="led_map.map" xil_pn:subbranch="Map"/>
+ <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_MAP_REPORT" xil_pn:name="led_map.mrp" xil_pn:subbranch="Map"/>
+ <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_NCD" xil_pn:name="led_map.ncd" xil_pn:subbranch="Map"/>
+ <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_NGM" xil_pn:name="led_map.ngm" xil_pn:subbranch="Map"/>
+ <file xil_pn:fileType="FILE_XRPT" xil_pn:name="led_map.xrpt"/>
+ <file xil_pn:fileType="FILE_XRPT" xil_pn:name="led_ngdbuild.xrpt"/>
+ <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_PAD_EXCEL_REPORT" xil_pn:name="led_pad.csv" xil_pn:subbranch="Par"/>
+ <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_PAD_TXT_REPORT" xil_pn:name="led_pad.txt" xil_pn:subbranch="Par"/>
+ <file xil_pn:fileType="FILE_XRPT" xil_pn:name="led_par.xrpt"/>
<file xil_pn:fileType="FILE_HTML" xil_pn:name="led_summary.html"/>
+ <file xil_pn:fileType="FILE_FITTER_REPORT" xil_pn:name="led_summary.xml"/>
+ <file xil_pn:fileType="FILE_WEBTALK" xil_pn:name="led_usage.xml"/>
<file xil_pn:fileType="FILE_XRPT" xil_pn:name="led_xst.xrpt"/>
+ <file xil_pn:fileType="FILE_DIRECTORY" xil_pn:name="planAhead_run_1"/>
+ <file xil_pn:fileType="FILE_DIRECTORY" xil_pn:name="planAhead_run_2"/>
+ <file xil_pn:fileType="FILE_DIRECTORY" xil_pn:name="planAhead_run_3"/>
+ <file xil_pn:fileType="FILE_DIRECTORY" xil_pn:name="planAhead_run_4"/>
+ <file xil_pn:fileType="FILE_HTML" xil_pn:name="usage_statistics_webtalk.html"/>
+ <file xil_pn:fileType="FILE_LOG" xil_pn:name="webtalk.log"/>
<file xil_pn:fileType="FILE_FITTER_REPORT" xil_pn:name="webtalk_pn.xml"/>
+ <file xil_pn:fileType="FILE_DIRECTORY" xil_pn:name="xlnx_auto_0_xdb"/>
<file xil_pn:fileType="FILE_DIRECTORY" xil_pn:name="xst"/>
</files>
<transforms xmlns="http://www.xilinx.com/XMLSchema">
- <transform xil_pn:end_ts="1487546129" xil_pn:name="TRAN_copyInitialToXSTAbstractSynthesis" xil_pn:start_ts="1487546128">
+ <transform xil_pn:end_ts="1487712773" xil_pn:name="TRAN_copyInitialToXSTAbstractSynthesis" xil_pn:start_ts="1487712773">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
</transform>
- <transform xil_pn:end_ts="1487546129" xil_pn:name="TRAN_schematicsToHdl" xil_pn:prop_ck="6442174705589123182" xil_pn:start_ts="1487546129">
+ <transform xil_pn:end_ts="1487712773" xil_pn:name="TRAN_schematicsToHdl" xil_pn:prop_ck="2687710351385008554" xil_pn:start_ts="1487712773">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
</transform>
- <transform xil_pn:end_ts="1487546129" xil_pn:name="TRAN_regenerateCores" xil_pn:prop_ck="-1227048648073386772" xil_pn:start_ts="1487546129">
+ <transform xil_pn:end_ts="1487713076" xil_pn:name="TRAN_regenerateCores" xil_pn:prop_ck="-8564384985097914375" xil_pn:start_ts="1487713076">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
</transform>
- <transform xil_pn:end_ts="1487546129" xil_pn:name="TRAN_SubProjectAbstractToPreProxy" xil_pn:start_ts="1487546129">
+ <transform xil_pn:end_ts="1487712773" xil_pn:name="TRAN_SubProjectAbstractToPreProxy" xil_pn:start_ts="1487712773">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
</transform>
- <transform xil_pn:end_ts="1487546129" xil_pn:name="TRAN_xawsTohdl" xil_pn:prop_ck="2590979178147000940" xil_pn:start_ts="1487546129">
+ <transform xil_pn:end_ts="1487712773" xil_pn:name="TRAN_xawsTohdl" xil_pn:prop_ck="2590979178147000940" xil_pn:start_ts="1487712773">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
</transform>
- <transform xil_pn:end_ts="1487546129" xil_pn:name="TRAN_SubProjectPreToStructuralProxy" xil_pn:prop_ck="5199527252420087910" xil_pn:start_ts="1487546129">
+ <transform xil_pn:end_ts="1487712773" xil_pn:name="TRAN_SubProjectPreToStructuralProxy" xil_pn:prop_ck="250970745955965653" xil_pn:start_ts="1487712773">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
</transform>
- <transform xil_pn:end_ts="1487546129" xil_pn:name="TRAN_platgen" xil_pn:prop_ck="-421007744913001546" xil_pn:start_ts="1487546129">
+ <transform xil_pn:end_ts="1487713076" xil_pn:name="TRAN_platgen" xil_pn:prop_ck="8229807836551992707" xil_pn:start_ts="1487713076">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
</transform>
- <transform xil_pn:end_ts="1487546132" xil_pn:in_ck="131819641" xil_pn:name="TRANEXT_xstsynthesize_spartan3e" xil_pn:prop_ck="-9170951106228638093" xil_pn:start_ts="1487546129">
- <status xil_pn:value="FailedRun"/>
+ <transform xil_pn:end_ts="1487715017" xil_pn:in_ck="131819641" xil_pn:name="TRANEXT_xstsynthesize_spartan6" xil_pn:prop_ck="4753490412739147880" xil_pn:start_ts="1487715011">
+ <status xil_pn:value="SuccessfullyRun"/>
+ <status xil_pn:value="WarningsGenerated"/>
<status xil_pn:value="ReadyToRun"/>
<status xil_pn:value="OutOfDateForInputs"/>
+ <status xil_pn:value="OutOfDateForOutputs"/>
<status xil_pn:value="InputChanged"/>
+ <status xil_pn:value="OutputChanged"/>
<outfile xil_pn:name="_xmsgs/xst.xmsgs"/>
<outfile xil_pn:name="led.lso"/>
+ <outfile xil_pn:name="led.ngc"/>
+ <outfile xil_pn:name="led.ngr"/>
<outfile xil_pn:name="led.prj"/>
+ <outfile xil_pn:name="led.stx"/>
<outfile xil_pn:name="led.syr"/>
<outfile xil_pn:name="led.xst"/>
<outfile xil_pn:name="led_xst.xrpt"/>
<outfile xil_pn:name="webtalk_pn.xml"/>
<outfile xil_pn:name="xst"/>
</transform>
- <transform xil_pn:end_ts="1487546132" xil_pn:in_ck="8586762664122563020" xil_pn:name="TRAN_compileBCD2" xil_pn:prop_ck="3734212952602903" xil_pn:start_ts="1487546132">
+ <transform xil_pn:end_ts="1487715399" xil_pn:in_ck="4834887014787387356" xil_pn:name="TRAN_compileBCD2" xil_pn:prop_ck="3734212952602903" xil_pn:start_ts="1487715399">
+ <status xil_pn:value="SuccessfullyRun"/>
+ <status xil_pn:value="ReadyToRun"/>
+ </transform>
+ <transform xil_pn:end_ts="1487715404" xil_pn:in_ck="-6554730637971917129" xil_pn:name="TRANEXT_ngdbuild_FPGA" xil_pn:prop_ck="-5807652207078553553" xil_pn:start_ts="1487715399">
+ <status xil_pn:value="SuccessfullyRun"/>
+ <status xil_pn:value="WarningsGenerated"/>
+ <status xil_pn:value="ReadyToRun"/>
+ <status xil_pn:value="OutOfDateForPredecessor"/>
+ <outfile xil_pn:name="_ngo"/>
+ <outfile xil_pn:name="_xmsgs/ngdbuild.xmsgs"/>
+ <outfile xil_pn:name="led.bld"/>
+ <outfile xil_pn:name="led.ngd"/>
+ <outfile xil_pn:name="led_ngdbuild.xrpt"/>
+ </transform>
+ <transform xil_pn:end_ts="1487715414" xil_pn:in_ck="-6554730637971917128" xil_pn:name="TRANEXT_map_spartan6" xil_pn:prop_ck="1448924893915930207" xil_pn:start_ts="1487715407">
+ <status xil_pn:value="SuccessfullyRun"/>
+ <status xil_pn:value="ReadyToRun"/>
+ <status xil_pn:value="OutOfDateForPredecessor"/>
+ <status xil_pn:value="OutOfDateForOutputs"/>
+ <status xil_pn:value="OutputChanged"/>
+ <outfile xil_pn:name="_xmsgs/map.xmsgs"/>
+ <outfile xil_pn:name="led.pcf"/>
+ <outfile xil_pn:name="led_map.map"/>
+ <outfile xil_pn:name="led_map.mrp"/>
+ <outfile xil_pn:name="led_map.ncd"/>
+ <outfile xil_pn:name="led_map.ngm"/>
+ <outfile xil_pn:name="led_map.xrpt"/>
+ <outfile xil_pn:name="led_summary.xml"/>
+ <outfile xil_pn:name="led_usage.xml"/>
+ </transform>
+ <transform xil_pn:end_ts="1487715423" xil_pn:in_ck="-8547227296926146095" xil_pn:name="TRANEXT_par_spartan6" xil_pn:prop_ck="93661965788626211" xil_pn:start_ts="1487715414">
+ <status xil_pn:value="SuccessfullyRun"/>
+ <status xil_pn:value="ReadyToRun"/>
+ <status xil_pn:value="OutOfDateForPredecessor"/>
+ <outfile xil_pn:name="_xmsgs/par.xmsgs"/>
+ <outfile xil_pn:name="led.ncd"/>
+ <outfile xil_pn:name="led.pad"/>
+ <outfile xil_pn:name="led.par"/>
+ <outfile xil_pn:name="led.ptwx"/>
+ <outfile xil_pn:name="led.unroutes"/>
+ <outfile xil_pn:name="led.xpi"/>
+ <outfile xil_pn:name="led_pad.csv"/>
+ <outfile xil_pn:name="led_pad.txt"/>
+ <outfile xil_pn:name="led_par.xrpt"/>
+ </transform>
+ <transform xil_pn:end_ts="1487715430" xil_pn:in_ck="143551583704" xil_pn:name="TRANEXT_bitFile_spartan6" xil_pn:prop_ck="5341574683187206424" xil_pn:start_ts="1487715423">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
+ <status xil_pn:value="OutOfDateForPredecessor"/>
+ <outfile xil_pn:name="_xmsgs/bitgen.xmsgs"/>
+ <outfile xil_pn:name="led.bgn"/>
+ <outfile xil_pn:name="led.bit"/>
+ <outfile xil_pn:name="led.drc"/>
+ <outfile xil_pn:name="led.ut"/>
+ <outfile xil_pn:name="usage_statistics_webtalk.html"/>
+ <outfile xil_pn:name="webtalk.log"/>
+ <outfile xil_pn:name="webtalk_pn.xml"/>
+ </transform>
+ <transform xil_pn:end_ts="1487713792" xil_pn:in_ck="-3553499765603855836" xil_pn:name="TRAN_fpgaFloorplanPostPAR" xil_pn:start_ts="1487713790">
+ <status xil_pn:value="SuccessfullyRun"/>
+ <status xil_pn:value="ReadyToRun"/>
+ <status xil_pn:value="OutOfDateForInputs"/>
+ <status xil_pn:value="OutOfDateForPredecessor"/>
+ <status xil_pn:value="InputAdded"/>
+ <status xil_pn:value="InputChanged"/>
+ <status xil_pn:value="InputRemoved"/>
+ </transform>
+ <transform xil_pn:end_ts="1487715423" xil_pn:in_ck="-6554730637971917260" xil_pn:name="TRAN_postRouteTrce" xil_pn:prop_ck="445577401284416184" xil_pn:start_ts="1487715419">
+ <status xil_pn:value="SuccessfullyRun"/>
+ <status xil_pn:value="ReadyToRun"/>
+ <status xil_pn:value="OutOfDateForPredecessor"/>
+ <outfile xil_pn:name="_xmsgs/trce.xmsgs"/>
+ <outfile xil_pn:name="led.twr"/>
+ <outfile xil_pn:name="led.twx"/>
+ </transform>
+ <transform xil_pn:end_ts="1487713747" xil_pn:in_ck="-3553499765603855704" xil_pn:name="TRAN_createTimingConstraints" xil_pn:start_ts="1487713741">
+ <status xil_pn:value="FailedRun"/>
+ <status xil_pn:value="ReadyToRun"/>
+ <status xil_pn:value="OutOfDateForInputs"/>
+ <status xil_pn:value="OutOfDateForPredecessor"/>
+ <status xil_pn:value="InputAdded"/>
+ <status xil_pn:value="InputChanged"/>
+ <status xil_pn:value="InputRemoved"/>
</transform>
</transforms>
diff --git a/FPGA-led-lights.xise b/FPGA-led-lights.xise
index c727728..1e233b5 100644
--- a/FPGA-led-lights.xise
+++ b/FPGA-led-lights.xise
@@ -19,17 +19,19 @@
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="1"/>
<association xil_pn:name="Implementation" xil_pn:seqID="1"/>
</file>
- <file xil_pn:name="../../Downloads/BPC3011-Papilio_Pro-general.ucf" xil_pn:type="FILE_UCF">
- <association xil_pn:name="Implementation" xil_pn:seqID="0"/>
+ <file xil_pn:name="BPC3011-Papilio_Pro-general.ucf" xil_pn:type="FILE_UCF">
+ <association xil_pn:name="Implementation" xil_pn:seqID="57"/>
</file>
</files>
<properties>
+ <property xil_pn:name="AES Initial Vector spartan6" xil_pn:value="" xil_pn:valueState="default"/>
+ <property xil_pn:name="AES Key (Hex String) spartan6" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Add I/O Buffers" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Allow Logic Optimization Across Hierarchy" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Allow SelectMAP Pins to Persist" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Allow Unexpanded Blocks" xil_pn:value="false" xil_pn:valueState="default"/>
- <property xil_pn:name="Allow Unmatched LOC Constraints" xil_pn:value="false" xil_pn:valueState="default"/>
+ <property xil_pn:name="Allow Unmatched LOC Constraints" xil_pn:value="true" xil_pn:valueState="non-default"/>
<property xil_pn:name="Allow Unmatched Timing Group Constraints" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Analysis Effort Level" xil_pn:value="Standard" xil_pn:valueState="default"/>
<property xil_pn:name="Asynchronous To Synchronous" xil_pn:value="false" xil_pn:valueState="default"/>
@@ -45,8 +47,8 @@
<property xil_pn:name="CLB Pack Factor Percentage" xil_pn:value="100" xil_pn:valueState="default"/>
<property xil_pn:name="Case" xil_pn:value="Maintain" xil_pn:valueState="default"/>
<property xil_pn:name="Case Implementation Style" xil_pn:value="None" xil_pn:valueState="default"/>
- <property xil_pn:name="Change Device Speed To" xil_pn:value="-4" xil_pn:valueState="default"/>
- <property xil_pn:name="Change Device Speed To Post Trace" xil_pn:value="-4" xil_pn:valueState="default"/>
+ <property xil_pn:name="Change Device Speed To" xil_pn:value="-2" xil_pn:valueState="default"/>
+ <property xil_pn:name="Change Device Speed To Post Trace" xil_pn:value="-2" xil_pn:valueState="default"/>
<property xil_pn:name="Combinatorial Logic Optimization" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Compile EDK Simulation Library" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Compile SIMPRIM (Timing) Simulation Library" xil_pn:value="true" xil_pn:valueState="default"/>
@@ -59,40 +61,50 @@
<property xil_pn:name="Configuration Pin M1" xil_pn:value="Pull Up" xil_pn:valueState="default"/>
<property xil_pn:name="Configuration Pin M2" xil_pn:value="Pull Up" xil_pn:valueState="default"/>
<property xil_pn:name="Configuration Pin Program" xil_pn:value="Pull Up" xil_pn:valueState="default"/>
- <property xil_pn:name="Configuration Rate" xil_pn:value="Default (1)" xil_pn:valueState="default"/>
+ <property xil_pn:name="Configuration Rate" xil_pn:value="4" xil_pn:valueState="default"/>
+ <property xil_pn:name="Configuration Rate spartan6" xil_pn:value="2" xil_pn:valueState="default"/>
<property xil_pn:name="Correlate Output to Input Design" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Create ASCII Configuration File" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Create Binary Configuration File" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Create Bit File" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Create I/O Pads from Ports" xil_pn:value="false" xil_pn:valueState="default"/>
- <property xil_pn:name="Create IEEE 1532 Configuration File" xil_pn:value="false" xil_pn:valueState="default"/>
+ <property xil_pn:name="Create IEEE 1532 Configuration File spartan6" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Create Logic Allocation File" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Create Mask File" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Create ReadBack Data Files" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Cross Clock Analysis" xil_pn:value="false" xil_pn:valueState="default"/>
- <property xil_pn:name="DCI Update Mode" xil_pn:value="As Required" xil_pn:valueState="default"/>
<property xil_pn:name="DSP Utilization Ratio" xil_pn:value="100" xil_pn:valueState="default"/>
<property xil_pn:name="Decoder Extraction" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Delay Values To Be Read from SDF" xil_pn:value="Setup Time" xil_pn:valueState="default"/>
- <property xil_pn:name="Device" xil_pn:value="xc3s250e" xil_pn:valueState="non-default"/>
- <property xil_pn:name="Device Family" xil_pn:value="Spartan3E" xil_pn:valueState="non-default"/>
- <property xil_pn:name="Device Speed Grade/Select ABS Minimum" xil_pn:value="-4" xil_pn:valueState="default"/>
+ <property xil_pn:name="Device" xil_pn:value="xc6slx9" xil_pn:valueState="non-default"/>
+ <property xil_pn:name="Device Family" xil_pn:value="Spartan6" xil_pn:valueState="non-default"/>
+ <property xil_pn:name="Device Speed Grade/Select ABS Minimum" xil_pn:value="-2" xil_pn:valueState="default"/>
<property xil_pn:name="Disable Detailed Package Model Insertion" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Do Not Escape Signal and Instance Names in Netlist" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Done (Output Events)" xil_pn:value="Default (4)" xil_pn:valueState="default"/>
+ <property xil_pn:name="Drive Awake Pin During Suspend/Wake Sequence spartan6" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Drive Done Pin High" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Enable BitStream Compression" xil_pn:value="false" xil_pn:valueState="default"/>
- <property xil_pn:name="Enable Cyclic Redundancy Checking (CRC)" xil_pn:value="true" xil_pn:valueState="default"/>
+ <property xil_pn:name="Enable Cyclic Redundancy Checking (CRC) spartan6" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Enable Debugging of Serial Mode BitStream" xil_pn:value="false" xil_pn:valueState="default"/>
+ <property xil_pn:name="Enable External Master Clock spartan6" xil_pn:value="false" xil_pn:valueState="default"/>
+ <property xil_pn:name="Enable Hardware Co-Simulation" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Enable Internal Done Pipe" xil_pn:value="true" xil_pn:valueState="non-default"/>
<property xil_pn:name="Enable Message Filtering" xil_pn:value="false" xil_pn:valueState="default"/>
+ <property xil_pn:name="Enable Multi-Pin Wake-Up Suspend Mode spartan6" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Enable Multi-Threading" xil_pn:value="Off" xil_pn:valueState="default"/>
+ <property xil_pn:name="Enable Multi-Threading par spartan6" xil_pn:value="Off" xil_pn:valueState="default"/>
<property xil_pn:name="Enable Outputs (Output Events)" xil_pn:value="Default (5)" xil_pn:valueState="default"/>
+ <property xil_pn:name="Enable Suspend/Wake Global Set/Reset spartan6" xil_pn:value="false" xil_pn:valueState="default"/>
+ <property xil_pn:name="Encrypt Bitstream spartan6" xil_pn:value="false" xil_pn:valueState="default"/>
+ <property xil_pn:name="Encrypt Key Select spartan6" xil_pn:value="BBRAM" xil_pn:valueState="default"/>
+ <property xil_pn:name="Equivalent Register Removal Map" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Equivalent Register Removal XST" xil_pn:value="true" xil_pn:valueState="default"/>
+ <property xil_pn:name="Essential Bits" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Evaluation Development Board" xil_pn:value="None Specified" xil_pn:valueState="default"/>
<property xil_pn:name="Exclude Compilation of Deprecated EDK Cores" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Exclude Compilation of EDK Sub-Libraries" xil_pn:value="false" xil_pn:valueState="default"/>
- <property xil_pn:name="Extra Effort" xil_pn:value="None" xil_pn:valueState="default"/>
+ <property xil_pn:name="Extra Cost Tables Map" xil_pn:value="0" xil_pn:valueState="default"/>
<property xil_pn:name="Extra Effort (Highest PAR level only)" xil_pn:value="None" xil_pn:valueState="default"/>
<property xil_pn:name="FPGA Start-Up Clock" xil_pn:value="CCLK" xil_pn:valueState="default"/>
<property xil_pn:name="FSM Encoding Algorithm" xil_pn:value="Auto" xil_pn:valueState="default"/>
@@ -102,6 +114,8 @@
<property xil_pn:name="Functional Model Target Language ArchWiz" xil_pn:value="Verilog" xil_pn:valueState="default"/>
<property xil_pn:name="Functional Model Target Language Coregen" xil_pn:value="Verilog" xil_pn:valueState="default"/>
<property xil_pn:name="Functional Model Target Language Schematic" xil_pn:value="Verilog" xil_pn:valueState="default"/>
+ <property xil_pn:name="GTS Cycle During Suspend/Wakeup Sequence spartan6" xil_pn:value="4" xil_pn:valueState="default"/>
+ <property xil_pn:name="GWE Cycle During Suspend/Wakeup Sequence spartan6" xil_pn:value="5" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Architecture Only (No Entity Declaration)" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Asynchronous Delay Report" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Clock Region Report" xil_pn:value="false" xil_pn:valueState="default"/>
@@ -120,6 +134,7 @@
<property xil_pn:name="Generate Timegroups Section Post Trace" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generics, Parameters" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Global Optimization Goal" xil_pn:value="AllClockNets" xil_pn:valueState="default"/>
+ <property xil_pn:name="Global Optimization map spartan6" xil_pn:value="Off" xil_pn:valueState="default"/>
<property xil_pn:name="Global Set/Reset Port Name" xil_pn:value="GSR_PORT" xil_pn:valueState="default"/>
<property xil_pn:name="Global Tristate Port Name" xil_pn:value="GTS_PORT" xil_pn:valueState="default"/>
<property xil_pn:name="Hierarchy Separator" xil_pn:value="/" xil_pn:valueState="default"/>
@@ -141,6 +156,8 @@
<property xil_pn:name="JTAG Pin TDO" xil_pn:value="Pull Up" xil_pn:valueState="default"/>
<property xil_pn:name="JTAG Pin TMS" xil_pn:value="Pull Up" xil_pn:valueState="default"/>
<property xil_pn:name="Keep Hierarchy" xil_pn:value="No" xil_pn:valueState="default"/>
+ <property xil_pn:name="LUT Combining Map" xil_pn:value="Off" xil_pn:valueState="default"/>
+ <property xil_pn:name="LUT Combining Xst" xil_pn:value="Auto" xil_pn:valueState="default"/>
<property xil_pn:name="Language" xil_pn:value="VHDL" xil_pn:valueState="default"/>
<property xil_pn:name="Last Applied Goal" xil_pn:value="Balanced" xil_pn:valueState="default"/>
<property xil_pn:name="Last Applied Strategy" xil_pn:value="Xilinx Default (unlocked)" xil_pn:valueState="default"/>
@@ -150,26 +167,35 @@
<property xil_pn:name="Load glbl" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Logical Shifter Extraction" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Manual Implementation Compile Order" xil_pn:value="false" xil_pn:valueState="default"/>
- <property xil_pn:name="Map Effort Level" xil_pn:value="High" xil_pn:valueState="default"/>
<property xil_pn:name="Map Slice Logic into Unused Block RAMs" xil_pn:value="false" xil_pn:valueState="default"/>
- <property xil_pn:name="Max Fanout" xil_pn:value="100000" xil_pn:valueState="non-default"/>
+ <property xil_pn:name="Mask Pins for Multi-Pin Wake-Up Suspend Mode spartan6" xil_pn:value="0x00" xil_pn:valueState="default"/>
+ <property xil_pn:name="Max Fanout" xil_pn:value="100000" xil_pn:valueState="default"/>
+ <property xil_pn:name="Maximum Compression" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Maximum Number of Lines in Report" xil_pn:value="1000" xil_pn:valueState="default"/>
<property xil_pn:name="Maximum Signal Name Length" xil_pn:value="20" xil_pn:valueState="default"/>
<property xil_pn:name="Move First Flip-Flop Stage" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Move Last Flip-Flop Stage" xil_pn:value="true" xil_pn:valueState="default"/>
- <property xil_pn:name="Multiplier Style" xil_pn:value="Auto" xil_pn:valueState="default"/>
+ <property xil_pn:name="MultiBoot: Insert IPROG CMD in the Bitfile spartan6" xil_pn:value="Enable" xil_pn:valueState="default"/>
+ <property xil_pn:name="MultiBoot: Next Configuration Mode spartan6" xil_pn:value="001" xil_pn:valueState="default"/>
+ <property xil_pn:name="MultiBoot: Starting Address for Golden Configuration spartan6" xil_pn:value="0x00000000" xil_pn:valueState="default"/>
+ <property xil_pn:name="MultiBoot: Starting Address for Next Configuration spartan6" xil_pn:value="0x00000000" xil_pn:valueState="default"/>
+ <property xil_pn:name="MultiBoot: Use New Mode for Next Configuration spartan6" xil_pn:value="true" xil_pn:valueState="default"/>
+ <property xil_pn:name="MultiBoot: User-Defined Register for Failsafe Scheme spartan6" xil_pn:value="0x0000" xil_pn:valueState="default"/>
+ <property xil_pn:name="Multiplier Style" xil_pn:value="LUT" xil_pn:valueState="default"/>
<property xil_pn:name="Mux Extraction" xil_pn:value="Yes" xil_pn:valueState="default"/>
<property xil_pn:name="Mux Style" xil_pn:value="Auto" xil_pn:valueState="default"/>
<property xil_pn:name="Netlist Hierarchy" xil_pn:value="As Optimized" xil_pn:valueState="default"/>
<property xil_pn:name="Netlist Translation Type" xil_pn:value="Timestamp" xil_pn:valueState="default"/>
- <property xil_pn:name="Number of Clock Buffers" xil_pn:value="24" xil_pn:valueState="default"/>
+ <property xil_pn:name="Number of Clock Buffers" xil_pn:value="16" xil_pn:valueState="default"/>
<property xil_pn:name="Number of Paths in Error/Verbose Report" xil_pn:value="3" xil_pn:valueState="default"/>
<property xil_pn:name="Number of Paths in Error/Verbose Report Post Trace" xil_pn:value="3" xil_pn:valueState="default"/>
<property xil_pn:name="Optimization Effort" xil_pn:value="Normal" xil_pn:valueState="default"/>
+ <property xil_pn:name="Optimization Effort spartan6" xil_pn:value="Normal" xil_pn:valueState="default"/>
<property xil_pn:name="Optimization Goal" xil_pn:value="Speed" xil_pn:valueState="default"/>
<property xil_pn:name="Optimization Strategy (Cover Mode)" xil_pn:value="Area" xil_pn:valueState="default"/>
<property xil_pn:name="Optimize Instantiated Primitives" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Other Bitgen Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
+ <property xil_pn:name="Other Bitgen Command Line Options spartan6" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Compiler Options" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Compiler Options Map" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Compiler Options Par" xil_pn:value="" xil_pn:valueState="default"/>
@@ -190,20 +216,25 @@
<property xil_pn:name="Overwrite Compiled Libraries" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Pack I/O Registers into IOBs" xil_pn:value="Auto" xil_pn:valueState="default"/>
<property xil_pn:name="Pack I/O Registers/Latches into IOBs" xil_pn:value="Off" xil_pn:valueState="default"/>
- <property xil_pn:name="Package" xil_pn:value="vq100" xil_pn:valueState="default"/>
+ <property xil_pn:name="Package" xil_pn:value="tqg144" xil_pn:valueState="default"/>
<property xil_pn:name="Perform Advanced Analysis" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Perform Advanced Analysis Post Trace" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Perform Timing-Driven Packing and Placement" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Place &amp; Route Effort Level (Overall)" xil_pn:value="High" xil_pn:valueState="default"/>
<property xil_pn:name="Place And Route Mode" xil_pn:value="Normal Place and Route" xil_pn:valueState="default"/>
+ <property xil_pn:name="Place MultiBoot Settings into Bitstream spartan6" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Placer Effort Level (Overrides Overall Level)" xil_pn:value="None" xil_pn:valueState="default"/>
+ <property xil_pn:name="Placer Effort Level Map" xil_pn:value="High" xil_pn:valueState="default"/>
+ <property xil_pn:name="Placer Extra Effort Map" xil_pn:value="None" xil_pn:valueState="default"/>
<property xil_pn:name="Port to be used" xil_pn:value="Auto - default" xil_pn:valueState="default"/>
<property xil_pn:name="Post Map Simulation Model Name" xil_pn:value="led_map.v" xil_pn:valueState="default"/>
<property xil_pn:name="Post Place &amp; Route Simulation Model Name" xil_pn:value="led_timesim.v" xil_pn:valueState="default"/>
<property xil_pn:name="Post Synthesis Simulation Model Name" xil_pn:value="led_synthesis.v" xil_pn:valueState="default"/>
<property xil_pn:name="Post Translate Simulation Model Name" xil_pn:value="led_translate.v" xil_pn:valueState="default"/>
<property xil_pn:name="Power Reduction Map" xil_pn:value="false" xil_pn:valueState="default"/>
+ <property xil_pn:name="Power Reduction Map spartan6" xil_pn:value="Off" xil_pn:valueState="default"/>
<property xil_pn:name="Power Reduction Par" xil_pn:value="false" xil_pn:valueState="default"/>
+ <property xil_pn:name="Power Reduction Xst" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Preferred Language" xil_pn:value="Verilog" xil_pn:valueState="default"/>
<property xil_pn:name="Priority Encoder Extraction" xil_pn:value="Yes" xil_pn:valueState="default"/>
<property xil_pn:name="Produce Verbose Report" xil_pn:value="false" xil_pn:valueState="default"/>
@@ -214,10 +245,12 @@
<property xil_pn:name="ROM Extraction" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="ROM Style" xil_pn:value="Auto" xil_pn:valueState="default"/>
<property xil_pn:name="Read Cores" xil_pn:value="true" xil_pn:valueState="default"/>
+ <property xil_pn:name="Reduce Control Sets" xil_pn:value="Auto" xil_pn:valueState="default"/>
<property xil_pn:name="Regenerate Core" xil_pn:value="Under Current Project Setting" xil_pn:valueState="default"/>
<property xil_pn:name="Register Balancing" xil_pn:value="No" xil_pn:valueState="default"/>
- <property xil_pn:name="Register Duplication" xil_pn:value="Off" xil_pn:valueState="default"/>
+ <property xil_pn:name="Register Duplication Map" xil_pn:value="Off" xil_pn:valueState="default"/>
<property xil_pn:name="Register Duplication Xst" xil_pn:value="true" xil_pn:valueState="default"/>
+ <property xil_pn:name="Register Ordering spartan6" xil_pn:value="4" xil_pn:valueState="default"/>
<property xil_pn:name="Release Write Enable (Output Events)" xil_pn:value="Default (6)" xil_pn:valueState="default"/>
<property xil_pn:name="Rename Design Instance in Testbench File to" xil_pn:value="UUT" xil_pn:valueState="default"/>
<property xil_pn:name="Rename Top Level Architecture To" xil_pn:value="Structure" xil_pn:valueState="default"/>
@@ -231,10 +264,10 @@
<property xil_pn:name="Report Type Post Trace" xil_pn:value="Verbose Report" xil_pn:valueState="default"/>
<property xil_pn:name="Report Unconstrained Paths" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Report Unconstrained Paths Post Trace" xil_pn:value="" xil_pn:valueState="default"/>
- <property xil_pn:name="Reset DCM if SHUTDOWN &amp; AGHIGH performed" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Reset On Configuration Pulse Width" xil_pn:value="100" xil_pn:valueState="default"/>
<property xil_pn:name="Resource Sharing" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Retain Hierarchy" xil_pn:value="true" xil_pn:valueState="default"/>
+ <property xil_pn:name="Retry Configuration if CRC Error Occurs spartan6" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Revision Select" xil_pn:value="00" xil_pn:valueState="default"/>
<property xil_pn:name="Revision Select Tristate" xil_pn:value="Disable" xil_pn:valueState="default"/>
<property xil_pn:name="Router Effort Level (Overrides Overall Level)" xil_pn:value="None" xil_pn:valueState="default"/>
@@ -250,7 +283,10 @@
<property xil_pn:name="Selected Simulation Root Source Node Post-Route" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Selected Simulation Root Source Node Post-Translate" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Selected Simulation Source Node" xil_pn:value="UUT" xil_pn:valueState="default"/>
+ <property xil_pn:name="Set SPI Configuration Bus Width spartan6" xil_pn:value="1" xil_pn:valueState="default"/>
+ <property xil_pn:name="Setup External Master Clock Division spartan6" xil_pn:value="1" xil_pn:valueState="default"/>
<property xil_pn:name="Shift Register Extraction" xil_pn:value="true" xil_pn:valueState="default"/>
+ <property xil_pn:name="Shift Register Minimum Size spartan6" xil_pn:value="2" xil_pn:valueState="default"/>
<property xil_pn:name="Show All Models" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Simulation Model Target" xil_pn:value="Verilog" xil_pn:valueState="default"/>
<property xil_pn:name="Simulation Run Time ISim" xil_pn:value="1000 ns" xil_pn:valueState="default"/>
@@ -265,12 +301,13 @@
<property xil_pn:name="Specify Top Level Instance Names Post-Map" xil_pn:value="Default" xil_pn:valueState="default"/>
<property xil_pn:name="Specify Top Level Instance Names Post-Route" xil_pn:value="Default" xil_pn:valueState="default"/>
<property xil_pn:name="Specify Top Level Instance Names Post-Translate" xil_pn:value="Default" xil_pn:valueState="default"/>
- <property xil_pn:name="Speed Grade" xil_pn:value="-4" xil_pn:valueState="non-default"/>
+ <property xil_pn:name="Speed Grade" xil_pn:value="-2" xil_pn:valueState="non-default"/>
<property xil_pn:name="Starting Placer Cost Table (1-100) Map" xil_pn:value="1" xil_pn:valueState="default"/>
+ <property xil_pn:name="Starting Placer Cost Table (1-100) Map spartan6" xil_pn:value="1" xil_pn:valueState="default"/>
<property xil_pn:name="Starting Placer Cost Table (1-100) Par" xil_pn:value="1" xil_pn:valueState="default"/>
<property xil_pn:name="Synthesis Tool" xil_pn:value="XST (VHDL/Verilog)" xil_pn:valueState="default"/>
<property xil_pn:name="Target Simulator" xil_pn:value="Please Specify" xil_pn:valueState="default"/>
- <property xil_pn:name="Timing Mode Map" xil_pn:value="Non Timing Driven" xil_pn:valueState="default"/>
+ <property xil_pn:name="Timing Mode Map" xil_pn:value="Non Timing Driven" xil_pn:valueState="non-default"/>
<property xil_pn:name="Timing Mode Par" xil_pn:value="Performance Evaluation" xil_pn:valueState="default"/>
<property xil_pn:name="Top-Level Module Name in Output Netlist" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Top-Level Source Type" xil_pn:value="HDL" xil_pn:valueState="default"/>
@@ -278,7 +315,7 @@
<property xil_pn:name="Tristate On Configuration Pulse Width" xil_pn:value="0" xil_pn:valueState="default"/>
<property xil_pn:name="Unused IOB Pins" xil_pn:value="Pull Down" xil_pn:valueState="default"/>
<property xil_pn:name="Use 64-bit PlanAhead on 64-bit Systems" xil_pn:value="true" xil_pn:valueState="default"/>
- <property xil_pn:name="Use Clock Enable" xil_pn:value="Yes" xil_pn:valueState="default"/>
+ <property xil_pn:name="Use Clock Enable" xil_pn:value="Auto" xil_pn:valueState="default"/>
<property xil_pn:name="Use Custom Project File Behavioral" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use Custom Project File Post-Map" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use Custom Project File Post-Route" xil_pn:value="false" xil_pn:valueState="default"/>
@@ -291,19 +328,24 @@
<property xil_pn:name="Use Custom Waveform Configuration File Map" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use Custom Waveform Configuration File Par" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use Custom Waveform Configuration File Translate" xil_pn:value="false" xil_pn:valueState="default"/>
+ <property xil_pn:name="Use DSP Block spartan6" xil_pn:value="Auto" xil_pn:valueState="default"/>
<property xil_pn:name="Use LOC Constraints" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Use RLOC Constraints" xil_pn:value="Yes" xil_pn:valueState="default"/>
<property xil_pn:name="Use Smart Guide" xil_pn:value="false" xil_pn:valueState="default"/>
- <property xil_pn:name="Use Synchronous Reset" xil_pn:value="Yes" xil_pn:valueState="default"/>
- <property xil_pn:name="Use Synchronous Set" xil_pn:value="Yes" xil_pn:valueState="default"/>
+ <property xil_pn:name="Use Synchronous Reset" xil_pn:value="Auto" xil_pn:valueState="default"/>
+ <property xil_pn:name="Use Synchronous Set" xil_pn:value="Auto" xil_pn:valueState="default"/>
<property xil_pn:name="Use Synthesis Constraints File" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="User Browsed Strategy Files" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="UserID Code (8 Digit Hexadecimal)" xil_pn:value="0xFFFFFFFF" xil_pn:valueState="default"/>
+ <property xil_pn:name="VCCAUX Voltage Level spartan6" xil_pn:value="2.5V" xil_pn:valueState="default"/>
<property xil_pn:name="VHDL Source Analysis Standard" xil_pn:value="VHDL-93" xil_pn:valueState="default"/>
<property xil_pn:name="Value Range Check" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Verilog 2001 Xst" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Verilog Macros" xil_pn:value="" xil_pn:valueState="default"/>
+ <property xil_pn:name="Wait for DCM and PLL Lock (Output Events) spartan6" xil_pn:value="Default (NoWait)" xil_pn:valueState="default"/>
<property xil_pn:name="Wait for DLL Lock (Output Events)" xil_pn:value="Default (NoWait)" xil_pn:valueState="default"/>
+ <property xil_pn:name="Wakeup Clock spartan6" xil_pn:value="Startup Clock" xil_pn:valueState="default"/>
+ <property xil_pn:name="Watchdog Timer Value spartan6" xil_pn:value="0xFFFF" xil_pn:valueState="default"/>
<property xil_pn:name="Working Directory" xil_pn:value="." xil_pn:valueState="non-default"/>
<property xil_pn:name="Write Timing Constraints" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="XOR Collapsing" xil_pn:value="true" xil_pn:valueState="default"/>
@@ -312,7 +354,7 @@
<!-- -->
<property xil_pn:name="PROP_BehavioralSimTop" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="PROP_DesignName" xil_pn:value="FPGA-led-lights" xil_pn:valueState="non-default"/>
- <property xil_pn:name="PROP_DevFamilyPMName" xil_pn:value="spartan3e" xil_pn:valueState="default"/>
+ <property xil_pn:name="PROP_DevFamilyPMName" xil_pn:value="spartan6" xil_pn:valueState="default"/>
<property xil_pn:name="PROP_FPGAConfiguration" xil_pn:value="FPGAConfiguration" xil_pn:valueState="default"/>
<property xil_pn:name="PROP_PostMapSimTop" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="PROP_PostParSimTop" xil_pn:value="" xil_pn:valueState="default"/>
diff --git a/_ngo/netlist.lst b/_ngo/netlist.lst
new file mode 100644
index 0000000..e8fb2da
--- /dev/null
+++ b/_ngo/netlist.lst
@@ -0,0 +1,2 @@
+/home/yannherklotz/Github/FPGA-led-lights/led.ngc 1487715017
+OK
diff --git a/_xmsgs/bitgen.xmsgs b/_xmsgs/bitgen.xmsgs
new file mode 100644
index 0000000..f84336a
--- /dev/null
+++ b/_xmsgs/bitgen.xmsgs
@@ -0,0 +1,9 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<!-- IMPORTANT: This is an internal file that has been generated
+ by the Xilinx ISE software. Any direct editing or
+ changes made to this file may result in unpredictable
+ behavior or data corruption. It is strongly advised that
+ users do not edit the contents of this file. -->
+<messages>
+</messages>
+
diff --git a/_xmsgs/map.xmsgs b/_xmsgs/map.xmsgs
new file mode 100644
index 0000000..a457d4d
--- /dev/null
+++ b/_xmsgs/map.xmsgs
@@ -0,0 +1,33 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<!-- IMPORTANT: This is an internal file that has been generated
+ by the Xilinx ISE software. Any direct editing or
+ changes made to this file may result in unpredictable
+ behavior or data corruption. It is strongly advised that
+ users do not edit the contents of this file. -->
+<messages>
+<msg type="info" file="MapLib" num="564" delta="old" >The following environment variables are currently set:
+</msg>
+
+<msg type="info" file="MapLib" num="591" delta="old" ><arg fmt="%s" index="1">XIL_MAP_LOCWARN</arg> Value: <arg fmt="%s" index="2">1</arg>
+</msg>
+
+<msg type="info" file="MapLib" num="159" delta="old" >Net Timing constraints on signal <arg fmt="%s" index="1">CLK</arg> are pushed forward through input buffer.
+</msg>
+
+<msg type="info" file="LIT" num="244" delta="old" >All of the single ended outputs in this design are using slew rate limited output drivers. The delay on speed critical single ended outputs can be dramatically reduced by designating them as fast outputs.
+</msg>
+
+<msg type="info" file="Pack" num="1716" delta="old" >Initializing temperature to <arg fmt="%0.3f" index="1">85.000</arg> Celsius. (default - Range: <arg fmt="%0.3f" index="2">0.000</arg> to <arg fmt="%0.3f" index="3">85.000</arg> Celsius)
+</msg>
+
+<msg type="info" file="Pack" num="1720" delta="old" >Initializing voltage to <arg fmt="%0.3f" index="1">1.140</arg> Volts. (default - Range: <arg fmt="%0.3f" index="2">1.140</arg> to <arg fmt="%0.3f" index="3">1.260</arg> Volts)
+</msg>
+
+<msg type="info" file="Map" num="215" delta="old" >The Interim Design Summary has been generated in the MAP Report (.mrp).
+</msg>
+
+<msg type="info" file="Pack" num="1650" delta="old" >Map created a placed design.
+</msg>
+
+</messages>
+
diff --git a/_xmsgs/ngdbuild.xmsgs b/_xmsgs/ngdbuild.xmsgs
new file mode 100644
index 0000000..29ca303
--- /dev/null
+++ b/_xmsgs/ngdbuild.xmsgs
@@ -0,0 +1,1327 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<!-- IMPORTANT: This is an internal file that has been generated
+ by the Xilinx ISE software. Any direct editing or
+ changes made to this file may result in unpredictable
+ behavior or data corruption. It is strongly advised that
+ users do not edit the contents of this file. -->
+<messages>
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;NET RX LOC=&quot;P101&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(30)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">RX</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="warning" file="ConstraintSystem" num="0" >A target design object for the Locate constraint &apos;&lt;NET RX LOC=&quot;P101&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(30)]&apos; could not be found and so the Locate constraint will be removed.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;IOSTANDARD=LVTTL |&gt; [BPC3011-Papilio_Pro-general.ucf(30)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">RX</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;DRIVE=8 |&gt; [BPC3011-Papilio_Pro-general.ucf(30)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">RX</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;SLEW=FAST;&gt; [BPC3011-Papilio_Pro-general.ucf(30)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">RX</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;NET A(0) LOC=&quot;P48&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(32)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">A(0)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="warning" file="ConstraintSystem" num="0" >A target design object for the Locate constraint &apos;&lt;NET A(0) LOC=&quot;P48&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(32)]&apos; could not be found and so the Locate constraint will be removed.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;IOSTANDARD=LVTTL;&gt; [BPC3011-Papilio_Pro-general.ucf(32)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">A(0)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;NET A(1) LOC=&quot;P51&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(33)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">A(1)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="warning" file="ConstraintSystem" num="0" >A target design object for the Locate constraint &apos;&lt;NET A(1) LOC=&quot;P51&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(33)]&apos; could not be found and so the Locate constraint will be removed.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;IOSTANDARD=LVTTL;&gt; [BPC3011-Papilio_Pro-general.ucf(33)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">A(1)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;NET A(2) LOC=&quot;P56&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(34)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">A(2)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="warning" file="ConstraintSystem" num="0" >A target design object for the Locate constraint &apos;&lt;NET A(2) LOC=&quot;P56&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(34)]&apos; could not be found and so the Locate constraint will be removed.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;IOSTANDARD=LVTTL;&gt; [BPC3011-Papilio_Pro-general.ucf(34)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">A(2)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;NET A(3) LOC=&quot;P58&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(35)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">A(3)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="warning" file="ConstraintSystem" num="0" >A target design object for the Locate constraint &apos;&lt;NET A(3) LOC=&quot;P58&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(35)]&apos; could not be found and so the Locate constraint will be removed.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;IOSTANDARD=LVTTL;&gt; [BPC3011-Papilio_Pro-general.ucf(35)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">A(3)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;NET A(4) LOC=&quot;P61&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(36)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">A(4)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="warning" file="ConstraintSystem" num="0" >A target design object for the Locate constraint &apos;&lt;NET A(4) LOC=&quot;P61&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(36)]&apos; could not be found and so the Locate constraint will be removed.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;IOSTANDARD=LVTTL;&gt; [BPC3011-Papilio_Pro-general.ucf(36)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">A(4)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;NET A(5) LOC=&quot;P66&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(37)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">A(5)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="warning" file="ConstraintSystem" num="0" >A target design object for the Locate constraint &apos;&lt;NET A(5) LOC=&quot;P66&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(37)]&apos; could not be found and so the Locate constraint will be removed.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;IOSTANDARD=LVTTL;&gt; [BPC3011-Papilio_Pro-general.ucf(37)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">A(5)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;NET A(6) LOC=&quot;P67&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(38)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">A(6)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="warning" file="ConstraintSystem" num="0" >A target design object for the Locate constraint &apos;&lt;NET A(6) LOC=&quot;P67&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(38)]&apos; could not be found and so the Locate constraint will be removed.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;IOSTANDARD=LVTTL;&gt; [BPC3011-Papilio_Pro-general.ucf(38)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">A(6)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;NET A(7) LOC=&quot;P75&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(39)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">A(7)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="warning" file="ConstraintSystem" num="0" >A target design object for the Locate constraint &apos;&lt;NET A(7) LOC=&quot;P75&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(39)]&apos; could not be found and so the Locate constraint will be removed.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;IOSTANDARD=LVTTL;&gt; [BPC3011-Papilio_Pro-general.ucf(39)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">A(7)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;NET A(8) LOC=&quot;P79&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(40)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">A(8)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="warning" file="ConstraintSystem" num="0" >A target design object for the Locate constraint &apos;&lt;NET A(8) LOC=&quot;P79&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(40)]&apos; could not be found and so the Locate constraint will be removed.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;IOSTANDARD=LVTTL;&gt; [BPC3011-Papilio_Pro-general.ucf(40)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">A(8)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;NET A(9) LOC=&quot;P81&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(41)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">A(9)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="warning" file="ConstraintSystem" num="0" >A target design object for the Locate constraint &apos;&lt;NET A(9) LOC=&quot;P81&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(41)]&apos; could not be found and so the Locate constraint will be removed.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;IOSTANDARD=LVTTL;&gt; [BPC3011-Papilio_Pro-general.ucf(41)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">A(9)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;NET A(10) LOC=&quot;P83&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(42)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">A(10)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="warning" file="ConstraintSystem" num="0" >A target design object for the Locate constraint &apos;&lt;NET A(10) LOC=&quot;P83&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(42)]&apos; could not be found and so the Locate constraint will be removed.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;IOSTANDARD=LVTTL;&gt; [BPC3011-Papilio_Pro-general.ucf(42)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">A(10)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;NET A(11) LOC=&quot;P85&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(43)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">A(11)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="warning" file="ConstraintSystem" num="0" >A target design object for the Locate constraint &apos;&lt;NET A(11) LOC=&quot;P85&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(43)]&apos; could not be found and so the Locate constraint will be removed.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;IOSTANDARD=LVTTL;&gt; [BPC3011-Papilio_Pro-general.ucf(43)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">A(11)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;NET A(12) LOC=&quot;P88&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(44)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">A(12)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="warning" file="ConstraintSystem" num="0" >A target design object for the Locate constraint &apos;&lt;NET A(12) LOC=&quot;P88&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(44)]&apos; could not be found and so the Locate constraint will be removed.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;IOSTANDARD=LVTTL;&gt; [BPC3011-Papilio_Pro-general.ucf(44)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">A(12)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;NET A(13) LOC=&quot;P93&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(45)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">A(13)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="warning" file="ConstraintSystem" num="0" >A target design object for the Locate constraint &apos;&lt;NET A(13) LOC=&quot;P93&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(45)]&apos; could not be found and so the Locate constraint will be removed.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;IOSTANDARD=LVTTL;&gt; [BPC3011-Papilio_Pro-general.ucf(45)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">A(13)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;NET A(14) LOC=&quot;P98&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(46)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">A(14)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="warning" file="ConstraintSystem" num="0" >A target design object for the Locate constraint &apos;&lt;NET A(14) LOC=&quot;P98&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(46)]&apos; could not be found and so the Locate constraint will be removed.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;IOSTANDARD=LVTTL;&gt; [BPC3011-Papilio_Pro-general.ucf(46)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">A(14)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;NET A(15) LOC=&quot;P100&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(47)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">A(15)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="warning" file="ConstraintSystem" num="0" >A target design object for the Locate constraint &apos;&lt;NET A(15) LOC=&quot;P100&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(47)]&apos; could not be found and so the Locate constraint will be removed.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;IOSTANDARD=LVTTL;&gt; [BPC3011-Papilio_Pro-general.ucf(47)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">A(15)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;NET B(0) LOC=&quot;P99&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(48)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">B(0)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="warning" file="ConstraintSystem" num="0" >A target design object for the Locate constraint &apos;&lt;NET B(0) LOC=&quot;P99&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(48)]&apos; could not be found and so the Locate constraint will be removed.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;IOSTANDARD=LVTTL;&gt; [BPC3011-Papilio_Pro-general.ucf(48)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">B(0)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;NET B(1) LOC=&quot;P97&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(49)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">B(1)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="warning" file="ConstraintSystem" num="0" >A target design object for the Locate constraint &apos;&lt;NET B(1) LOC=&quot;P97&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(49)]&apos; could not be found and so the Locate constraint will be removed.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;IOSTANDARD=LVTTL;&gt; [BPC3011-Papilio_Pro-general.ucf(49)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">B(1)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;NET B(2) LOC=&quot;P92&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(50)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">B(2)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="warning" file="ConstraintSystem" num="0" >A target design object for the Locate constraint &apos;&lt;NET B(2) LOC=&quot;P92&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(50)]&apos; could not be found and so the Locate constraint will be removed.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;IOSTANDARD=LVTTL;&gt; [BPC3011-Papilio_Pro-general.ucf(50)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">B(2)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;NET B(3) LOC=&quot;P87&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(51)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">B(3)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="warning" file="ConstraintSystem" num="0" >A target design object for the Locate constraint &apos;&lt;NET B(3) LOC=&quot;P87&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(51)]&apos; could not be found and so the Locate constraint will be removed.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;IOSTANDARD=LVTTL;&gt; [BPC3011-Papilio_Pro-general.ucf(51)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">B(3)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;NET B(4) LOC=&quot;P84&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(52)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">B(4)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="warning" file="ConstraintSystem" num="0" >A target design object for the Locate constraint &apos;&lt;NET B(4) LOC=&quot;P84&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(52)]&apos; could not be found and so the Locate constraint will be removed.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;IOSTANDARD=LVTTL;&gt; [BPC3011-Papilio_Pro-general.ucf(52)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">B(4)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;NET B(5) LOC=&quot;P82&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(53)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">B(5)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="warning" file="ConstraintSystem" num="0" >A target design object for the Locate constraint &apos;&lt;NET B(5) LOC=&quot;P82&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(53)]&apos; could not be found and so the Locate constraint will be removed.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;IOSTANDARD=LVTTL;&gt; [BPC3011-Papilio_Pro-general.ucf(53)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">B(5)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;NET B(6) LOC=&quot;P80&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(54)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">B(6)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="warning" file="ConstraintSystem" num="0" >A target design object for the Locate constraint &apos;&lt;NET B(6) LOC=&quot;P80&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(54)]&apos; could not be found and so the Locate constraint will be removed.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;IOSTANDARD=LVTTL;&gt; [BPC3011-Papilio_Pro-general.ucf(54)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">B(6)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;NET B(7) LOC=&quot;P78&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(55)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">B(7)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="warning" file="ConstraintSystem" num="0" >A target design object for the Locate constraint &apos;&lt;NET B(7) LOC=&quot;P78&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(55)]&apos; could not be found and so the Locate constraint will be removed.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;IOSTANDARD=LVTTL;&gt; [BPC3011-Papilio_Pro-general.ucf(55)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">B(7)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;NET B(8) LOC=&quot;P74&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(56)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">B(8)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="warning" file="ConstraintSystem" num="0" >A target design object for the Locate constraint &apos;&lt;NET B(8) LOC=&quot;P74&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(56)]&apos; could not be found and so the Locate constraint will be removed.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;IOSTANDARD=LVTTL;&gt; [BPC3011-Papilio_Pro-general.ucf(56)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">B(8)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;NET B(9) LOC=&quot;P95&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(57)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">B(9)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="warning" file="ConstraintSystem" num="0" >A target design object for the Locate constraint &apos;&lt;NET B(9) LOC=&quot;P95&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(57)]&apos; could not be found and so the Locate constraint will be removed.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;IOSTANDARD=LVTTL;&gt; [BPC3011-Papilio_Pro-general.ucf(57)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">B(9)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;NET B(10) LOC=&quot;P62&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(58)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">B(10)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="warning" file="ConstraintSystem" num="0" >A target design object for the Locate constraint &apos;&lt;NET B(10) LOC=&quot;P62&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(58)]&apos; could not be found and so the Locate constraint will be removed.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;IOSTANDARD=LVTTL;&gt; [BPC3011-Papilio_Pro-general.ucf(58)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">B(10)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;NET B(11) LOC=&quot;P59&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(59)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">B(11)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="warning" file="ConstraintSystem" num="0" >A target design object for the Locate constraint &apos;&lt;NET B(11) LOC=&quot;P59&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(59)]&apos; could not be found and so the Locate constraint will be removed.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;IOSTANDARD=LVTTL;&gt; [BPC3011-Papilio_Pro-general.ucf(59)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">B(11)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;NET B(12) LOC=&quot;P57&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(60)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">B(12)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="warning" file="ConstraintSystem" num="0" >A target design object for the Locate constraint &apos;&lt;NET B(12) LOC=&quot;P57&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(60)]&apos; could not be found and so the Locate constraint will be removed.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;IOSTANDARD=LVTTL;&gt; [BPC3011-Papilio_Pro-general.ucf(60)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">B(12)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;NET B(13) LOC=&quot;P55&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(61)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">B(13)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="warning" file="ConstraintSystem" num="0" >A target design object for the Locate constraint &apos;&lt;NET B(13) LOC=&quot;P55&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(61)]&apos; could not be found and so the Locate constraint will be removed.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;IOSTANDARD=LVTTL;&gt; [BPC3011-Papilio_Pro-general.ucf(61)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">B(13)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;NET B(14) LOC=&quot;P50&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(62)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">B(14)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="warning" file="ConstraintSystem" num="0" >A target design object for the Locate constraint &apos;&lt;NET B(14) LOC=&quot;P50&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(62)]&apos; could not be found and so the Locate constraint will be removed.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;IOSTANDARD=LVTTL;&gt; [BPC3011-Papilio_Pro-general.ucf(62)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">B(14)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;NET B(15) LOC=&quot;P47&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(63)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">B(15)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="warning" file="ConstraintSystem" num="0" >A target design object for the Locate constraint &apos;&lt;NET B(15) LOC=&quot;P47&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(63)]&apos; could not be found and so the Locate constraint will be removed.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;IOSTANDARD=LVTTL;&gt; [BPC3011-Papilio_Pro-general.ucf(63)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">B(15)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;NET C(0) LOC=&quot;P114&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(64)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">C(0)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="warning" file="ConstraintSystem" num="0" >A target design object for the Locate constraint &apos;&lt;NET C(0) LOC=&quot;P114&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(64)]&apos; could not be found and so the Locate constraint will be removed.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;IOSTANDARD=LVTTL;&gt; [BPC3011-Papilio_Pro-general.ucf(64)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">C(0)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;NET C(1) LOC=&quot;P115&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(65)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">C(1)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="warning" file="ConstraintSystem" num="0" >A target design object for the Locate constraint &apos;&lt;NET C(1) LOC=&quot;P115&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(65)]&apos; could not be found and so the Locate constraint will be removed.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;IOSTANDARD=LVTTL;&gt; [BPC3011-Papilio_Pro-general.ucf(65)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">C(1)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;NET C(2) LOC=&quot;P116&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(66)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">C(2)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="warning" file="ConstraintSystem" num="0" >A target design object for the Locate constraint &apos;&lt;NET C(2) LOC=&quot;P116&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(66)]&apos; could not be found and so the Locate constraint will be removed.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;IOSTANDARD=LVTTL;&gt; [BPC3011-Papilio_Pro-general.ucf(66)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">C(2)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;NET C(3) LOC=&quot;P117&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(67)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">C(3)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="warning" file="ConstraintSystem" num="0" >A target design object for the Locate constraint &apos;&lt;NET C(3) LOC=&quot;P117&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(67)]&apos; could not be found and so the Locate constraint will be removed.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;IOSTANDARD=LVTTL;&gt; [BPC3011-Papilio_Pro-general.ucf(67)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">C(3)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;NET C(4) LOC=&quot;P118&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(68)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">C(4)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="warning" file="ConstraintSystem" num="0" >A target design object for the Locate constraint &apos;&lt;NET C(4) LOC=&quot;P118&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(68)]&apos; could not be found and so the Locate constraint will be removed.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;IOSTANDARD=LVTTL;&gt; [BPC3011-Papilio_Pro-general.ucf(68)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">C(4)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;NET C(5) LOC=&quot;P119&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(69)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">C(5)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="warning" file="ConstraintSystem" num="0" >A target design object for the Locate constraint &apos;&lt;NET C(5) LOC=&quot;P119&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(69)]&apos; could not be found and so the Locate constraint will be removed.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;IOSTANDARD=LVTTL;&gt; [BPC3011-Papilio_Pro-general.ucf(69)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">C(5)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;NET C(6) LOC=&quot;P120&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(70)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">C(6)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="warning" file="ConstraintSystem" num="0" >A target design object for the Locate constraint &apos;&lt;NET C(6) LOC=&quot;P120&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(70)]&apos; could not be found and so the Locate constraint will be removed.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;IOSTANDARD=LVTTL;&gt; [BPC3011-Papilio_Pro-general.ucf(70)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">C(6)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;NET C(7) LOC=&quot;P121&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(71)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">C(7)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="warning" file="ConstraintSystem" num="0" >A target design object for the Locate constraint &apos;&lt;NET C(7) LOC=&quot;P121&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(71)]&apos; could not be found and so the Locate constraint will be removed.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;IOSTANDARD=LVTTL;&gt; [BPC3011-Papilio_Pro-general.ucf(71)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">C(7)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;NET C(8) LOC=&quot;P123&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(72)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">C(8)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="warning" file="ConstraintSystem" num="0" >A target design object for the Locate constraint &apos;&lt;NET C(8) LOC=&quot;P123&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(72)]&apos; could not be found and so the Locate constraint will be removed.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;IOSTANDARD=LVTTL;&gt; [BPC3011-Papilio_Pro-general.ucf(72)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">C(8)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;NET C(9) LOC=&quot;P124&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(73)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">C(9)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="warning" file="ConstraintSystem" num="0" >A target design object for the Locate constraint &apos;&lt;NET C(9) LOC=&quot;P124&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(73)]&apos; could not be found and so the Locate constraint will be removed.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;IOSTANDARD=LVTTL;&gt; [BPC3011-Papilio_Pro-general.ucf(73)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">C(9)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;NET C(10) LOC=&quot;P126&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(74)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">C(10)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="warning" file="ConstraintSystem" num="0" >A target design object for the Locate constraint &apos;&lt;NET C(10) LOC=&quot;P126&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(74)]&apos; could not be found and so the Locate constraint will be removed.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;IOSTANDARD=LVTTL;&gt; [BPC3011-Papilio_Pro-general.ucf(74)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">C(10)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;NET C(11) LOC=&quot;P127&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(75)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">C(11)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="warning" file="ConstraintSystem" num="0" >A target design object for the Locate constraint &apos;&lt;NET C(11) LOC=&quot;P127&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(75)]&apos; could not be found and so the Locate constraint will be removed.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;IOSTANDARD=LVTTL;&gt; [BPC3011-Papilio_Pro-general.ucf(75)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">C(11)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;NET C(12) LOC=&quot;P131&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(76)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">C(12)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="warning" file="ConstraintSystem" num="0" >A target design object for the Locate constraint &apos;&lt;NET C(12) LOC=&quot;P131&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(76)]&apos; could not be found and so the Locate constraint will be removed.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;IOSTANDARD=LVTTL;&gt; [BPC3011-Papilio_Pro-general.ucf(76)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">C(12)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;NET C(13) LOC=&quot;P132&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(77)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">C(13)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="warning" file="ConstraintSystem" num="0" >A target design object for the Locate constraint &apos;&lt;NET C(13) LOC=&quot;P132&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(77)]&apos; could not be found and so the Locate constraint will be removed.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;IOSTANDARD=LVTTL;&gt; [BPC3011-Papilio_Pro-general.ucf(77)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">C(13)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;NET C(14) LOC=&quot;P133&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(78)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">C(14)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="warning" file="ConstraintSystem" num="0" >A target design object for the Locate constraint &apos;&lt;NET C(14) LOC=&quot;P133&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(78)]&apos; could not be found and so the Locate constraint will be removed.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;IOSTANDARD=LVTTL;&gt; [BPC3011-Papilio_Pro-general.ucf(78)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">C(14)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;NET C(15) LOC=&quot;P134&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(79)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">C(15)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="warning" file="ConstraintSystem" num="0" >A target design object for the Locate constraint &apos;&lt;NET C(15) LOC=&quot;P134&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(79)]&apos; could not be found and so the Locate constraint will be removed.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;IOSTANDARD=LVTTL;&gt; [BPC3011-Papilio_Pro-general.ucf(79)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">C(15)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;NET SDRAM_ADDR(0) LOC=&quot;P140&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(80)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">SDRAM_ADDR(0)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="warning" file="ConstraintSystem" num="0" >A target design object for the Locate constraint &apos;&lt;NET SDRAM_ADDR(0) LOC=&quot;P140&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(80)]&apos; could not be found and so the Locate constraint will be removed.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;IOSTANDARD=LVTTL;&gt; [BPC3011-Papilio_Pro-general.ucf(80)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">SDRAM_ADDR(0)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;NET SDRAM_ADDR(1) LOC=&quot;P139&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(81)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">SDRAM_ADDR(1)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="warning" file="ConstraintSystem" num="0" >A target design object for the Locate constraint &apos;&lt;NET SDRAM_ADDR(1) LOC=&quot;P139&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(81)]&apos; could not be found and so the Locate constraint will be removed.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;IOSTANDARD=LVTTL;&gt; [BPC3011-Papilio_Pro-general.ucf(81)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">SDRAM_ADDR(1)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;NET SDRAM_ADDR(2) LOC=&quot;P138&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(82)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">SDRAM_ADDR(2)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="warning" file="ConstraintSystem" num="0" >A target design object for the Locate constraint &apos;&lt;NET SDRAM_ADDR(2) LOC=&quot;P138&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(82)]&apos; could not be found and so the Locate constraint will be removed.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;IOSTANDARD=LVTTL;&gt; [BPC3011-Papilio_Pro-general.ucf(82)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">SDRAM_ADDR(2)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;NET SDRAM_ADDR(3) LOC=&quot;P137&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(83)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">SDRAM_ADDR(3)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="warning" file="ConstraintSystem" num="0" >A target design object for the Locate constraint &apos;&lt;NET SDRAM_ADDR(3) LOC=&quot;P137&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(83)]&apos; could not be found and so the Locate constraint will be removed.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;IOSTANDARD=LVTTL;&gt; [BPC3011-Papilio_Pro-general.ucf(83)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">SDRAM_ADDR(3)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;NET SDRAM_ADDR(4) LOC=&quot;P46&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(84)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">SDRAM_ADDR(4)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="warning" file="ConstraintSystem" num="0" >A target design object for the Locate constraint &apos;&lt;NET SDRAM_ADDR(4) LOC=&quot;P46&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(84)]&apos; could not be found and so the Locate constraint will be removed.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;IOSTANDARD=LVTTL;&gt; [BPC3011-Papilio_Pro-general.ucf(84)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">SDRAM_ADDR(4)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;NET SDRAM_ADDR(5) LOC=&quot;P45&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(85)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">SDRAM_ADDR(5)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="warning" file="ConstraintSystem" num="0" >A target design object for the Locate constraint &apos;&lt;NET SDRAM_ADDR(5) LOC=&quot;P45&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(85)]&apos; could not be found and so the Locate constraint will be removed.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;IOSTANDARD=LVTTL;&gt; [BPC3011-Papilio_Pro-general.ucf(85)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">SDRAM_ADDR(5)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;NET SDRAM_ADDR(6) LOC=&quot;P44&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(86)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">SDRAM_ADDR(6)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="warning" file="ConstraintSystem" num="0" >A target design object for the Locate constraint &apos;&lt;NET SDRAM_ADDR(6) LOC=&quot;P44&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(86)]&apos; could not be found and so the Locate constraint will be removed.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;IOSTANDARD=LVTTL;&gt; [BPC3011-Papilio_Pro-general.ucf(86)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">SDRAM_ADDR(6)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;NET SDRAM_ADDR(7) LOC=&quot;P43&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(87)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">SDRAM_ADDR(7)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="warning" file="ConstraintSystem" num="0" >A target design object for the Locate constraint &apos;&lt;NET SDRAM_ADDR(7) LOC=&quot;P43&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(87)]&apos; could not be found and so the Locate constraint will be removed.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;IOSTANDARD=LVTTL;&gt; [BPC3011-Papilio_Pro-general.ucf(87)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">SDRAM_ADDR(7)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;NET SDRAM_ADDR(8) LOC=&quot;P41&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(88)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">SDRAM_ADDR(8)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="warning" file="ConstraintSystem" num="0" >A target design object for the Locate constraint &apos;&lt;NET SDRAM_ADDR(8) LOC=&quot;P41&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(88)]&apos; could not be found and so the Locate constraint will be removed.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;IOSTANDARD=LVTTL;&gt; [BPC3011-Papilio_Pro-general.ucf(88)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">SDRAM_ADDR(8)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;NET SDRAM_ADDR(9) LOC=&quot;P40&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(89)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">SDRAM_ADDR(9)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="warning" file="ConstraintSystem" num="0" >A target design object for the Locate constraint &apos;&lt;NET SDRAM_ADDR(9) LOC=&quot;P40&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(89)]&apos; could not be found and so the Locate constraint will be removed.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;IOSTANDARD=LVTTL;&gt; [BPC3011-Papilio_Pro-general.ucf(89)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">SDRAM_ADDR(9)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;NET SDRAM_ADDR(10) LOC=&quot;P141&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(90)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">SDRAM_ADDR(10)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="warning" file="ConstraintSystem" num="0" >A target design object for the Locate constraint &apos;&lt;NET SDRAM_ADDR(10) LOC=&quot;P141&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(90)]&apos; could not be found and so the Locate constraint will be removed.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;IOSTANDARD=LVTTL;&gt; [BPC3011-Papilio_Pro-general.ucf(90)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">SDRAM_ADDR(10)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;NET SDRAM_ADDR(11) LOC=&quot;P35&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(91)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">SDRAM_ADDR(11)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="warning" file="ConstraintSystem" num="0" >A target design object for the Locate constraint &apos;&lt;NET SDRAM_ADDR(11) LOC=&quot;P35&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(91)]&apos; could not be found and so the Locate constraint will be removed.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;IOSTANDARD=LVTTL;&gt; [BPC3011-Papilio_Pro-general.ucf(91)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">SDRAM_ADDR(11)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;NET SDRAM_ADDR(12) LOC=&quot;P34&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(92)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">SDRAM_ADDR(12)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="warning" file="ConstraintSystem" num="0" >A target design object for the Locate constraint &apos;&lt;NET SDRAM_ADDR(12) LOC=&quot;P34&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(92)]&apos; could not be found and so the Locate constraint will be removed.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;IOSTANDARD=LVTTL;&gt; [BPC3011-Papilio_Pro-general.ucf(92)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">SDRAM_ADDR(12)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;NET SDRAM_DATA(0) LOC=&quot;P9&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(93)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">SDRAM_DATA(0)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="warning" file="ConstraintSystem" num="0" >A target design object for the Locate constraint &apos;&lt;NET SDRAM_DATA(0) LOC=&quot;P9&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(93)]&apos; could not be found and so the Locate constraint will be removed.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;IOSTANDARD=LVTTL;&gt; [BPC3011-Papilio_Pro-general.ucf(93)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">SDRAM_DATA(0)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;NET SDRAM_DATA(1) LOC=&quot;P10&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(94)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">SDRAM_DATA(1)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="warning" file="ConstraintSystem" num="0" >A target design object for the Locate constraint &apos;&lt;NET SDRAM_DATA(1) LOC=&quot;P10&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(94)]&apos; could not be found and so the Locate constraint will be removed.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;IOSTANDARD=LVTTL;&gt; [BPC3011-Papilio_Pro-general.ucf(94)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">SDRAM_DATA(1)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;NET SDRAM_DATA(2) LOC=&quot;P11&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(95)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">SDRAM_DATA(2)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="warning" file="ConstraintSystem" num="0" >A target design object for the Locate constraint &apos;&lt;NET SDRAM_DATA(2) LOC=&quot;P11&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(95)]&apos; could not be found and so the Locate constraint will be removed.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;IOSTANDARD=LVTTL;&gt; [BPC3011-Papilio_Pro-general.ucf(95)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">SDRAM_DATA(2)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;NET SDRAM_DATA(3) LOC=&quot;P12&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(96)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">SDRAM_DATA(3)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="warning" file="ConstraintSystem" num="0" >A target design object for the Locate constraint &apos;&lt;NET SDRAM_DATA(3) LOC=&quot;P12&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(96)]&apos; could not be found and so the Locate constraint will be removed.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;IOSTANDARD=LVTTL;&gt; [BPC3011-Papilio_Pro-general.ucf(96)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">SDRAM_DATA(3)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;NET SDRAM_DATA(4) LOC=&quot;P14&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(97)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">SDRAM_DATA(4)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="warning" file="ConstraintSystem" num="0" >A target design object for the Locate constraint &apos;&lt;NET SDRAM_DATA(4) LOC=&quot;P14&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(97)]&apos; could not be found and so the Locate constraint will be removed.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;IOSTANDARD=LVTTL;&gt; [BPC3011-Papilio_Pro-general.ucf(97)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">SDRAM_DATA(4)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;NET SDRAM_DATA(5) LOC=&quot;P15&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(98)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">SDRAM_DATA(5)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="warning" file="ConstraintSystem" num="0" >A target design object for the Locate constraint &apos;&lt;NET SDRAM_DATA(5) LOC=&quot;P15&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(98)]&apos; could not be found and so the Locate constraint will be removed.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;IOSTANDARD=LVTTL;&gt; [BPC3011-Papilio_Pro-general.ucf(98)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">SDRAM_DATA(5)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;NET SDRAM_DATA(6) LOC=&quot;P16&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(99)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">SDRAM_DATA(6)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="warning" file="ConstraintSystem" num="0" >A target design object for the Locate constraint &apos;&lt;NET SDRAM_DATA(6) LOC=&quot;P16&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(99)]&apos; could not be found and so the Locate constraint will be removed.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;IOSTANDARD=LVTTL;&gt; [BPC3011-Papilio_Pro-general.ucf(99)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">SDRAM_DATA(6)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;NET SDRAM_DATA(7) LOC=&quot;P8&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(100)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">SDRAM_DATA(7)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="warning" file="ConstraintSystem" num="0" >A target design object for the Locate constraint &apos;&lt;NET SDRAM_DATA(7) LOC=&quot;P8&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(100)]&apos; could not be found and so the Locate constraint will be removed.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;IOSTANDARD=LVTTL;&gt; [BPC3011-Papilio_Pro-general.ucf(100)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">SDRAM_DATA(7)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;NET SDRAM_DATA(8) LOC=&quot;P21&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(101)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">SDRAM_DATA(8)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="warning" file="ConstraintSystem" num="0" >A target design object for the Locate constraint &apos;&lt;NET SDRAM_DATA(8) LOC=&quot;P21&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(101)]&apos; could not be found and so the Locate constraint will be removed.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;IOSTANDARD=LVTTL;&gt; [BPC3011-Papilio_Pro-general.ucf(101)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">SDRAM_DATA(8)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;NET SDRAM_DATA(9) LOC=&quot;P22&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(102)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">SDRAM_DATA(9)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="warning" file="ConstraintSystem" num="0" >A target design object for the Locate constraint &apos;&lt;NET SDRAM_DATA(9) LOC=&quot;P22&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(102)]&apos; could not be found and so the Locate constraint will be removed.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;IOSTANDARD=LVTTL;&gt; [BPC3011-Papilio_Pro-general.ucf(102)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">SDRAM_DATA(9)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;NET SDRAM_DATA(10) LOC=&quot;P23&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(103)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">SDRAM_DATA(10)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="warning" file="ConstraintSystem" num="0" >A target design object for the Locate constraint &apos;&lt;NET SDRAM_DATA(10) LOC=&quot;P23&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(103)]&apos; could not be found and so the Locate constraint will be removed.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;IOSTANDARD=LVTTL;&gt; [BPC3011-Papilio_Pro-general.ucf(103)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">SDRAM_DATA(10)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;NET SDRAM_DATA(11) LOC=&quot;P24&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(104)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">SDRAM_DATA(11)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="warning" file="ConstraintSystem" num="0" >A target design object for the Locate constraint &apos;&lt;NET SDRAM_DATA(11) LOC=&quot;P24&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(104)]&apos; could not be found and so the Locate constraint will be removed.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;IOSTANDARD=LVTTL;&gt; [BPC3011-Papilio_Pro-general.ucf(104)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">SDRAM_DATA(11)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;NET SDRAM_DATA(12) LOC=&quot;P26&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(105)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">SDRAM_DATA(12)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="warning" file="ConstraintSystem" num="0" >A target design object for the Locate constraint &apos;&lt;NET SDRAM_DATA(12) LOC=&quot;P26&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(105)]&apos; could not be found and so the Locate constraint will be removed.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;IOSTANDARD=LVTTL;&gt; [BPC3011-Papilio_Pro-general.ucf(105)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">SDRAM_DATA(12)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;NET SDRAM_DATA(13) LOC=&quot;P27&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(106)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">SDRAM_DATA(13)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="warning" file="ConstraintSystem" num="0" >A target design object for the Locate constraint &apos;&lt;NET SDRAM_DATA(13) LOC=&quot;P27&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(106)]&apos; could not be found and so the Locate constraint will be removed.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;IOSTANDARD=LVTTL;&gt; [BPC3011-Papilio_Pro-general.ucf(106)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">SDRAM_DATA(13)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;NET SDRAM_DATA(14) LOC=&quot;P29&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(107)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">SDRAM_DATA(14)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="warning" file="ConstraintSystem" num="0" >A target design object for the Locate constraint &apos;&lt;NET SDRAM_DATA(14) LOC=&quot;P29&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(107)]&apos; could not be found and so the Locate constraint will be removed.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;IOSTANDARD=LVTTL;&gt; [BPC3011-Papilio_Pro-general.ucf(107)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">SDRAM_DATA(14)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;NET SDRAM_DATA(15) LOC=&quot;P30&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(108)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">SDRAM_DATA(15)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="warning" file="ConstraintSystem" num="0" >A target design object for the Locate constraint &apos;&lt;NET SDRAM_DATA(15) LOC=&quot;P30&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(108)]&apos; could not be found and so the Locate constraint will be removed.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;IOSTANDARD=LVTTL;&gt; [BPC3011-Papilio_Pro-general.ucf(108)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">SDRAM_DATA(15)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;NET SDRAM_DQML LOC=&quot;P7&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(109)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">SDRAM_DQML</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="warning" file="ConstraintSystem" num="0" >A target design object for the Locate constraint &apos;&lt;NET SDRAM_DQML LOC=&quot;P7&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(109)]&apos; could not be found and so the Locate constraint will be removed.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;IOSTANDARD=LVTTL;&gt; [BPC3011-Papilio_Pro-general.ucf(109)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">SDRAM_DQML</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;NET SDRAM_DQMH LOC=&quot;P17&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(110)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">SDRAM_DQMH</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="warning" file="ConstraintSystem" num="0" >A target design object for the Locate constraint &apos;&lt;NET SDRAM_DQMH LOC=&quot;P17&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(110)]&apos; could not be found and so the Locate constraint will be removed.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;IOSTANDARD=LVTTL;&gt; [BPC3011-Papilio_Pro-general.ucf(110)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">SDRAM_DQMH</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;NET SDRAM_BA(0) LOC=&quot;P143&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(111)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">SDRAM_BA(0)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="warning" file="ConstraintSystem" num="0" >A target design object for the Locate constraint &apos;&lt;NET SDRAM_BA(0) LOC=&quot;P143&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(111)]&apos; could not be found and so the Locate constraint will be removed.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;IOSTANDARD=LVTTL;&gt; [BPC3011-Papilio_Pro-general.ucf(111)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">SDRAM_BA(0)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;NET SDRAM_BA(1) LOC=&quot;P142&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(112)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">SDRAM_BA(1)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="warning" file="ConstraintSystem" num="0" >A target design object for the Locate constraint &apos;&lt;NET SDRAM_BA(1) LOC=&quot;P142&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(112)]&apos; could not be found and so the Locate constraint will be removed.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;IOSTANDARD=LVTTL;&gt; [BPC3011-Papilio_Pro-general.ucf(112)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">SDRAM_BA(1)</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;NET SDRAM_nWE LOC=&quot;P6&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(113)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">SDRAM_nWE</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="warning" file="ConstraintSystem" num="0" >A target design object for the Locate constraint &apos;&lt;NET SDRAM_nWE LOC=&quot;P6&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(113)]&apos; could not be found and so the Locate constraint will be removed.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;IOSTANDARD=LVTTL;&gt; [BPC3011-Papilio_Pro-general.ucf(113)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">SDRAM_nWE</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;NET SDRAM_nCAS LOC=&quot;P5&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(114)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">SDRAM_nCAS</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="warning" file="ConstraintSystem" num="0" >A target design object for the Locate constraint &apos;&lt;NET SDRAM_nCAS LOC=&quot;P5&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(114)]&apos; could not be found and so the Locate constraint will be removed.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;IOSTANDARD=LVTTL;&gt; [BPC3011-Papilio_Pro-general.ucf(114)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">SDRAM_nCAS</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;NET SDRAM_nRAS LOC=&quot;P2&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(115)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">SDRAM_nRAS</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="warning" file="ConstraintSystem" num="0" >A target design object for the Locate constraint &apos;&lt;NET SDRAM_nRAS LOC=&quot;P2&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(115)]&apos; could not be found and so the Locate constraint will be removed.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;IOSTANDARD=LVTTL;&gt; [BPC3011-Papilio_Pro-general.ucf(115)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">SDRAM_nRAS</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;NET SDRAM_CS LOC=&quot;P1&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(116)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">SDRAM_CS</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="warning" file="ConstraintSystem" num="0" >A target design object for the Locate constraint &apos;&lt;NET SDRAM_CS LOC=&quot;P1&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(116)]&apos; could not be found and so the Locate constraint will be removed.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;IOSTANDARD=LVTTL;&gt; [BPC3011-Papilio_Pro-general.ucf(116)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">SDRAM_CS</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;NET SDRAM_CLK LOC=&quot;P32&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(117)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">SDRAM_CLK</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="warning" file="ConstraintSystem" num="0" >A target design object for the Locate constraint &apos;&lt;NET SDRAM_CLK LOC=&quot;P32&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(117)]&apos; could not be found and so the Locate constraint will be removed.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;IOSTANDARD=LVTTL;&gt; [BPC3011-Papilio_Pro-general.ucf(117)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">SDRAM_CLK</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;NET SDRAM_CKE LOC=&quot;P33&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(118)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">SDRAM_CKE</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="warning" file="ConstraintSystem" num="0" >A target design object for the Locate constraint &apos;&lt;NET SDRAM_CKE LOC=&quot;P33&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(118)]&apos; could not be found and so the Locate constraint will be removed.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;IOSTANDARD=LVTTL;&gt; [BPC3011-Papilio_Pro-general.ucf(118)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">SDRAM_CKE</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;NET JTAG_TMS LOC=&quot;P107&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(120)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">JTAG_TMS</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="warning" file="ConstraintSystem" num="0" >A target design object for the Locate constraint &apos;&lt;NET JTAG_TMS LOC=&quot;P107&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(120)]&apos; could not be found and so the Locate constraint will be removed.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;IOSTANDARD=LVTTL |&gt; [BPC3011-Papilio_Pro-general.ucf(120)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">JTAG_TMS</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;DRIVE=8 |&gt; [BPC3011-Papilio_Pro-general.ucf(120)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">JTAG_TMS</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;SLEW=FAST;&gt; [BPC3011-Papilio_Pro-general.ucf(120)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">JTAG_TMS</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;NET JTAG_TCK LOC=&quot;P109&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(121)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">JTAG_TCK</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="warning" file="ConstraintSystem" num="0" >A target design object for the Locate constraint &apos;&lt;NET JTAG_TCK LOC=&quot;P109&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(121)]&apos; could not be found and so the Locate constraint will be removed.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;IOSTANDARD=LVTTL |&gt; [BPC3011-Papilio_Pro-general.ucf(121)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">JTAG_TCK</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;DRIVE=8 |&gt; [BPC3011-Papilio_Pro-general.ucf(121)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">JTAG_TCK</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;SLEW=FAST;&gt; [BPC3011-Papilio_Pro-general.ucf(121)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">JTAG_TCK</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;NET JTAG_TDI LOC=&quot;P110&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(122)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">JTAG_TDI</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="warning" file="ConstraintSystem" num="0" >A target design object for the Locate constraint &apos;&lt;NET JTAG_TDI LOC=&quot;P110&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(122)]&apos; could not be found and so the Locate constraint will be removed.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;IOSTANDARD=LVTTL |&gt; [BPC3011-Papilio_Pro-general.ucf(122)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">JTAG_TDI</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;DRIVE=8 |&gt; [BPC3011-Papilio_Pro-general.ucf(122)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">JTAG_TDI</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;SLEW=FAST;&gt; [BPC3011-Papilio_Pro-general.ucf(122)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">JTAG_TDI</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;NET JTAG_TDO LOC=&quot;P106&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(123)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">JTAG_TDO</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="warning" file="ConstraintSystem" num="0" >A target design object for the Locate constraint &apos;&lt;NET JTAG_TDO LOC=&quot;P106&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(123)]&apos; could not be found and so the Locate constraint will be removed.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;IOSTANDARD=LVTTL |&gt; [BPC3011-Papilio_Pro-general.ucf(123)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">JTAG_TDO</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;DRIVE=8 |&gt; [BPC3011-Papilio_Pro-general.ucf(123)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">JTAG_TDO</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;SLEW=FAST;&gt; [BPC3011-Papilio_Pro-general.ucf(123)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">JTAG_TDO</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;NET FLASH_CS LOC=&quot;P38&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(124)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">FLASH_CS</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="warning" file="ConstraintSystem" num="0" >A target design object for the Locate constraint &apos;&lt;NET FLASH_CS LOC=&quot;P38&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(124)]&apos; could not be found and so the Locate constraint will be removed.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;IOSTANDARD=LVTTL |&gt; [BPC3011-Papilio_Pro-general.ucf(124)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">FLASH_CS</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;DRIVE=8 |&gt; [BPC3011-Papilio_Pro-general.ucf(124)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">FLASH_CS</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;SLEW=FAST;&gt; [BPC3011-Papilio_Pro-general.ucf(124)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">FLASH_CS</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;NET FLASH_CK LOC=&quot;P70&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(125)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">FLASH_CK</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="warning" file="ConstraintSystem" num="0" >A target design object for the Locate constraint &apos;&lt;NET FLASH_CK LOC=&quot;P70&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(125)]&apos; could not be found and so the Locate constraint will be removed.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;IOSTANDARD=LVTTL |&gt; [BPC3011-Papilio_Pro-general.ucf(125)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">FLASH_CK</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;DRIVE=8 |&gt; [BPC3011-Papilio_Pro-general.ucf(125)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">FLASH_CK</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;SLEW=FAST;&gt; [BPC3011-Papilio_Pro-general.ucf(125)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">FLASH_CK</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;NET FLASH_SI LOC=&quot;P64&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(126)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">FLASH_SI</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="warning" file="ConstraintSystem" num="0" >A target design object for the Locate constraint &apos;&lt;NET FLASH_SI LOC=&quot;P64&quot; |&gt; [BPC3011-Papilio_Pro-general.ucf(126)]&apos; could not be found and so the Locate constraint will be removed.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;IOSTANDARD=LVTTL |&gt; [BPC3011-Papilio_Pro-general.ucf(126)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">FLASH_SI</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;DRIVE=8 |&gt; [BPC3011-Papilio_Pro-general.ucf(126)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">FLASH_SI</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="59" delta="old" >Constraint <arg fmt="%s" index="1">&lt;SLEW=FAST;&gt; [BPC3011-Papilio_Pro-general.ucf(126)]</arg>: <arg fmt="%s" index="2">NET</arg> &quot;<arg fmt="%s" index="3">FLASH_SI</arg>&quot; not found. Please verify that:
+1. The specified design element actually exists in the original design.
+2. The specified object is spelled correctly in the constraint source file.
+</msg>
+
+<msg type="info" file="ConstraintSystem" num="0" >The Period constraint &lt;PERIOD=31.25ns;&gt; [BPC3011-Papilio_Pro-general.ucf(29)], is specified using the Net Period method which is not recommended. Please use the Timespec PERIOD method.
+</msg>
+
+</messages>
+
diff --git a/_xmsgs/par.xmsgs b/_xmsgs/par.xmsgs
new file mode 100644
index 0000000..f84336a
--- /dev/null
+++ b/_xmsgs/par.xmsgs
@@ -0,0 +1,9 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<!-- IMPORTANT: This is an internal file that has been generated
+ by the Xilinx ISE software. Any direct editing or
+ changes made to this file may result in unpredictable
+ behavior or data corruption. It is strongly advised that
+ users do not edit the contents of this file. -->
+<messages>
+</messages>
+
diff --git a/_xmsgs/trce.xmsgs b/_xmsgs/trce.xmsgs
new file mode 100644
index 0000000..b8e4b55
--- /dev/null
+++ b/_xmsgs/trce.xmsgs
@@ -0,0 +1,15 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<!-- IMPORTANT: This is an internal file that has been generated
+ by the Xilinx ISE software. Any direct editing or
+ changes made to this file may result in unpredictable
+ behavior or data corruption. It is strongly advised that
+ users do not edit the contents of this file. -->
+<messages>
+<msg type="info" file="Timing" num="3412" delta="old" >To improve timing, see the Timing Closure User Guide (UG612).</msg>
+
+<msg type="info" file="Timing" num="2752" delta="old" >To get complete path coverage, use the unconstrained paths option. All paths that are not constrained will be reported in the unconstrained paths section(s) of the report.</msg>
+
+<msg type="info" file="Timing" num="3339" delta="old" >The clock-to-out numbers in this timing report are based on a 50 Ohm transmission line loading model. For the details of this model, and for more information on accounting for different loading conditions, please see the device datasheet.</msg>
+
+</messages>
+
diff --git a/_xmsgs/xdl.xmsgs b/_xmsgs/xdl.xmsgs
new file mode 100644
index 0000000..2950d90
--- /dev/null
+++ b/_xmsgs/xdl.xmsgs
@@ -0,0 +1,12 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<!-- IMPORTANT: This is an internal file that has been generated
+ by the Xilinx ISE software. Any direct editing or
+ changes made to this file may result in unpredictable
+ behavior or data corruption. It is strongly advised that
+ users do not edit the contents of this file. -->
+<messages>
+<msg type="warning" file="XDL" num="213" delta="new" >The resulting xdl output will not have LUT equation strings or RAM INIT strings.
+</msg>
+
+</messages>
+
diff --git a/_xmsgs/xst.xmsgs b/_xmsgs/xst.xmsgs
index e32e993..8e4df2b 100644
--- a/_xmsgs/xst.xmsgs
+++ b/_xmsgs/xst.xmsgs
@@ -5,7 +5,13 @@
behavior or data corruption. It is strongly advised that
users do not edit the contents of this file. -->
<messages>
-<msg type="error" file="Xst" num="0" delta="new" ><arg fmt="%s" index="1">&quot;led.v&quot; line 21: </arg>Module &lt;<arg fmt="%s" index="2">led</arg>&gt; has no port.
+<msg type="warning" file="Xst" num="1293" delta="old" >FF/Latch &lt;<arg fmt="%s" index="1">count_26</arg>&gt; has a constant value of <arg fmt="%d" index="2">0</arg> in block &lt;<arg fmt="%s" index="3">led</arg>&gt;. This FF/Latch will be trimmed during the optimization process.
+</msg>
+
+<msg type="warning" file="Xst" num="1896" delta="new" >Due to other FF/Latch trimming, FF/Latch &lt;<arg fmt="%s" index="1">count_24</arg>&gt; has a constant value of <arg fmt="%d" index="2">0</arg> in block &lt;<arg fmt="%s" index="3">led</arg>&gt;. This FF/Latch will be trimmed during the optimization process.
+</msg>
+
+<msg type="warning" file="Xst" num="1896" delta="new" >Due to other FF/Latch trimming, FF/Latch &lt;<arg fmt="%s" index="1">count_25</arg>&gt; has a constant value of <arg fmt="%d" index="2">0</arg> in block &lt;<arg fmt="%s" index="3">led</arg>&gt;. This FF/Latch will be trimmed during the optimization process.
</msg>
</messages>
diff --git a/a.out b/a.out
new file mode 100755
index 0000000..cfc9082
--- /dev/null
+++ b/a.out
@@ -0,0 +1,49 @@
+#! /usr/bin/vvp
+:ivl_version "10.1 (stable)" "(v10_1)";
+:ivl_delay_selection "TYPICAL";
+:vpi_time_precision - 12;
+:vpi_module "system";
+:vpi_module "vhdl_sys";
+:vpi_module "v2005_math";
+:vpi_module "va_math";
+S_0x13edb40 .scope module, "led" "led" 2 21;
+ .timescale -9 -12;
+ .port_info 0 /INPUT 1 "CLK"
+ .port_info 1 /OUTPUT 1 "LED1"
+o0x7f70a6ad5018 .functor BUFZ 1, C4<z>; HiZ drive
+v0x13edd20_0 .net "CLK", 0 0, o0x7f70a6ad5018; 0 drivers
+v0x14450f0_0 .var "LED1", 0 0;
+v0x14451b0_0 .var "count", 26 0;
+E_0x13ee450 .event posedge, v0x13edd20_0;
+ .scope S_0x13edb40;
+T_0 ;
+ %pushi/vec4 16000000, 0, 27;
+ %store/vec4 v0x14451b0_0, 0, 27;
+ %pushi/vec4 0, 0, 1;
+ %store/vec4 v0x14450f0_0, 0, 1;
+ %end;
+ .thread T_0;
+ .scope S_0x13edb40;
+T_1 ;
+ %wait E_0x13ee450;
+ %load/vec4 v0x14451b0_0;
+ %cmpi/e 0, 0, 27;
+ %jmp/0xz T_1.0, 4;
+ %load/vec4 v0x14450f0_0;
+ %inv;
+ %assign/vec4 v0x14450f0_0, 0;
+ %pushi/vec4 16000000, 0, 27;
+ %assign/vec4 v0x14451b0_0, 0;
+ %jmp T_1.1;
+T_1.0 ;
+ %load/vec4 v0x14451b0_0;
+ %subi 1, 0, 27;
+ %assign/vec4 v0x14451b0_0, 0;
+T_1.1 ;
+ %jmp T_1;
+ .thread T_1;
+# The file index is used to find the file name in the following table.
+:file_names 3;
+ "N/A";
+ "<interactive>";
+ "led.v";
diff --git a/iseconfig/FPGA-led-lights.projectmgr b/iseconfig/FPGA-led-lights.projectmgr
index 1bf392f..4cff5f6 100644
--- a/iseconfig/FPGA-led-lights.projectmgr
+++ b/iseconfig/FPGA-led-lights.projectmgr
@@ -27,7 +27,7 @@
</SelectedItems>
<ScrollbarPosition orientation="vertical" >0</ScrollbarPosition>
<ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
- <ViewHeaderState orientation="horizontal" >000000ff000000000000000100000001000000000000000000000000000000000000000000000000f1000000010000000100000000000000000000000064ffffffff000000810000000000000001000000f10000000100000000</ViewHeaderState>
+ <ViewHeaderState orientation="horizontal" >000000ff00000000000000010000000100000000000000000000000000000000000000000000000185000000010000000100000000000000000000000064ffffffff000000810000000000000001000001850000000100000000</ViewHeaderState>
<UserChangedColumnWidths orientation="horizontal" >false</UserChangedColumnWidths>
<CurrentItem/>
</ItemView>
@@ -47,7 +47,9 @@
<ClosedNodesVersion>1</ClosedNodesVersion>
<ClosedNode>work</ClosedNode>
</ClosedNodes>
- <SelectedItems/>
+ <SelectedItems>
+ <SelectedItem>work</SelectedItem>
+ </SelectedItems>
<ScrollbarPosition orientation="vertical" >0</ScrollbarPosition>
<ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
<ViewHeaderState orientation="horizontal" >000000ff00000000000000010000000000000000010000000000000000000000000000000000000125000000010001000100000000000000000000000064ffffffff000000810000000000000001000001250000000100000000</ViewHeaderState>
@@ -60,31 +62,32 @@
<ClosedNode>Configure Target Device</ClosedNode>
<ClosedNode>Design Utilities</ClosedNode>
<ClosedNode>Implement Design</ClosedNode>
+ <ClosedNode>Implement Design/Map</ClosedNode>
+ <ClosedNode>Implement Design/Place &amp; Route</ClosedNode>
+ <ClosedNode>Implement Design/Translate</ClosedNode>
<ClosedNode>Synthesize - XST</ClosedNode>
- <ClosedNode>User Constraints</ClosedNode>
</ClosedNodes>
<SelectedItems>
<SelectedItem></SelectedItem>
</SelectedItems>
<ScrollbarPosition orientation="vertical" >0</ScrollbarPosition>
<ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
- <ViewHeaderState orientation="horizontal" >000000ff000000000000000100000001000000000000000000000000000000000000000000000000f1000000010000000100000000000000000000000064ffffffff000000810000000000000001000000f10000000100000000</ViewHeaderState>
+ <ViewHeaderState orientation="horizontal" >000000ff00000000000000010000000100000000000000000000000000000000000000000000000185000000010000000100000000000000000000000064ffffffff000000810000000000000001000001850000000100000000</ViewHeaderState>
<UserChangedColumnWidths orientation="horizontal" >false</UserChangedColumnWidths>
<CurrentItem></CurrentItem>
</ItemView>
<ItemView engineview="SynthesisOnly" sourcetype="DESUT_UCF" guiview="Process" >
<ClosedNodes>
<ClosedNodesVersion>1</ClosedNodesVersion>
- <ClosedNode>User Constraints</ClosedNode>
</ClosedNodes>
<SelectedItems>
- <SelectedItem></SelectedItem>
+ <SelectedItem/>
</SelectedItems>
<ScrollbarPosition orientation="vertical" >0</ScrollbarPosition>
<ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
- <ViewHeaderState orientation="horizontal" >000000ff000000000000000100000001000000000000000000000000000000000000000000000000f1000000010000000100000000000000000000000064ffffffff000000810000000000000001000000f10000000100000000</ViewHeaderState>
+ <ViewHeaderState orientation="horizontal" >000000ff00000000000000010000000100000000000000000000000000000000000000000000000185000000010000000100000000000000000000000064ffffffff000000810000000000000001000001850000000100000000</ViewHeaderState>
<UserChangedColumnWidths orientation="horizontal" >false</UserChangedColumnWidths>
- <CurrentItem></CurrentItem>
+ <CurrentItem/>
</ItemView>
<SourceProcessView>000000ff0000000000000002000001510000012001000000060100000002</SourceProcessView>
<CurrentView>Implementation</CurrentView>
diff --git a/iseconfig/led.xreport b/iseconfig/led.xreport
index 9fc68e7..771a349 100644
--- a/iseconfig/led.xreport
+++ b/iseconfig/led.xreport
@@ -1,9 +1,9 @@
<?xml version='1.0' encoding='UTF-8'?>
<report-views version="2.0" >
<header>
- <DateModified>2017-02-20T16:00:35</DateModified>
+ <DateModified>2017-02-21T23:44:54</DateModified>
<ModuleName>led</ModuleName>
- <SummaryTimeStamp>Unknown</SummaryTimeStamp>
+ <SummaryTimeStamp>2017-02-21T22:10:44</SummaryTimeStamp>
<SavedFilePath>/home/yannherklotz/Github/FPGA-led-lights/iseconfig/led.xreport</SavedFilePath>
<ImplementationReportsDirectory>/home/yannherklotz/Github/FPGA-led-lights/</ImplementationReportsDirectory>
<DateInitialized>2017-02-19T23:10:42</DateInitialized>
diff --git a/led b/led
new file mode 100755
index 0000000..dc3b1a4
--- /dev/null
+++ b/led
@@ -0,0 +1,49 @@
+#! /usr/bin/vvp
+:ivl_version "10.1 (stable)" "(v10_1)";
+:ivl_delay_selection "TYPICAL";
+:vpi_time_precision - 12;
+:vpi_module "system";
+:vpi_module "vhdl_sys";
+:vpi_module "v2005_math";
+:vpi_module "va_math";
+S_0x2024b40 .scope module, "led" "led" 2 21;
+ .timescale -9 -12;
+ .port_info 0 /INPUT 1 "CLK"
+ .port_info 1 /OUTPUT 1 "LED1"
+o0x7fb1922e4018 .functor BUFZ 1, C4<z>; HiZ drive
+v0x2024d20_0 .net "CLK", 0 0, o0x7fb1922e4018; 0 drivers
+v0x207c0f0_0 .var "LED1", 0 0;
+v0x207c1b0_0 .var "count", 26 0;
+E_0x2025450 .event posedge, v0x2024d20_0;
+ .scope S_0x2024b40;
+T_0 ;
+ %pushi/vec4 16000000, 0, 27;
+ %store/vec4 v0x207c1b0_0, 0, 27;
+ %pushi/vec4 0, 0, 1;
+ %store/vec4 v0x207c0f0_0, 0, 1;
+ %end;
+ .thread T_0;
+ .scope S_0x2024b40;
+T_1 ;
+ %wait E_0x2025450;
+ %load/vec4 v0x207c1b0_0;
+ %cmpi/e 0, 0, 27;
+ %jmp/0xz T_1.0, 4;
+ %load/vec4 v0x207c0f0_0;
+ %inv;
+ %assign/vec4 v0x207c0f0_0, 0;
+ %pushi/vec4 16000000, 0, 27;
+ %assign/vec4 v0x207c1b0_0, 0;
+ %jmp T_1.1;
+T_1.0 ;
+ %load/vec4 v0x207c1b0_0;
+ %subi 1, 0, 27;
+ %assign/vec4 v0x207c1b0_0, 0;
+T_1.1 ;
+ %jmp T_1;
+ .thread T_1;
+# The file index is used to find the file name in the following table.
+:file_names 3;
+ "N/A";
+ "<interactive>";
+ "led.v";
diff --git a/led.bgn b/led.bgn
new file mode 100644
index 0000000..c7f61ae
--- /dev/null
+++ b/led.bgn
@@ -0,0 +1,134 @@
+Release 14.7 - Bitgen P.20131013 (lin64)
+Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved.
+Loading device for application Rf_Device from file '6slx9.nph' in environment
+/opt/Xilinx/14.7/ISE_DS/ISE/.
+ "led" is an NCD, version 3.2, device xc6slx9, package tqg144, speed -2
+Opened constraints file led.pcf.
+
+Tue Feb 21 22:17:07 2017
+
+/opt/Xilinx/14.7/ISE_DS/ISE/bin/lin64/unwrapped/bitgen -intstyle ise -w -g DebugBitstream:No -g Binary:no -g CRC:Enable -g Reset_on_err:No -g ConfigRate:2 -g ProgPin:PullUp -g TckPin:PullUp -g TdiPin:PullUp -g TdoPin:PullUp -g TmsPin:PullUp -g UnusedPin:PullDown -g UserID:0xFFFFFFFF -g ExtMasterCclk_en:No -g SPI_buswidth:1 -g TIMER_CFG:0xFFFF -g multipin_wakeup:No -g StartUpClk:CClk -g DONE_cycle:4 -g GTS_cycle:5 -g GWE_cycle:6 -g LCK_cycle:NoWait -g Security:None -g DonePipe:Yes -g DriveDone:No -g en_sw_gsr:No -g drive_awake:No -g sw_clk:Startupclk -g sw_gwe_cycle:5 -g sw_gts_cycle:4 led.ncd
+
+Summary of Bitgen Options:
++----------------------+----------------------+
+| Option Name | Current Setting |
++----------------------+----------------------+
+| Compress | (Not Specified)* |
++----------------------+----------------------+
+| Readback | (Not Specified)* |
++----------------------+----------------------+
+| CRC | Enable** |
++----------------------+----------------------+
+| DebugBitstream | No** |
++----------------------+----------------------+
+| ConfigRate | 2** |
++----------------------+----------------------+
+| StartupClk | Cclk** |
++----------------------+----------------------+
+| DonePin | Pullup* |
++----------------------+----------------------+
+| ProgPin | Pullup** |
++----------------------+----------------------+
+| TckPin | Pullup** |
++----------------------+----------------------+
+| TdiPin | Pullup** |
++----------------------+----------------------+
+| TdoPin | Pullup** |
++----------------------+----------------------+
+| TmsPin | Pullup** |
++----------------------+----------------------+
+| UnusedPin | Pulldown** |
++----------------------+----------------------+
+| GWE_cycle | 6** |
++----------------------+----------------------+
+| GTS_cycle | 5** |
++----------------------+----------------------+
+| LCK_cycle | NoWait** |
++----------------------+----------------------+
+| DONE_cycle | 4** |
++----------------------+----------------------+
+| Persist | No* |
++----------------------+----------------------+
+| DriveDone | No** |
++----------------------+----------------------+
+| DonePipe | Yes |
++----------------------+----------------------+
+| Security | None** |
++----------------------+----------------------+
+| UserID | 0xFFFFFFFF** |
++----------------------+----------------------+
+| ActiveReconfig | No* |
++----------------------+----------------------+
+| Partial | (Not Specified)* |
++----------------------+----------------------+
+| Encrypt | No* |
++----------------------+----------------------+
+| Key0 | pick* |
++----------------------+----------------------+
+| StartCBC | pick* |
++----------------------+----------------------+
+| KeyFile | (Not Specified)* |
++----------------------+----------------------+
+| drive_awake | No** |
++----------------------+----------------------+
+| Reset_on_err | No** |
++----------------------+----------------------+
+| suspend_filter | Yes* |
++----------------------+----------------------+
+| en_sw_gsr | No** |
++----------------------+----------------------+
+| en_suspend | No* |
++----------------------+----------------------+
+| sw_clk | Startupclk** |
++----------------------+----------------------+
+| sw_gwe_cycle | 5** |
++----------------------+----------------------+
+| sw_gts_cycle | 4** |
++----------------------+----------------------+
+| multipin_wakeup | No** |
++----------------------+----------------------+
+| wakeup_mask | 0x00* |
++----------------------+----------------------+
+| ExtMasterCclk_en | No** |
++----------------------+----------------------+
+| ExtMasterCclk_divide | 1* |
++----------------------+----------------------+
+| CrcCoverage | No* |
++----------------------+----------------------+
+| glutmask | Yes* |
++----------------------+----------------------+
+| next_config_addr | 0x00000000* |
++----------------------+----------------------+
+| next_config_new_mode | No* |
++----------------------+----------------------+
+| next_config_boot_mode | 001* |
++----------------------+----------------------+
+| next_config_register_write | Enable* |
++----------------------+----------------------+
+| next_config_reboot | Enable* |
++----------------------+----------------------+
+| golden_config_addr | 0x00000000* |
++----------------------+----------------------+
+| failsafe_user | 0x0000* |
++----------------------+----------------------+
+| TIMER_CFG | 0xFFFF |
++----------------------+----------------------+
+| spi_buswidth | 1** |
++----------------------+----------------------+
+| TimeStamp | Default* |
++----------------------+----------------------+
+| IEEE1532 | No* |
++----------------------+----------------------+
+| Binary | No** |
++----------------------+----------------------+
+ * Default setting.
+ ** The specified setting matches the default setting.
+
+There were 0 CONFIG constraint(s) processed from led.pcf.
+
+
+Running DRC.
+DRC detected 0 errors and 0 warnings.
+Creating bit map...
+Saving bit stream in "led.bit".
+Bitstream generation is complete.
diff --git a/led.bit b/led.bit
new file mode 100644
index 0000000..eca8bc9
--- /dev/null
+++ b/led.bit
Binary files differ
diff --git a/led.bld b/led.bld
new file mode 100644
index 0000000..c59934e
--- /dev/null
+++ b/led.bld
@@ -0,0 +1,1657 @@
+Release 14.7 ngdbuild P.20131013 (lin64)
+Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved.
+
+Command Line: /opt/Xilinx/14.7/ISE_DS/ISE/bin/lin64/unwrapped/ngdbuild -intstyle
+ise -dd _ngo -aul -nt timestamp -uc BPC3011-Papilio_Pro-general.ucf -p
+xc6slx9-tqg144-2 led.ngc led.ngd
+
+Reading NGO file "/home/yannherklotz/Github/FPGA-led-lights/led.ngc" ...
+Gathering constraint information from source properties...
+Done.
+
+Annotating constraints to design from ucf file "BPC3011-Papilio_Pro-general.ucf"
+...
+Resolving constraint associations...
+Checking Constraint Associations...
+INFO:ConstraintSystem:59 - Constraint <NET RX LOC="P101" |>
+ [BPC3011-Papilio_Pro-general.ucf(30)]: NET "RX" not found. Please verify
+ that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+WARNING:ConstraintSystem - A target design object for the Locate constraint
+ '<NET RX LOC="P101" |> [BPC3011-Papilio_Pro-general.ucf(30)]'
+ could not be found and so the Locate constraint will be removed.
+
+INFO:ConstraintSystem:59 - Constraint <IOSTANDARD=LVTTL |>
+ [BPC3011-Papilio_Pro-general.ucf(30)]: NET "RX" not found. Please verify
+ that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+INFO:ConstraintSystem:59 - Constraint <DRIVE=8 |>
+ [BPC3011-Papilio_Pro-general.ucf(30)]: NET "RX" not found. Please verify
+ that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+INFO:ConstraintSystem:59 - Constraint <SLEW=FAST;>
+ [BPC3011-Papilio_Pro-general.ucf(30)]: NET "RX" not found. Please verify
+ that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+INFO:ConstraintSystem:59 - Constraint <NET A(0) LOC="P48" |>
+ [BPC3011-Papilio_Pro-general.ucf(32)]: NET "A(0)" not found. Please verify
+ that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+WARNING:ConstraintSystem - A target design object for the Locate constraint
+ '<NET A(0) LOC="P48" |> [BPC3011-Papilio_Pro-general.ucf(32)]'
+ could not be found and so the Locate constraint will be removed.
+
+INFO:ConstraintSystem:59 - Constraint <IOSTANDARD=LVTTL;>
+ [BPC3011-Papilio_Pro-general.ucf(32)]: NET "A(0)" not found. Please verify
+ that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+INFO:ConstraintSystem:59 - Constraint <NET A(1) LOC="P51" |>
+ [BPC3011-Papilio_Pro-general.ucf(33)]: NET "A(1)" not found. Please verify
+ that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+WARNING:ConstraintSystem - A target design object for the Locate constraint
+ '<NET A(1) LOC="P51" |> [BPC3011-Papilio_Pro-general.ucf(33)]'
+ could not be found and so the Locate constraint will be removed.
+
+INFO:ConstraintSystem:59 - Constraint <IOSTANDARD=LVTTL;>
+ [BPC3011-Papilio_Pro-general.ucf(33)]: NET "A(1)" not found. Please verify
+ that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+INFO:ConstraintSystem:59 - Constraint <NET A(2) LOC="P56" |>
+ [BPC3011-Papilio_Pro-general.ucf(34)]: NET "A(2)" not found. Please verify
+ that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+WARNING:ConstraintSystem - A target design object for the Locate constraint
+ '<NET A(2) LOC="P56" |> [BPC3011-Papilio_Pro-general.ucf(34)]'
+ could not be found and so the Locate constraint will be removed.
+
+INFO:ConstraintSystem:59 - Constraint <IOSTANDARD=LVTTL;>
+ [BPC3011-Papilio_Pro-general.ucf(34)]: NET "A(2)" not found. Please verify
+ that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+INFO:ConstraintSystem:59 - Constraint <NET A(3) LOC="P58" |>
+ [BPC3011-Papilio_Pro-general.ucf(35)]: NET "A(3)" not found. Please verify
+ that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+WARNING:ConstraintSystem - A target design object for the Locate constraint
+ '<NET A(3) LOC="P58" |> [BPC3011-Papilio_Pro-general.ucf(35)]'
+ could not be found and so the Locate constraint will be removed.
+
+INFO:ConstraintSystem:59 - Constraint <IOSTANDARD=LVTTL;>
+ [BPC3011-Papilio_Pro-general.ucf(35)]: NET "A(3)" not found. Please verify
+ that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+INFO:ConstraintSystem:59 - Constraint <NET A(4) LOC="P61" |>
+ [BPC3011-Papilio_Pro-general.ucf(36)]: NET "A(4)" not found. Please verify
+ that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+WARNING:ConstraintSystem - A target design object for the Locate constraint
+ '<NET A(4) LOC="P61" |> [BPC3011-Papilio_Pro-general.ucf(36)]'
+ could not be found and so the Locate constraint will be removed.
+
+INFO:ConstraintSystem:59 - Constraint <IOSTANDARD=LVTTL;>
+ [BPC3011-Papilio_Pro-general.ucf(36)]: NET "A(4)" not found. Please verify
+ that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+INFO:ConstraintSystem:59 - Constraint <NET A(5) LOC="P66" |>
+ [BPC3011-Papilio_Pro-general.ucf(37)]: NET "A(5)" not found. Please verify
+ that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+WARNING:ConstraintSystem - A target design object for the Locate constraint
+ '<NET A(5) LOC="P66" |> [BPC3011-Papilio_Pro-general.ucf(37)]'
+ could not be found and so the Locate constraint will be removed.
+
+INFO:ConstraintSystem:59 - Constraint <IOSTANDARD=LVTTL;>
+ [BPC3011-Papilio_Pro-general.ucf(37)]: NET "A(5)" not found. Please verify
+ that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+INFO:ConstraintSystem:59 - Constraint <NET A(6) LOC="P67" |>
+ [BPC3011-Papilio_Pro-general.ucf(38)]: NET "A(6)" not found. Please verify
+ that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+WARNING:ConstraintSystem - A target design object for the Locate constraint
+ '<NET A(6) LOC="P67" |> [BPC3011-Papilio_Pro-general.ucf(38)]'
+ could not be found and so the Locate constraint will be removed.
+
+INFO:ConstraintSystem:59 - Constraint <IOSTANDARD=LVTTL;>
+ [BPC3011-Papilio_Pro-general.ucf(38)]: NET "A(6)" not found. Please verify
+ that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+INFO:ConstraintSystem:59 - Constraint <NET A(7) LOC="P75" |>
+ [BPC3011-Papilio_Pro-general.ucf(39)]: NET "A(7)" not found. Please verify
+ that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+WARNING:ConstraintSystem - A target design object for the Locate constraint
+ '<NET A(7) LOC="P75" |> [BPC3011-Papilio_Pro-general.ucf(39)]'
+ could not be found and so the Locate constraint will be removed.
+
+INFO:ConstraintSystem:59 - Constraint <IOSTANDARD=LVTTL;>
+ [BPC3011-Papilio_Pro-general.ucf(39)]: NET "A(7)" not found. Please verify
+ that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+INFO:ConstraintSystem:59 - Constraint <NET A(8) LOC="P79" |>
+ [BPC3011-Papilio_Pro-general.ucf(40)]: NET "A(8)" not found. Please verify
+ that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+WARNING:ConstraintSystem - A target design object for the Locate constraint
+ '<NET A(8) LOC="P79" |> [BPC3011-Papilio_Pro-general.ucf(40)]'
+ could not be found and so the Locate constraint will be removed.
+
+INFO:ConstraintSystem:59 - Constraint <IOSTANDARD=LVTTL;>
+ [BPC3011-Papilio_Pro-general.ucf(40)]: NET "A(8)" not found. Please verify
+ that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+INFO:ConstraintSystem:59 - Constraint <NET A(9) LOC="P81" |>
+ [BPC3011-Papilio_Pro-general.ucf(41)]: NET "A(9)" not found. Please verify
+ that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+WARNING:ConstraintSystem - A target design object for the Locate constraint
+ '<NET A(9) LOC="P81" |> [BPC3011-Papilio_Pro-general.ucf(41)]'
+ could not be found and so the Locate constraint will be removed.
+
+INFO:ConstraintSystem:59 - Constraint <IOSTANDARD=LVTTL;>
+ [BPC3011-Papilio_Pro-general.ucf(41)]: NET "A(9)" not found. Please verify
+ that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+INFO:ConstraintSystem:59 - Constraint <NET A(10) LOC="P83" |>
+ [BPC3011-Papilio_Pro-general.ucf(42)]: NET "A(10)" not found. Please verify
+ that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+WARNING:ConstraintSystem - A target design object for the Locate constraint
+ '<NET A(10) LOC="P83" |> [BPC3011-Papilio_Pro-general.ucf(42)]'
+ could not be found and so the Locate constraint will be removed.
+
+INFO:ConstraintSystem:59 - Constraint <IOSTANDARD=LVTTL;>
+ [BPC3011-Papilio_Pro-general.ucf(42)]: NET "A(10)" not found. Please verify
+ that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+INFO:ConstraintSystem:59 - Constraint <NET A(11) LOC="P85" |>
+ [BPC3011-Papilio_Pro-general.ucf(43)]: NET "A(11)" not found. Please verify
+ that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+WARNING:ConstraintSystem - A target design object for the Locate constraint
+ '<NET A(11) LOC="P85" |> [BPC3011-Papilio_Pro-general.ucf(43)]'
+ could not be found and so the Locate constraint will be removed.
+
+INFO:ConstraintSystem:59 - Constraint <IOSTANDARD=LVTTL;>
+ [BPC3011-Papilio_Pro-general.ucf(43)]: NET "A(11)" not found. Please verify
+ that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+INFO:ConstraintSystem:59 - Constraint <NET A(12) LOC="P88" |>
+ [BPC3011-Papilio_Pro-general.ucf(44)]: NET "A(12)" not found. Please verify
+ that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+WARNING:ConstraintSystem - A target design object for the Locate constraint
+ '<NET A(12) LOC="P88" |> [BPC3011-Papilio_Pro-general.ucf(44)]'
+ could not be found and so the Locate constraint will be removed.
+
+INFO:ConstraintSystem:59 - Constraint <IOSTANDARD=LVTTL;>
+ [BPC3011-Papilio_Pro-general.ucf(44)]: NET "A(12)" not found. Please verify
+ that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+INFO:ConstraintSystem:59 - Constraint <NET A(13) LOC="P93" |>
+ [BPC3011-Papilio_Pro-general.ucf(45)]: NET "A(13)" not found. Please verify
+ that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+WARNING:ConstraintSystem - A target design object for the Locate constraint
+ '<NET A(13) LOC="P93" |> [BPC3011-Papilio_Pro-general.ucf(45)]'
+ could not be found and so the Locate constraint will be removed.
+
+INFO:ConstraintSystem:59 - Constraint <IOSTANDARD=LVTTL;>
+ [BPC3011-Papilio_Pro-general.ucf(45)]: NET "A(13)" not found. Please verify
+ that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+INFO:ConstraintSystem:59 - Constraint <NET A(14) LOC="P98" |>
+ [BPC3011-Papilio_Pro-general.ucf(46)]: NET "A(14)" not found. Please verify
+ that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+WARNING:ConstraintSystem - A target design object for the Locate constraint
+ '<NET A(14) LOC="P98" |> [BPC3011-Papilio_Pro-general.ucf(46)]'
+ could not be found and so the Locate constraint will be removed.
+
+INFO:ConstraintSystem:59 - Constraint <IOSTANDARD=LVTTL;>
+ [BPC3011-Papilio_Pro-general.ucf(46)]: NET "A(14)" not found. Please verify
+ that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+INFO:ConstraintSystem:59 - Constraint <NET A(15) LOC="P100" |>
+ [BPC3011-Papilio_Pro-general.ucf(47)]: NET "A(15)" not found. Please verify
+ that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+WARNING:ConstraintSystem - A target design object for the Locate constraint
+ '<NET A(15) LOC="P100" |> [BPC3011-Papilio_Pro-general.ucf(47)]'
+ could not be found and so the Locate constraint will be removed.
+
+INFO:ConstraintSystem:59 - Constraint <IOSTANDARD=LVTTL;>
+ [BPC3011-Papilio_Pro-general.ucf(47)]: NET "A(15)" not found. Please verify
+ that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+INFO:ConstraintSystem:59 - Constraint <NET B(0) LOC="P99" |>
+ [BPC3011-Papilio_Pro-general.ucf(48)]: NET "B(0)" not found. Please verify
+ that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+WARNING:ConstraintSystem - A target design object for the Locate constraint
+ '<NET B(0) LOC="P99" |> [BPC3011-Papilio_Pro-general.ucf(48)]'
+ could not be found and so the Locate constraint will be removed.
+
+INFO:ConstraintSystem:59 - Constraint <IOSTANDARD=LVTTL;>
+ [BPC3011-Papilio_Pro-general.ucf(48)]: NET "B(0)" not found. Please verify
+ that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+INFO:ConstraintSystem:59 - Constraint <NET B(1) LOC="P97" |>
+ [BPC3011-Papilio_Pro-general.ucf(49)]: NET "B(1)" not found. Please verify
+ that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+WARNING:ConstraintSystem - A target design object for the Locate constraint
+ '<NET B(1) LOC="P97" |> [BPC3011-Papilio_Pro-general.ucf(49)]'
+ could not be found and so the Locate constraint will be removed.
+
+INFO:ConstraintSystem:59 - Constraint <IOSTANDARD=LVTTL;>
+ [BPC3011-Papilio_Pro-general.ucf(49)]: NET "B(1)" not found. Please verify
+ that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+INFO:ConstraintSystem:59 - Constraint <NET B(2) LOC="P92" |>
+ [BPC3011-Papilio_Pro-general.ucf(50)]: NET "B(2)" not found. Please verify
+ that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+WARNING:ConstraintSystem - A target design object for the Locate constraint
+ '<NET B(2) LOC="P92" |> [BPC3011-Papilio_Pro-general.ucf(50)]'
+ could not be found and so the Locate constraint will be removed.
+
+INFO:ConstraintSystem:59 - Constraint <IOSTANDARD=LVTTL;>
+ [BPC3011-Papilio_Pro-general.ucf(50)]: NET "B(2)" not found. Please verify
+ that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+INFO:ConstraintSystem:59 - Constraint <NET B(3) LOC="P87" |>
+ [BPC3011-Papilio_Pro-general.ucf(51)]: NET "B(3)" not found. Please verify
+ that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+WARNING:ConstraintSystem - A target design object for the Locate constraint
+ '<NET B(3) LOC="P87" |> [BPC3011-Papilio_Pro-general.ucf(51)]'
+ could not be found and so the Locate constraint will be removed.
+
+INFO:ConstraintSystem:59 - Constraint <IOSTANDARD=LVTTL;>
+ [BPC3011-Papilio_Pro-general.ucf(51)]: NET "B(3)" not found. Please verify
+ that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+INFO:ConstraintSystem:59 - Constraint <NET B(4) LOC="P84" |>
+ [BPC3011-Papilio_Pro-general.ucf(52)]: NET "B(4)" not found. Please verify
+ that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+WARNING:ConstraintSystem - A target design object for the Locate constraint
+ '<NET B(4) LOC="P84" |> [BPC3011-Papilio_Pro-general.ucf(52)]'
+ could not be found and so the Locate constraint will be removed.
+
+INFO:ConstraintSystem:59 - Constraint <IOSTANDARD=LVTTL;>
+ [BPC3011-Papilio_Pro-general.ucf(52)]: NET "B(4)" not found. Please verify
+ that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+INFO:ConstraintSystem:59 - Constraint <NET B(5) LOC="P82" |>
+ [BPC3011-Papilio_Pro-general.ucf(53)]: NET "B(5)" not found. Please verify
+ that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+WARNING:ConstraintSystem - A target design object for the Locate constraint
+ '<NET B(5) LOC="P82" |> [BPC3011-Papilio_Pro-general.ucf(53)]'
+ could not be found and so the Locate constraint will be removed.
+
+INFO:ConstraintSystem:59 - Constraint <IOSTANDARD=LVTTL;>
+ [BPC3011-Papilio_Pro-general.ucf(53)]: NET "B(5)" not found. Please verify
+ that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+INFO:ConstraintSystem:59 - Constraint <NET B(6) LOC="P80" |>
+ [BPC3011-Papilio_Pro-general.ucf(54)]: NET "B(6)" not found. Please verify
+ that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+WARNING:ConstraintSystem - A target design object for the Locate constraint
+ '<NET B(6) LOC="P80" |> [BPC3011-Papilio_Pro-general.ucf(54)]'
+ could not be found and so the Locate constraint will be removed.
+
+INFO:ConstraintSystem:59 - Constraint <IOSTANDARD=LVTTL;>
+ [BPC3011-Papilio_Pro-general.ucf(54)]: NET "B(6)" not found. Please verify
+ that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+INFO:ConstraintSystem:59 - Constraint <NET B(7) LOC="P78" |>
+ [BPC3011-Papilio_Pro-general.ucf(55)]: NET "B(7)" not found. Please verify
+ that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+WARNING:ConstraintSystem - A target design object for the Locate constraint
+ '<NET B(7) LOC="P78" |> [BPC3011-Papilio_Pro-general.ucf(55)]'
+ could not be found and so the Locate constraint will be removed.
+
+INFO:ConstraintSystem:59 - Constraint <IOSTANDARD=LVTTL;>
+ [BPC3011-Papilio_Pro-general.ucf(55)]: NET "B(7)" not found. Please verify
+ that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+INFO:ConstraintSystem:59 - Constraint <NET B(8) LOC="P74" |>
+ [BPC3011-Papilio_Pro-general.ucf(56)]: NET "B(8)" not found. Please verify
+ that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+WARNING:ConstraintSystem - A target design object for the Locate constraint
+ '<NET B(8) LOC="P74" |> [BPC3011-Papilio_Pro-general.ucf(56)]'
+ could not be found and so the Locate constraint will be removed.
+
+INFO:ConstraintSystem:59 - Constraint <IOSTANDARD=LVTTL;>
+ [BPC3011-Papilio_Pro-general.ucf(56)]: NET "B(8)" not found. Please verify
+ that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+INFO:ConstraintSystem:59 - Constraint <NET B(9) LOC="P95" |>
+ [BPC3011-Papilio_Pro-general.ucf(57)]: NET "B(9)" not found. Please verify
+ that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+WARNING:ConstraintSystem - A target design object for the Locate constraint
+ '<NET B(9) LOC="P95" |> [BPC3011-Papilio_Pro-general.ucf(57)]'
+ could not be found and so the Locate constraint will be removed.
+
+INFO:ConstraintSystem:59 - Constraint <IOSTANDARD=LVTTL;>
+ [BPC3011-Papilio_Pro-general.ucf(57)]: NET "B(9)" not found. Please verify
+ that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+INFO:ConstraintSystem:59 - Constraint <NET B(10) LOC="P62" |>
+ [BPC3011-Papilio_Pro-general.ucf(58)]: NET "B(10)" not found. Please verify
+ that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+WARNING:ConstraintSystem - A target design object for the Locate constraint
+ '<NET B(10) LOC="P62" |> [BPC3011-Papilio_Pro-general.ucf(58)]'
+ could not be found and so the Locate constraint will be removed.
+
+INFO:ConstraintSystem:59 - Constraint <IOSTANDARD=LVTTL;>
+ [BPC3011-Papilio_Pro-general.ucf(58)]: NET "B(10)" not found. Please verify
+ that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+INFO:ConstraintSystem:59 - Constraint <NET B(11) LOC="P59" |>
+ [BPC3011-Papilio_Pro-general.ucf(59)]: NET "B(11)" not found. Please verify
+ that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+WARNING:ConstraintSystem - A target design object for the Locate constraint
+ '<NET B(11) LOC="P59" |> [BPC3011-Papilio_Pro-general.ucf(59)]'
+ could not be found and so the Locate constraint will be removed.
+
+INFO:ConstraintSystem:59 - Constraint <IOSTANDARD=LVTTL;>
+ [BPC3011-Papilio_Pro-general.ucf(59)]: NET "B(11)" not found. Please verify
+ that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+INFO:ConstraintSystem:59 - Constraint <NET B(12) LOC="P57" |>
+ [BPC3011-Papilio_Pro-general.ucf(60)]: NET "B(12)" not found. Please verify
+ that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+WARNING:ConstraintSystem - A target design object for the Locate constraint
+ '<NET B(12) LOC="P57" |> [BPC3011-Papilio_Pro-general.ucf(60)]'
+ could not be found and so the Locate constraint will be removed.
+
+INFO:ConstraintSystem:59 - Constraint <IOSTANDARD=LVTTL;>
+ [BPC3011-Papilio_Pro-general.ucf(60)]: NET "B(12)" not found. Please verify
+ that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+INFO:ConstraintSystem:59 - Constraint <NET B(13) LOC="P55" |>
+ [BPC3011-Papilio_Pro-general.ucf(61)]: NET "B(13)" not found. Please verify
+ that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+WARNING:ConstraintSystem - A target design object for the Locate constraint
+ '<NET B(13) LOC="P55" |> [BPC3011-Papilio_Pro-general.ucf(61)]'
+ could not be found and so the Locate constraint will be removed.
+
+INFO:ConstraintSystem:59 - Constraint <IOSTANDARD=LVTTL;>
+ [BPC3011-Papilio_Pro-general.ucf(61)]: NET "B(13)" not found. Please verify
+ that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+INFO:ConstraintSystem:59 - Constraint <NET B(14) LOC="P50" |>
+ [BPC3011-Papilio_Pro-general.ucf(62)]: NET "B(14)" not found. Please verify
+ that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+WARNING:ConstraintSystem - A target design object for the Locate constraint
+ '<NET B(14) LOC="P50" |> [BPC3011-Papilio_Pro-general.ucf(62)]'
+ could not be found and so the Locate constraint will be removed.
+
+INFO:ConstraintSystem:59 - Constraint <IOSTANDARD=LVTTL;>
+ [BPC3011-Papilio_Pro-general.ucf(62)]: NET "B(14)" not found. Please verify
+ that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+INFO:ConstraintSystem:59 - Constraint <NET B(15) LOC="P47" |>
+ [BPC3011-Papilio_Pro-general.ucf(63)]: NET "B(15)" not found. Please verify
+ that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+WARNING:ConstraintSystem - A target design object for the Locate constraint
+ '<NET B(15) LOC="P47" |> [BPC3011-Papilio_Pro-general.ucf(63)]'
+ could not be found and so the Locate constraint will be removed.
+
+INFO:ConstraintSystem:59 - Constraint <IOSTANDARD=LVTTL;>
+ [BPC3011-Papilio_Pro-general.ucf(63)]: NET "B(15)" not found. Please verify
+ that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+INFO:ConstraintSystem:59 - Constraint <NET C(0) LOC="P114" |>
+ [BPC3011-Papilio_Pro-general.ucf(64)]: NET "C(0)" not found. Please verify
+ that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+WARNING:ConstraintSystem - A target design object for the Locate constraint
+ '<NET C(0) LOC="P114" |> [BPC3011-Papilio_Pro-general.ucf(64)]'
+ could not be found and so the Locate constraint will be removed.
+
+INFO:ConstraintSystem:59 - Constraint <IOSTANDARD=LVTTL;>
+ [BPC3011-Papilio_Pro-general.ucf(64)]: NET "C(0)" not found. Please verify
+ that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+INFO:ConstraintSystem:59 - Constraint <NET C(1) LOC="P115" |>
+ [BPC3011-Papilio_Pro-general.ucf(65)]: NET "C(1)" not found. Please verify
+ that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+WARNING:ConstraintSystem - A target design object for the Locate constraint
+ '<NET C(1) LOC="P115" |> [BPC3011-Papilio_Pro-general.ucf(65)]'
+ could not be found and so the Locate constraint will be removed.
+
+INFO:ConstraintSystem:59 - Constraint <IOSTANDARD=LVTTL;>
+ [BPC3011-Papilio_Pro-general.ucf(65)]: NET "C(1)" not found. Please verify
+ that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+INFO:ConstraintSystem:59 - Constraint <NET C(2) LOC="P116" |>
+ [BPC3011-Papilio_Pro-general.ucf(66)]: NET "C(2)" not found. Please verify
+ that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+WARNING:ConstraintSystem - A target design object for the Locate constraint
+ '<NET C(2) LOC="P116" |> [BPC3011-Papilio_Pro-general.ucf(66)]'
+ could not be found and so the Locate constraint will be removed.
+
+INFO:ConstraintSystem:59 - Constraint <IOSTANDARD=LVTTL;>
+ [BPC3011-Papilio_Pro-general.ucf(66)]: NET "C(2)" not found. Please verify
+ that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+INFO:ConstraintSystem:59 - Constraint <NET C(3) LOC="P117" |>
+ [BPC3011-Papilio_Pro-general.ucf(67)]: NET "C(3)" not found. Please verify
+ that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+WARNING:ConstraintSystem - A target design object for the Locate constraint
+ '<NET C(3) LOC="P117" |> [BPC3011-Papilio_Pro-general.ucf(67)]'
+ could not be found and so the Locate constraint will be removed.
+
+INFO:ConstraintSystem:59 - Constraint <IOSTANDARD=LVTTL;>
+ [BPC3011-Papilio_Pro-general.ucf(67)]: NET "C(3)" not found. Please verify
+ that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+INFO:ConstraintSystem:59 - Constraint <NET C(4) LOC="P118" |>
+ [BPC3011-Papilio_Pro-general.ucf(68)]: NET "C(4)" not found. Please verify
+ that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+WARNING:ConstraintSystem - A target design object for the Locate constraint
+ '<NET C(4) LOC="P118" |> [BPC3011-Papilio_Pro-general.ucf(68)]'
+ could not be found and so the Locate constraint will be removed.
+
+INFO:ConstraintSystem:59 - Constraint <IOSTANDARD=LVTTL;>
+ [BPC3011-Papilio_Pro-general.ucf(68)]: NET "C(4)" not found. Please verify
+ that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+INFO:ConstraintSystem:59 - Constraint <NET C(5) LOC="P119" |>
+ [BPC3011-Papilio_Pro-general.ucf(69)]: NET "C(5)" not found. Please verify
+ that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+WARNING:ConstraintSystem - A target design object for the Locate constraint
+ '<NET C(5) LOC="P119" |> [BPC3011-Papilio_Pro-general.ucf(69)]'
+ could not be found and so the Locate constraint will be removed.
+
+INFO:ConstraintSystem:59 - Constraint <IOSTANDARD=LVTTL;>
+ [BPC3011-Papilio_Pro-general.ucf(69)]: NET "C(5)" not found. Please verify
+ that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+INFO:ConstraintSystem:59 - Constraint <NET C(6) LOC="P120" |>
+ [BPC3011-Papilio_Pro-general.ucf(70)]: NET "C(6)" not found. Please verify
+ that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+WARNING:ConstraintSystem - A target design object for the Locate constraint
+ '<NET C(6) LOC="P120" |> [BPC3011-Papilio_Pro-general.ucf(70)]'
+ could not be found and so the Locate constraint will be removed.
+
+INFO:ConstraintSystem:59 - Constraint <IOSTANDARD=LVTTL;>
+ [BPC3011-Papilio_Pro-general.ucf(70)]: NET "C(6)" not found. Please verify
+ that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+INFO:ConstraintSystem:59 - Constraint <NET C(7) LOC="P121" |>
+ [BPC3011-Papilio_Pro-general.ucf(71)]: NET "C(7)" not found. Please verify
+ that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+WARNING:ConstraintSystem - A target design object for the Locate constraint
+ '<NET C(7) LOC="P121" |> [BPC3011-Papilio_Pro-general.ucf(71)]'
+ could not be found and so the Locate constraint will be removed.
+
+INFO:ConstraintSystem:59 - Constraint <IOSTANDARD=LVTTL;>
+ [BPC3011-Papilio_Pro-general.ucf(71)]: NET "C(7)" not found. Please verify
+ that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+INFO:ConstraintSystem:59 - Constraint <NET C(8) LOC="P123" |>
+ [BPC3011-Papilio_Pro-general.ucf(72)]: NET "C(8)" not found. Please verify
+ that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+WARNING:ConstraintSystem - A target design object for the Locate constraint
+ '<NET C(8) LOC="P123" |> [BPC3011-Papilio_Pro-general.ucf(72)]'
+ could not be found and so the Locate constraint will be removed.
+
+INFO:ConstraintSystem:59 - Constraint <IOSTANDARD=LVTTL;>
+ [BPC3011-Papilio_Pro-general.ucf(72)]: NET "C(8)" not found. Please verify
+ that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+INFO:ConstraintSystem:59 - Constraint <NET C(9) LOC="P124" |>
+ [BPC3011-Papilio_Pro-general.ucf(73)]: NET "C(9)" not found. Please verify
+ that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+WARNING:ConstraintSystem - A target design object for the Locate constraint
+ '<NET C(9) LOC="P124" |> [BPC3011-Papilio_Pro-general.ucf(73)]'
+ could not be found and so the Locate constraint will be removed.
+
+INFO:ConstraintSystem:59 - Constraint <IOSTANDARD=LVTTL;>
+ [BPC3011-Papilio_Pro-general.ucf(73)]: NET "C(9)" not found. Please verify
+ that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+INFO:ConstraintSystem:59 - Constraint <NET C(10) LOC="P126" |>
+ [BPC3011-Papilio_Pro-general.ucf(74)]: NET "C(10)" not found. Please verify
+ that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+WARNING:ConstraintSystem - A target design object for the Locate constraint
+ '<NET C(10) LOC="P126" |> [BPC3011-Papilio_Pro-general.ucf(74)]'
+ could not be found and so the Locate constraint will be removed.
+
+INFO:ConstraintSystem:59 - Constraint <IOSTANDARD=LVTTL;>
+ [BPC3011-Papilio_Pro-general.ucf(74)]: NET "C(10)" not found. Please verify
+ that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+INFO:ConstraintSystem:59 - Constraint <NET C(11) LOC="P127" |>
+ [BPC3011-Papilio_Pro-general.ucf(75)]: NET "C(11)" not found. Please verify
+ that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+WARNING:ConstraintSystem - A target design object for the Locate constraint
+ '<NET C(11) LOC="P127" |> [BPC3011-Papilio_Pro-general.ucf(75)]'
+ could not be found and so the Locate constraint will be removed.
+
+INFO:ConstraintSystem:59 - Constraint <IOSTANDARD=LVTTL;>
+ [BPC3011-Papilio_Pro-general.ucf(75)]: NET "C(11)" not found. Please verify
+ that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+INFO:ConstraintSystem:59 - Constraint <NET C(12) LOC="P131" |>
+ [BPC3011-Papilio_Pro-general.ucf(76)]: NET "C(12)" not found. Please verify
+ that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+WARNING:ConstraintSystem - A target design object for the Locate constraint
+ '<NET C(12) LOC="P131" |> [BPC3011-Papilio_Pro-general.ucf(76)]'
+ could not be found and so the Locate constraint will be removed.
+
+INFO:ConstraintSystem:59 - Constraint <IOSTANDARD=LVTTL;>
+ [BPC3011-Papilio_Pro-general.ucf(76)]: NET "C(12)" not found. Please verify
+ that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+INFO:ConstraintSystem:59 - Constraint <NET C(13) LOC="P132" |>
+ [BPC3011-Papilio_Pro-general.ucf(77)]: NET "C(13)" not found. Please verify
+ that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+WARNING:ConstraintSystem - A target design object for the Locate constraint
+ '<NET C(13) LOC="P132" |> [BPC3011-Papilio_Pro-general.ucf(77)]'
+ could not be found and so the Locate constraint will be removed.
+
+INFO:ConstraintSystem:59 - Constraint <IOSTANDARD=LVTTL;>
+ [BPC3011-Papilio_Pro-general.ucf(77)]: NET "C(13)" not found. Please verify
+ that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+INFO:ConstraintSystem:59 - Constraint <NET C(14) LOC="P133" |>
+ [BPC3011-Papilio_Pro-general.ucf(78)]: NET "C(14)" not found. Please verify
+ that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+WARNING:ConstraintSystem - A target design object for the Locate constraint
+ '<NET C(14) LOC="P133" |> [BPC3011-Papilio_Pro-general.ucf(78)]'
+ could not be found and so the Locate constraint will be removed.
+
+INFO:ConstraintSystem:59 - Constraint <IOSTANDARD=LVTTL;>
+ [BPC3011-Papilio_Pro-general.ucf(78)]: NET "C(14)" not found. Please verify
+ that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+INFO:ConstraintSystem:59 - Constraint <NET C(15) LOC="P134" |>
+ [BPC3011-Papilio_Pro-general.ucf(79)]: NET "C(15)" not found. Please verify
+ that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+WARNING:ConstraintSystem - A target design object for the Locate constraint
+ '<NET C(15) LOC="P134" |> [BPC3011-Papilio_Pro-general.ucf(79)]'
+ could not be found and so the Locate constraint will be removed.
+
+INFO:ConstraintSystem:59 - Constraint <IOSTANDARD=LVTTL;>
+ [BPC3011-Papilio_Pro-general.ucf(79)]: NET "C(15)" not found. Please verify
+ that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+INFO:ConstraintSystem:59 - Constraint <NET SDRAM_ADDR(0) LOC="P140" |>
+ [BPC3011-Papilio_Pro-general.ucf(80)]: NET "SDRAM_ADDR(0)" not found. Please
+ verify that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+WARNING:ConstraintSystem - A target design object for the Locate constraint
+ '<NET SDRAM_ADDR(0) LOC="P140" |> [BPC3011-Papilio_Pro-general.ucf(80)]'
+ could not be found and so the Locate constraint will be removed.
+
+INFO:ConstraintSystem:59 - Constraint <IOSTANDARD=LVTTL;>
+ [BPC3011-Papilio_Pro-general.ucf(80)]: NET "SDRAM_ADDR(0)" not found. Please
+ verify that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+INFO:ConstraintSystem:59 - Constraint <NET SDRAM_ADDR(1) LOC="P139" |>
+ [BPC3011-Papilio_Pro-general.ucf(81)]: NET "SDRAM_ADDR(1)" not found. Please
+ verify that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+WARNING:ConstraintSystem - A target design object for the Locate constraint
+ '<NET SDRAM_ADDR(1) LOC="P139" |> [BPC3011-Papilio_Pro-general.ucf(81)]'
+ could not be found and so the Locate constraint will be removed.
+
+INFO:ConstraintSystem:59 - Constraint <IOSTANDARD=LVTTL;>
+ [BPC3011-Papilio_Pro-general.ucf(81)]: NET "SDRAM_ADDR(1)" not found. Please
+ verify that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+INFO:ConstraintSystem:59 - Constraint <NET SDRAM_ADDR(2) LOC="P138" |>
+ [BPC3011-Papilio_Pro-general.ucf(82)]: NET "SDRAM_ADDR(2)" not found. Please
+ verify that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+WARNING:ConstraintSystem - A target design object for the Locate constraint
+ '<NET SDRAM_ADDR(2) LOC="P138" |> [BPC3011-Papilio_Pro-general.ucf(82)]'
+ could not be found and so the Locate constraint will be removed.
+
+INFO:ConstraintSystem:59 - Constraint <IOSTANDARD=LVTTL;>
+ [BPC3011-Papilio_Pro-general.ucf(82)]: NET "SDRAM_ADDR(2)" not found. Please
+ verify that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+INFO:ConstraintSystem:59 - Constraint <NET SDRAM_ADDR(3) LOC="P137" |>
+ [BPC3011-Papilio_Pro-general.ucf(83)]: NET "SDRAM_ADDR(3)" not found. Please
+ verify that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+WARNING:ConstraintSystem - A target design object for the Locate constraint
+ '<NET SDRAM_ADDR(3) LOC="P137" |> [BPC3011-Papilio_Pro-general.ucf(83)]'
+ could not be found and so the Locate constraint will be removed.
+
+INFO:ConstraintSystem:59 - Constraint <IOSTANDARD=LVTTL;>
+ [BPC3011-Papilio_Pro-general.ucf(83)]: NET "SDRAM_ADDR(3)" not found. Please
+ verify that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+INFO:ConstraintSystem:59 - Constraint <NET SDRAM_ADDR(4) LOC="P46" |>
+ [BPC3011-Papilio_Pro-general.ucf(84)]: NET "SDRAM_ADDR(4)" not found. Please
+ verify that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+WARNING:ConstraintSystem - A target design object for the Locate constraint
+ '<NET SDRAM_ADDR(4) LOC="P46" |> [BPC3011-Papilio_Pro-general.ucf(84)]'
+ could not be found and so the Locate constraint will be removed.
+
+INFO:ConstraintSystem:59 - Constraint <IOSTANDARD=LVTTL;>
+ [BPC3011-Papilio_Pro-general.ucf(84)]: NET "SDRAM_ADDR(4)" not found. Please
+ verify that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+INFO:ConstraintSystem:59 - Constraint <NET SDRAM_ADDR(5) LOC="P45" |>
+ [BPC3011-Papilio_Pro-general.ucf(85)]: NET "SDRAM_ADDR(5)" not found. Please
+ verify that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+WARNING:ConstraintSystem - A target design object for the Locate constraint
+ '<NET SDRAM_ADDR(5) LOC="P45" |> [BPC3011-Papilio_Pro-general.ucf(85)]'
+ could not be found and so the Locate constraint will be removed.
+
+INFO:ConstraintSystem:59 - Constraint <IOSTANDARD=LVTTL;>
+ [BPC3011-Papilio_Pro-general.ucf(85)]: NET "SDRAM_ADDR(5)" not found. Please
+ verify that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+INFO:ConstraintSystem:59 - Constraint <NET SDRAM_ADDR(6) LOC="P44" |>
+ [BPC3011-Papilio_Pro-general.ucf(86)]: NET "SDRAM_ADDR(6)" not found. Please
+ verify that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+WARNING:ConstraintSystem - A target design object for the Locate constraint
+ '<NET SDRAM_ADDR(6) LOC="P44" |> [BPC3011-Papilio_Pro-general.ucf(86)]'
+ could not be found and so the Locate constraint will be removed.
+
+INFO:ConstraintSystem:59 - Constraint <IOSTANDARD=LVTTL;>
+ [BPC3011-Papilio_Pro-general.ucf(86)]: NET "SDRAM_ADDR(6)" not found. Please
+ verify that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+INFO:ConstraintSystem:59 - Constraint <NET SDRAM_ADDR(7) LOC="P43" |>
+ [BPC3011-Papilio_Pro-general.ucf(87)]: NET "SDRAM_ADDR(7)" not found. Please
+ verify that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+WARNING:ConstraintSystem - A target design object for the Locate constraint
+ '<NET SDRAM_ADDR(7) LOC="P43" |> [BPC3011-Papilio_Pro-general.ucf(87)]'
+ could not be found and so the Locate constraint will be removed.
+
+INFO:ConstraintSystem:59 - Constraint <IOSTANDARD=LVTTL;>
+ [BPC3011-Papilio_Pro-general.ucf(87)]: NET "SDRAM_ADDR(7)" not found. Please
+ verify that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+INFO:ConstraintSystem:59 - Constraint <NET SDRAM_ADDR(8) LOC="P41" |>
+ [BPC3011-Papilio_Pro-general.ucf(88)]: NET "SDRAM_ADDR(8)" not found. Please
+ verify that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+WARNING:ConstraintSystem - A target design object for the Locate constraint
+ '<NET SDRAM_ADDR(8) LOC="P41" |> [BPC3011-Papilio_Pro-general.ucf(88)]'
+ could not be found and so the Locate constraint will be removed.
+
+INFO:ConstraintSystem:59 - Constraint <IOSTANDARD=LVTTL;>
+ [BPC3011-Papilio_Pro-general.ucf(88)]: NET "SDRAM_ADDR(8)" not found. Please
+ verify that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+INFO:ConstraintSystem:59 - Constraint <NET SDRAM_ADDR(9) LOC="P40" |>
+ [BPC3011-Papilio_Pro-general.ucf(89)]: NET "SDRAM_ADDR(9)" not found. Please
+ verify that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+WARNING:ConstraintSystem - A target design object for the Locate constraint
+ '<NET SDRAM_ADDR(9) LOC="P40" |> [BPC3011-Papilio_Pro-general.ucf(89)]'
+ could not be found and so the Locate constraint will be removed.
+
+INFO:ConstraintSystem:59 - Constraint <IOSTANDARD=LVTTL;>
+ [BPC3011-Papilio_Pro-general.ucf(89)]: NET "SDRAM_ADDR(9)" not found. Please
+ verify that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+INFO:ConstraintSystem:59 - Constraint <NET SDRAM_ADDR(10) LOC="P141" |>
+ [BPC3011-Papilio_Pro-general.ucf(90)]: NET "SDRAM_ADDR(10)" not found.
+ Please verify that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+WARNING:ConstraintSystem - A target design object for the Locate constraint
+ '<NET SDRAM_ADDR(10) LOC="P141" |> [BPC3011-Papilio_Pro-general.ucf(90)]'
+ could not be found and so the Locate constraint will be removed.
+
+INFO:ConstraintSystem:59 - Constraint <IOSTANDARD=LVTTL;>
+ [BPC3011-Papilio_Pro-general.ucf(90)]: NET "SDRAM_ADDR(10)" not found.
+ Please verify that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+INFO:ConstraintSystem:59 - Constraint <NET SDRAM_ADDR(11) LOC="P35" |>
+ [BPC3011-Papilio_Pro-general.ucf(91)]: NET "SDRAM_ADDR(11)" not found.
+ Please verify that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+WARNING:ConstraintSystem - A target design object for the Locate constraint
+ '<NET SDRAM_ADDR(11) LOC="P35" |> [BPC3011-Papilio_Pro-general.ucf(91)]'
+ could not be found and so the Locate constraint will be removed.
+
+INFO:ConstraintSystem:59 - Constraint <IOSTANDARD=LVTTL;>
+ [BPC3011-Papilio_Pro-general.ucf(91)]: NET "SDRAM_ADDR(11)" not found.
+ Please verify that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+INFO:ConstraintSystem:59 - Constraint <NET SDRAM_ADDR(12) LOC="P34" |>
+ [BPC3011-Papilio_Pro-general.ucf(92)]: NET "SDRAM_ADDR(12)" not found.
+ Please verify that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+WARNING:ConstraintSystem - A target design object for the Locate constraint
+ '<NET SDRAM_ADDR(12) LOC="P34" |> [BPC3011-Papilio_Pro-general.ucf(92)]'
+ could not be found and so the Locate constraint will be removed.
+
+INFO:ConstraintSystem:59 - Constraint <IOSTANDARD=LVTTL;>
+ [BPC3011-Papilio_Pro-general.ucf(92)]: NET "SDRAM_ADDR(12)" not found.
+ Please verify that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+INFO:ConstraintSystem:59 - Constraint <NET SDRAM_DATA(0) LOC="P9" |>
+ [BPC3011-Papilio_Pro-general.ucf(93)]: NET "SDRAM_DATA(0)" not found. Please
+ verify that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+WARNING:ConstraintSystem - A target design object for the Locate constraint
+ '<NET SDRAM_DATA(0) LOC="P9" |> [BPC3011-Papilio_Pro-general.ucf(93)]'
+ could not be found and so the Locate constraint will be removed.
+
+INFO:ConstraintSystem:59 - Constraint <IOSTANDARD=LVTTL;>
+ [BPC3011-Papilio_Pro-general.ucf(93)]: NET "SDRAM_DATA(0)" not found. Please
+ verify that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+INFO:ConstraintSystem:59 - Constraint <NET SDRAM_DATA(1) LOC="P10" |>
+ [BPC3011-Papilio_Pro-general.ucf(94)]: NET "SDRAM_DATA(1)" not found. Please
+ verify that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+WARNING:ConstraintSystem - A target design object for the Locate constraint
+ '<NET SDRAM_DATA(1) LOC="P10" |> [BPC3011-Papilio_Pro-general.ucf(94)]'
+ could not be found and so the Locate constraint will be removed.
+
+INFO:ConstraintSystem:59 - Constraint <IOSTANDARD=LVTTL;>
+ [BPC3011-Papilio_Pro-general.ucf(94)]: NET "SDRAM_DATA(1)" not found. Please
+ verify that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+INFO:ConstraintSystem:59 - Constraint <NET SDRAM_DATA(2) LOC="P11" |>
+ [BPC3011-Papilio_Pro-general.ucf(95)]: NET "SDRAM_DATA(2)" not found. Please
+ verify that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+WARNING:ConstraintSystem - A target design object for the Locate constraint
+ '<NET SDRAM_DATA(2) LOC="P11" |> [BPC3011-Papilio_Pro-general.ucf(95)]'
+ could not be found and so the Locate constraint will be removed.
+
+INFO:ConstraintSystem:59 - Constraint <IOSTANDARD=LVTTL;>
+ [BPC3011-Papilio_Pro-general.ucf(95)]: NET "SDRAM_DATA(2)" not found. Please
+ verify that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+INFO:ConstraintSystem:59 - Constraint <NET SDRAM_DATA(3) LOC="P12" |>
+ [BPC3011-Papilio_Pro-general.ucf(96)]: NET "SDRAM_DATA(3)" not found. Please
+ verify that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+WARNING:ConstraintSystem - A target design object for the Locate constraint
+ '<NET SDRAM_DATA(3) LOC="P12" |> [BPC3011-Papilio_Pro-general.ucf(96)]'
+ could not be found and so the Locate constraint will be removed.
+
+INFO:ConstraintSystem:59 - Constraint <IOSTANDARD=LVTTL;>
+ [BPC3011-Papilio_Pro-general.ucf(96)]: NET "SDRAM_DATA(3)" not found. Please
+ verify that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+INFO:ConstraintSystem:59 - Constraint <NET SDRAM_DATA(4) LOC="P14" |>
+ [BPC3011-Papilio_Pro-general.ucf(97)]: NET "SDRAM_DATA(4)" not found. Please
+ verify that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+WARNING:ConstraintSystem - A target design object for the Locate constraint
+ '<NET SDRAM_DATA(4) LOC="P14" |> [BPC3011-Papilio_Pro-general.ucf(97)]'
+ could not be found and so the Locate constraint will be removed.
+
+INFO:ConstraintSystem:59 - Constraint <IOSTANDARD=LVTTL;>
+ [BPC3011-Papilio_Pro-general.ucf(97)]: NET "SDRAM_DATA(4)" not found. Please
+ verify that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+INFO:ConstraintSystem:59 - Constraint <NET SDRAM_DATA(5) LOC="P15" |>
+ [BPC3011-Papilio_Pro-general.ucf(98)]: NET "SDRAM_DATA(5)" not found. Please
+ verify that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+WARNING:ConstraintSystem - A target design object for the Locate constraint
+ '<NET SDRAM_DATA(5) LOC="P15" |> [BPC3011-Papilio_Pro-general.ucf(98)]'
+ could not be found and so the Locate constraint will be removed.
+
+INFO:ConstraintSystem:59 - Constraint <IOSTANDARD=LVTTL;>
+ [BPC3011-Papilio_Pro-general.ucf(98)]: NET "SDRAM_DATA(5)" not found. Please
+ verify that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+INFO:ConstraintSystem:59 - Constraint <NET SDRAM_DATA(6) LOC="P16" |>
+ [BPC3011-Papilio_Pro-general.ucf(99)]: NET "SDRAM_DATA(6)" not found. Please
+ verify that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+WARNING:ConstraintSystem - A target design object for the Locate constraint
+ '<NET SDRAM_DATA(6) LOC="P16" |> [BPC3011-Papilio_Pro-general.ucf(99)]'
+ could not be found and so the Locate constraint will be removed.
+
+INFO:ConstraintSystem:59 - Constraint <IOSTANDARD=LVTTL;>
+ [BPC3011-Papilio_Pro-general.ucf(99)]: NET "SDRAM_DATA(6)" not found. Please
+ verify that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+INFO:ConstraintSystem:59 - Constraint <NET SDRAM_DATA(7) LOC="P8" |>
+ [BPC3011-Papilio_Pro-general.ucf(100)]: NET "SDRAM_DATA(7)" not found.
+ Please verify that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+WARNING:ConstraintSystem - A target design object for the Locate constraint
+ '<NET SDRAM_DATA(7) LOC="P8" |> [BPC3011-Papilio_Pro-general.ucf(100)]'
+ could not be found and so the Locate constraint will be removed.
+
+INFO:ConstraintSystem:59 - Constraint <IOSTANDARD=LVTTL;>
+ [BPC3011-Papilio_Pro-general.ucf(100)]: NET "SDRAM_DATA(7)" not found.
+ Please verify that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+INFO:ConstraintSystem:59 - Constraint <NET SDRAM_DATA(8) LOC="P21" |>
+ [BPC3011-Papilio_Pro-general.ucf(101)]: NET "SDRAM_DATA(8)" not found.
+ Please verify that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+WARNING:ConstraintSystem - A target design object for the Locate constraint
+ '<NET SDRAM_DATA(8) LOC="P21" |> [BPC3011-Papilio_Pro-general.ucf(101)]'
+ could not be found and so the Locate constraint will be removed.
+
+INFO:ConstraintSystem:59 - Constraint <IOSTANDARD=LVTTL;>
+ [BPC3011-Papilio_Pro-general.ucf(101)]: NET "SDRAM_DATA(8)" not found.
+ Please verify that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+INFO:ConstraintSystem:59 - Constraint <NET SDRAM_DATA(9) LOC="P22" |>
+ [BPC3011-Papilio_Pro-general.ucf(102)]: NET "SDRAM_DATA(9)" not found.
+ Please verify that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+WARNING:ConstraintSystem - A target design object for the Locate constraint
+ '<NET SDRAM_DATA(9) LOC="P22" |> [BPC3011-Papilio_Pro-general.ucf(102)]'
+ could not be found and so the Locate constraint will be removed.
+
+INFO:ConstraintSystem:59 - Constraint <IOSTANDARD=LVTTL;>
+ [BPC3011-Papilio_Pro-general.ucf(102)]: NET "SDRAM_DATA(9)" not found.
+ Please verify that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+INFO:ConstraintSystem:59 - Constraint <NET SDRAM_DATA(10) LOC="P23" |>
+ [BPC3011-Papilio_Pro-general.ucf(103)]: NET "SDRAM_DATA(10)" not found.
+ Please verify that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+WARNING:ConstraintSystem - A target design object for the Locate constraint
+ '<NET SDRAM_DATA(10) LOC="P23" |> [BPC3011-Papilio_Pro-general.ucf(103)]'
+ could not be found and so the Locate constraint will be removed.
+
+INFO:ConstraintSystem:59 - Constraint <IOSTANDARD=LVTTL;>
+ [BPC3011-Papilio_Pro-general.ucf(103)]: NET "SDRAM_DATA(10)" not found.
+ Please verify that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+INFO:ConstraintSystem:59 - Constraint <NET SDRAM_DATA(11) LOC="P24" |>
+ [BPC3011-Papilio_Pro-general.ucf(104)]: NET "SDRAM_DATA(11)" not found.
+ Please verify that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+WARNING:ConstraintSystem - A target design object for the Locate constraint
+ '<NET SDRAM_DATA(11) LOC="P24" |> [BPC3011-Papilio_Pro-general.ucf(104)]'
+ could not be found and so the Locate constraint will be removed.
+
+INFO:ConstraintSystem:59 - Constraint <IOSTANDARD=LVTTL;>
+ [BPC3011-Papilio_Pro-general.ucf(104)]: NET "SDRAM_DATA(11)" not found.
+ Please verify that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+INFO:ConstraintSystem:59 - Constraint <NET SDRAM_DATA(12) LOC="P26" |>
+ [BPC3011-Papilio_Pro-general.ucf(105)]: NET "SDRAM_DATA(12)" not found.
+ Please verify that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+WARNING:ConstraintSystem - A target design object for the Locate constraint
+ '<NET SDRAM_DATA(12) LOC="P26" |> [BPC3011-Papilio_Pro-general.ucf(105)]'
+ could not be found and so the Locate constraint will be removed.
+
+INFO:ConstraintSystem:59 - Constraint <IOSTANDARD=LVTTL;>
+ [BPC3011-Papilio_Pro-general.ucf(105)]: NET "SDRAM_DATA(12)" not found.
+ Please verify that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+INFO:ConstraintSystem:59 - Constraint <NET SDRAM_DATA(13) LOC="P27" |>
+ [BPC3011-Papilio_Pro-general.ucf(106)]: NET "SDRAM_DATA(13)" not found.
+ Please verify that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+WARNING:ConstraintSystem - A target design object for the Locate constraint
+ '<NET SDRAM_DATA(13) LOC="P27" |> [BPC3011-Papilio_Pro-general.ucf(106)]'
+ could not be found and so the Locate constraint will be removed.
+
+INFO:ConstraintSystem:59 - Constraint <IOSTANDARD=LVTTL;>
+ [BPC3011-Papilio_Pro-general.ucf(106)]: NET "SDRAM_DATA(13)" not found.
+ Please verify that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+INFO:ConstraintSystem:59 - Constraint <NET SDRAM_DATA(14) LOC="P29" |>
+ [BPC3011-Papilio_Pro-general.ucf(107)]: NET "SDRAM_DATA(14)" not found.
+ Please verify that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+WARNING:ConstraintSystem - A target design object for the Locate constraint
+ '<NET SDRAM_DATA(14) LOC="P29" |> [BPC3011-Papilio_Pro-general.ucf(107)]'
+ could not be found and so the Locate constraint will be removed.
+
+INFO:ConstraintSystem:59 - Constraint <IOSTANDARD=LVTTL;>
+ [BPC3011-Papilio_Pro-general.ucf(107)]: NET "SDRAM_DATA(14)" not found.
+ Please verify that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+INFO:ConstraintSystem:59 - Constraint <NET SDRAM_DATA(15) LOC="P30" |>
+ [BPC3011-Papilio_Pro-general.ucf(108)]: NET "SDRAM_DATA(15)" not found.
+ Please verify that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+WARNING:ConstraintSystem - A target design object for the Locate constraint
+ '<NET SDRAM_DATA(15) LOC="P30" |> [BPC3011-Papilio_Pro-general.ucf(108)]'
+ could not be found and so the Locate constraint will be removed.
+
+INFO:ConstraintSystem:59 - Constraint <IOSTANDARD=LVTTL;>
+ [BPC3011-Papilio_Pro-general.ucf(108)]: NET "SDRAM_DATA(15)" not found.
+ Please verify that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+INFO:ConstraintSystem:59 - Constraint <NET SDRAM_DQML LOC="P7" |>
+ [BPC3011-Papilio_Pro-general.ucf(109)]: NET "SDRAM_DQML" not found. Please
+ verify that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+WARNING:ConstraintSystem - A target design object for the Locate constraint
+ '<NET SDRAM_DQML LOC="P7" |> [BPC3011-Papilio_Pro-general.ucf(109)]'
+ could not be found and so the Locate constraint will be removed.
+
+INFO:ConstraintSystem:59 - Constraint <IOSTANDARD=LVTTL;>
+ [BPC3011-Papilio_Pro-general.ucf(109)]: NET "SDRAM_DQML" not found. Please
+ verify that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+INFO:ConstraintSystem:59 - Constraint <NET SDRAM_DQMH LOC="P17" |>
+ [BPC3011-Papilio_Pro-general.ucf(110)]: NET "SDRAM_DQMH" not found. Please
+ verify that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+WARNING:ConstraintSystem - A target design object for the Locate constraint
+ '<NET SDRAM_DQMH LOC="P17" |> [BPC3011-Papilio_Pro-general.ucf(110)]'
+ could not be found and so the Locate constraint will be removed.
+
+INFO:ConstraintSystem:59 - Constraint <IOSTANDARD=LVTTL;>
+ [BPC3011-Papilio_Pro-general.ucf(110)]: NET "SDRAM_DQMH" not found. Please
+ verify that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+INFO:ConstraintSystem:59 - Constraint <NET SDRAM_BA(0) LOC="P143" |>
+ [BPC3011-Papilio_Pro-general.ucf(111)]: NET "SDRAM_BA(0)" not found. Please
+ verify that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+WARNING:ConstraintSystem - A target design object for the Locate constraint
+ '<NET SDRAM_BA(0) LOC="P143" |> [BPC3011-Papilio_Pro-general.ucf(111)]'
+ could not be found and so the Locate constraint will be removed.
+
+INFO:ConstraintSystem:59 - Constraint <IOSTANDARD=LVTTL;>
+ [BPC3011-Papilio_Pro-general.ucf(111)]: NET "SDRAM_BA(0)" not found. Please
+ verify that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+INFO:ConstraintSystem:59 - Constraint <NET SDRAM_BA(1) LOC="P142" |>
+ [BPC3011-Papilio_Pro-general.ucf(112)]: NET "SDRAM_BA(1)" not found. Please
+ verify that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+WARNING:ConstraintSystem - A target design object for the Locate constraint
+ '<NET SDRAM_BA(1) LOC="P142" |> [BPC3011-Papilio_Pro-general.ucf(112)]'
+ could not be found and so the Locate constraint will be removed.
+
+INFO:ConstraintSystem:59 - Constraint <IOSTANDARD=LVTTL;>
+ [BPC3011-Papilio_Pro-general.ucf(112)]: NET "SDRAM_BA(1)" not found. Please
+ verify that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+INFO:ConstraintSystem:59 - Constraint <NET SDRAM_nWE LOC="P6" |>
+ [BPC3011-Papilio_Pro-general.ucf(113)]: NET "SDRAM_nWE" not found. Please
+ verify that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+WARNING:ConstraintSystem - A target design object for the Locate constraint
+ '<NET SDRAM_nWE LOC="P6" |> [BPC3011-Papilio_Pro-general.ucf(113)]'
+ could not be found and so the Locate constraint will be removed.
+
+INFO:ConstraintSystem:59 - Constraint <IOSTANDARD=LVTTL;>
+ [BPC3011-Papilio_Pro-general.ucf(113)]: NET "SDRAM_nWE" not found. Please
+ verify that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+INFO:ConstraintSystem:59 - Constraint <NET SDRAM_nCAS LOC="P5" |>
+ [BPC3011-Papilio_Pro-general.ucf(114)]: NET "SDRAM_nCAS" not found. Please
+ verify that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+WARNING:ConstraintSystem - A target design object for the Locate constraint
+ '<NET SDRAM_nCAS LOC="P5" |> [BPC3011-Papilio_Pro-general.ucf(114)]'
+ could not be found and so the Locate constraint will be removed.
+
+INFO:ConstraintSystem:59 - Constraint <IOSTANDARD=LVTTL;>
+ [BPC3011-Papilio_Pro-general.ucf(114)]: NET "SDRAM_nCAS" not found. Please
+ verify that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+INFO:ConstraintSystem:59 - Constraint <NET SDRAM_nRAS LOC="P2" |>
+ [BPC3011-Papilio_Pro-general.ucf(115)]: NET "SDRAM_nRAS" not found. Please
+ verify that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+WARNING:ConstraintSystem - A target design object for the Locate constraint
+ '<NET SDRAM_nRAS LOC="P2" |> [BPC3011-Papilio_Pro-general.ucf(115)]'
+ could not be found and so the Locate constraint will be removed.
+
+INFO:ConstraintSystem:59 - Constraint <IOSTANDARD=LVTTL;>
+ [BPC3011-Papilio_Pro-general.ucf(115)]: NET "SDRAM_nRAS" not found. Please
+ verify that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+INFO:ConstraintSystem:59 - Constraint <NET SDRAM_CS LOC="P1" |>
+ [BPC3011-Papilio_Pro-general.ucf(116)]: NET "SDRAM_CS" not found. Please
+ verify that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+WARNING:ConstraintSystem - A target design object for the Locate constraint
+ '<NET SDRAM_CS LOC="P1" |> [BPC3011-Papilio_Pro-general.ucf(116)]'
+ could not be found and so the Locate constraint will be removed.
+
+INFO:ConstraintSystem:59 - Constraint <IOSTANDARD=LVTTL;>
+ [BPC3011-Papilio_Pro-general.ucf(116)]: NET "SDRAM_CS" not found. Please
+ verify that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+INFO:ConstraintSystem:59 - Constraint <NET SDRAM_CLK LOC="P32" |>
+ [BPC3011-Papilio_Pro-general.ucf(117)]: NET "SDRAM_CLK" not found. Please
+ verify that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+WARNING:ConstraintSystem - A target design object for the Locate constraint
+ '<NET SDRAM_CLK LOC="P32" |> [BPC3011-Papilio_Pro-general.ucf(117)]'
+ could not be found and so the Locate constraint will be removed.
+
+INFO:ConstraintSystem:59 - Constraint <IOSTANDARD=LVTTL;>
+ [BPC3011-Papilio_Pro-general.ucf(117)]: NET "SDRAM_CLK" not found. Please
+ verify that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+INFO:ConstraintSystem:59 - Constraint <NET SDRAM_CKE LOC="P33" |>
+ [BPC3011-Papilio_Pro-general.ucf(118)]: NET "SDRAM_CKE" not found. Please
+ verify that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+WARNING:ConstraintSystem - A target design object for the Locate constraint
+ '<NET SDRAM_CKE LOC="P33" |> [BPC3011-Papilio_Pro-general.ucf(118)]'
+ could not be found and so the Locate constraint will be removed.
+
+INFO:ConstraintSystem:59 - Constraint <IOSTANDARD=LVTTL;>
+ [BPC3011-Papilio_Pro-general.ucf(118)]: NET "SDRAM_CKE" not found. Please
+ verify that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+INFO:ConstraintSystem:59 - Constraint <NET JTAG_TMS LOC="P107" |>
+ [BPC3011-Papilio_Pro-general.ucf(120)]: NET "JTAG_TMS" not found. Please
+ verify that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+WARNING:ConstraintSystem - A target design object for the Locate constraint
+ '<NET JTAG_TMS LOC="P107" |> [BPC3011-Papilio_Pro-general.ucf(120)]'
+ could not be found and so the Locate constraint will be removed.
+
+INFO:ConstraintSystem:59 - Constraint <IOSTANDARD=LVTTL |>
+ [BPC3011-Papilio_Pro-general.ucf(120)]: NET "JTAG_TMS" not found. Please
+ verify that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+INFO:ConstraintSystem:59 - Constraint <DRIVE=8 |>
+ [BPC3011-Papilio_Pro-general.ucf(120)]: NET "JTAG_TMS" not found. Please
+ verify that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+INFO:ConstraintSystem:59 - Constraint <SLEW=FAST;>
+ [BPC3011-Papilio_Pro-general.ucf(120)]: NET "JTAG_TMS" not found. Please
+ verify that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+INFO:ConstraintSystem:59 - Constraint <NET JTAG_TCK LOC="P109" |>
+ [BPC3011-Papilio_Pro-general.ucf(121)]: NET "JTAG_TCK" not found. Please
+ verify that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+WARNING:ConstraintSystem - A target design object for the Locate constraint
+ '<NET JTAG_TCK LOC="P109" |> [BPC3011-Papilio_Pro-general.ucf(121)]'
+ could not be found and so the Locate constraint will be removed.
+
+INFO:ConstraintSystem:59 - Constraint <IOSTANDARD=LVTTL |>
+ [BPC3011-Papilio_Pro-general.ucf(121)]: NET "JTAG_TCK" not found. Please
+ verify that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+INFO:ConstraintSystem:59 - Constraint <DRIVE=8 |>
+ [BPC3011-Papilio_Pro-general.ucf(121)]: NET "JTAG_TCK" not found. Please
+ verify that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+INFO:ConstraintSystem:59 - Constraint <SLEW=FAST;>
+ [BPC3011-Papilio_Pro-general.ucf(121)]: NET "JTAG_TCK" not found. Please
+ verify that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+INFO:ConstraintSystem:59 - Constraint <NET JTAG_TDI LOC="P110" |>
+ [BPC3011-Papilio_Pro-general.ucf(122)]: NET "JTAG_TDI" not found. Please
+ verify that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+WARNING:ConstraintSystem - A target design object for the Locate constraint
+ '<NET JTAG_TDI LOC="P110" |> [BPC3011-Papilio_Pro-general.ucf(122)]'
+ could not be found and so the Locate constraint will be removed.
+
+INFO:ConstraintSystem:59 - Constraint <IOSTANDARD=LVTTL |>
+ [BPC3011-Papilio_Pro-general.ucf(122)]: NET "JTAG_TDI" not found. Please
+ verify that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+INFO:ConstraintSystem:59 - Constraint <DRIVE=8 |>
+ [BPC3011-Papilio_Pro-general.ucf(122)]: NET "JTAG_TDI" not found. Please
+ verify that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+INFO:ConstraintSystem:59 - Constraint <SLEW=FAST;>
+ [BPC3011-Papilio_Pro-general.ucf(122)]: NET "JTAG_TDI" not found. Please
+ verify that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+INFO:ConstraintSystem:59 - Constraint <NET JTAG_TDO LOC="P106" |>
+ [BPC3011-Papilio_Pro-general.ucf(123)]: NET "JTAG_TDO" not found. Please
+ verify that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+WARNING:ConstraintSystem - A target design object for the Locate constraint
+ '<NET JTAG_TDO LOC="P106" |> [BPC3011-Papilio_Pro-general.ucf(123)]'
+ could not be found and so the Locate constraint will be removed.
+
+INFO:ConstraintSystem:59 - Constraint <IOSTANDARD=LVTTL |>
+ [BPC3011-Papilio_Pro-general.ucf(123)]: NET "JTAG_TDO" not found. Please
+ verify that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+INFO:ConstraintSystem:59 - Constraint <DRIVE=8 |>
+ [BPC3011-Papilio_Pro-general.ucf(123)]: NET "JTAG_TDO" not found. Please
+ verify that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+INFO:ConstraintSystem:59 - Constraint <SLEW=FAST;>
+ [BPC3011-Papilio_Pro-general.ucf(123)]: NET "JTAG_TDO" not found. Please
+ verify that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+INFO:ConstraintSystem:59 - Constraint <NET FLASH_CS LOC="P38" |>
+ [BPC3011-Papilio_Pro-general.ucf(124)]: NET "FLASH_CS" not found. Please
+ verify that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+WARNING:ConstraintSystem - A target design object for the Locate constraint
+ '<NET FLASH_CS LOC="P38" |> [BPC3011-Papilio_Pro-general.ucf(124)]'
+ could not be found and so the Locate constraint will be removed.
+
+INFO:ConstraintSystem:59 - Constraint <IOSTANDARD=LVTTL |>
+ [BPC3011-Papilio_Pro-general.ucf(124)]: NET "FLASH_CS" not found. Please
+ verify that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+INFO:ConstraintSystem:59 - Constraint <DRIVE=8 |>
+ [BPC3011-Papilio_Pro-general.ucf(124)]: NET "FLASH_CS" not found. Please
+ verify that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+INFO:ConstraintSystem:59 - Constraint <SLEW=FAST;>
+ [BPC3011-Papilio_Pro-general.ucf(124)]: NET "FLASH_CS" not found. Please
+ verify that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+INFO:ConstraintSystem:59 - Constraint <NET FLASH_CK LOC="P70" |>
+ [BPC3011-Papilio_Pro-general.ucf(125)]: NET "FLASH_CK" not found. Please
+ verify that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+WARNING:ConstraintSystem - A target design object for the Locate constraint
+ '<NET FLASH_CK LOC="P70" |> [BPC3011-Papilio_Pro-general.ucf(125)]'
+ could not be found and so the Locate constraint will be removed.
+
+INFO:ConstraintSystem:59 - Constraint <IOSTANDARD=LVTTL |>
+ [BPC3011-Papilio_Pro-general.ucf(125)]: NET "FLASH_CK" not found. Please
+ verify that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+INFO:ConstraintSystem:59 - Constraint <DRIVE=8 |>
+ [BPC3011-Papilio_Pro-general.ucf(125)]: NET "FLASH_CK" not found. Please
+ verify that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+INFO:ConstraintSystem:59 - Constraint <SLEW=FAST;>
+ [BPC3011-Papilio_Pro-general.ucf(125)]: NET "FLASH_CK" not found. Please
+ verify that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+INFO:ConstraintSystem:59 - Constraint <NET FLASH_SI LOC="P64" |>
+ [BPC3011-Papilio_Pro-general.ucf(126)]: NET "FLASH_SI" not found. Please
+ verify that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+WARNING:ConstraintSystem - A target design object for the Locate constraint
+ '<NET FLASH_SI LOC="P64" |> [BPC3011-Papilio_Pro-general.ucf(126)]'
+ could not be found and so the Locate constraint will be removed.
+
+INFO:ConstraintSystem:59 - Constraint <IOSTANDARD=LVTTL |>
+ [BPC3011-Papilio_Pro-general.ucf(126)]: NET "FLASH_SI" not found. Please
+ verify that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+INFO:ConstraintSystem:59 - Constraint <DRIVE=8 |>
+ [BPC3011-Papilio_Pro-general.ucf(126)]: NET "FLASH_SI" not found. Please
+ verify that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+INFO:ConstraintSystem:59 - Constraint <SLEW=FAST;>
+ [BPC3011-Papilio_Pro-general.ucf(126)]: NET "FLASH_SI" not found. Please
+ verify that:
+ 1. The specified design element actually exists in the original design.
+ 2. The specified object is spelled correctly in the constraint source file.
+
+INFO:ConstraintSystem - The Period constraint <PERIOD=31.25ns;>
+ [BPC3011-Papilio_Pro-general.ucf(29)], is specified using the Net Period
+ method which is not recommended. Please use the Timespec PERIOD method.
+
+Done...
+
+Checking expanded design ...
+
+Partition Implementation Status
+-------------------------------
+
+ No Partitions were found in this design.
+
+-------------------------------
+
+NGDBUILD Design Results Summary:
+ Number of errors: 0
+ Number of warnings: 95
+
+Total memory usage is 400388 kilobytes
+
+Writing NGD file "led.ngd" ...
+Total REAL time to NGDBUILD completion: 2 sec
+Total CPU time to NGDBUILD completion: 2 sec
+
+Writing NGDBUILD log file "led.bld"...
diff --git a/led.cmd_log b/led.cmd_log
index 1e860a3..a73950e 100644
--- a/led.cmd_log
+++ b/led.cmd_log
@@ -1 +1,131 @@
xst -intstyle ise -ifn "/home/yannherklotz/Github/FPGA-led-lights/led.xst" -ofn "/home/yannherklotz/Github/FPGA-led-lights/led.syr"
+xst -intstyle ise -ifn "/home/yannherklotz/Github/FPGA-led-lights/led.xst" -ofn "/home/yannherklotz/Github/FPGA-led-lights/led.syr"
+ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc /home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf -p xc3s250e-vq100-4 led.ngc led.ngd
+xst -intstyle ise -ifn "/home/yannherklotz/Github/FPGA-led-lights/led.xst" -ofn "/home/yannherklotz/Github/FPGA-led-lights/led.syr"
+xst -intstyle ise -ifn "/home/yannherklotz/Github/FPGA-led-lights/led.xst" -ofn "/home/yannherklotz/Github/FPGA-led-lights/led.syr"
+ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc /home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf -p xc3s250e-vq100-4 led.ngc led.ngd
+xst -intstyle ise -ifn "/home/yannherklotz/Github/FPGA-led-lights/led.xst" -ofn "/home/yannherklotz/Github/FPGA-led-lights/led.syr"
+ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc /home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf -p xc3s250e-vq100-4 led.ngc led.ngd
+map -intstyle ise -p xc3s250e-vq100-4 -cm area -ir off -pr off -c 100 -o led_map.ncd led.ngd led.pcf
+ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc /home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf -p xc3s250e-vq100-4 led.ngc led.ngd
+ngdbuild -intstyle ise -dd _ngo -aul -nt timestamp -uc /home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf -p xc3s250e-vq100-4 led.ngc led.ngd
+xst -intstyle ise -ifn "/home/yannherklotz/Github/FPGA-led-lights/led.xst" -ofn "/home/yannherklotz/Github/FPGA-led-lights/led.syr"
+ngdbuild -intstyle ise -dd _ngo -aul -nt timestamp -uc /home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf -p xc3s250e-vq100-4 led.ngc led.ngd
+ngdbuild -intstyle ise -dd _ngo -aul -nt timestamp -uc /home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf -p xc3s250e-vq100-4 led.ngc led.ngd
+map -intstyle ise -p xc3s250e-vq100-4 -cm area -ir off -pr off -c 100 -o led_map.ncd led.ngd led.pcf
+xst -intstyle ise -ifn "/home/yannherklotz/Github/FPGA-led-lights/led.xst" -ofn "/home/yannherklotz/Github/FPGA-led-lights/led.syr"
+ngdbuild -intstyle ise -dd _ngo -aul -nt timestamp -uc /home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf -p xc3s250e-vq100-4 led.ngc led.ngd
+map -intstyle ise -p xc3s250e-vq100-4 -cm area -ir off -pr off -c 100 -o led_map.ncd led.ngd led.pcf
+xst -intstyle ise -ifn "/home/yannherklotz/Github/FPGA-led-lights/led.xst" -ofn "/home/yannherklotz/Github/FPGA-led-lights/led.syr"
+ngdbuild -intstyle ise -dd _ngo -aul -nt timestamp -uc /home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf -p xc3s250e-vq100-4 led.ngc led.ngd
+map -intstyle ise -p xc3s250e-vq100-4 -cm area -ir off -pr off -c 100 -o led_map.ncd led.ngd led.pcf
+xst -intstyle ise -ifn "/home/yannherklotz/Github/FPGA-led-lights/led.xst" -ofn "/home/yannherklotz/Github/FPGA-led-lights/led.syr"
+ngdbuild -intstyle ise -dd _ngo -aul -nt timestamp -uc /home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf -p xc3s250e-vq100-4 led.ngc led.ngd
+map -intstyle ise -p xc3s250e-vq100-4 -cm area -ir off -pr off -c 100 -o led_map.ncd led.ngd led.pcf
+par -w -intstyle ise -ol high -t 1 led_map.ncd led.ncd led.pcf
+ngdbuild -intstyle ise -dd _ngo -aul -nt timestamp -uc /home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf -p xc3s250e-vq100-4 led.ngc led.ngd
+map -intstyle ise -p xc3s250e-vq100-4 -cm area -ir off -pr off -c 100 -o led_map.ncd led.ngd led.pcf
+par -w -intstyle ise -ol high -t 1 led_map.ncd led.ncd led.pcf
+trce -intstyle ise -v 3 -s 4 -n 3 -fastpaths -xml led.twx led.ncd -o led.twr led.pcf
+bitgen -intstyle ise -f led.ut led.ncd
+xst -intstyle ise -ifn "/home/yannherklotz/Github/FPGA-led-lights/led.xst" -ofn "/home/yannherklotz/Github/FPGA-led-lights/led.syr"
+ngdbuild -intstyle ise -dd _ngo -aul -nt timestamp -uc /home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf -p xc3s250e-vq100-4 led.ngc led.ngd
+map -intstyle ise -p xc3s250e-vq100-4 -cm area -ir off -pr off -c 100 -o led_map.ncd led.ngd led.pcf
+par -w -intstyle ise -ol high -t 1 led_map.ncd led.ncd led.pcf
+trce -intstyle ise -v 3 -s 4 -n 3 -fastpaths -xml led.twx led.ncd -o led.twr led.pcf
+bitgen -intstyle ise -f led.ut led.ncd
+xst -intstyle ise -ifn "/home/yannherklotz/Github/FPGA-led-lights/led.xst" -ofn "/home/yannherklotz/Github/FPGA-led-lights/led.syr"
+ngdbuild -intstyle ise -dd _ngo -aul -nt timestamp -uc /home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf -p xc3s250e-vq100-4 led.ngc led.ngd
+map -intstyle ise -p xc3s250e-vq100-4 -cm area -ir off -pr off -c 100 -o led_map.ncd led.ngd led.pcf
+par -w -intstyle ise -ol high -t 1 led_map.ncd led.ncd led.pcf
+trce -intstyle ise -v 3 -s 4 -n 3 -fastpaths -xml led.twx led.ncd -o led.twr led.pcf
+bitgen -intstyle ise -f led.ut led.ncd
+xst -intstyle ise -ifn "/home/yannherklotz/Github/FPGA-led-lights/led.xst" -ofn "/home/yannherklotz/Github/FPGA-led-lights/led.syr"
+ngdbuild -intstyle ise -dd _ngo -aul -nt timestamp -uc /home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf -p xc3s250e-vq100-4 led.ngc led.ngd
+map -intstyle ise -p xc3s250e-vq100-4 -cm area -ir off -pr off -c 100 -o led_map.ncd led.ngd led.pcf
+par -w -intstyle ise -ol high -t 1 led_map.ncd led.ncd led.pcf
+trce -intstyle ise -v 3 -s 4 -n 3 -fastpaths -xml led.twx led.ncd -o led.twr led.pcf
+bitgen -intstyle ise -f led.ut led.ncd
+xst -intstyle ise -ifn "/home/yannherklotz/Github/FPGA-led-lights/led.xst" -ofn "/home/yannherklotz/Github/FPGA-led-lights/led.syr"
+ngdbuild -intstyle ise -dd _ngo -aul -nt timestamp -uc /home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf -p xc6slx9-tqg144-3 led.ngc led.ngd
+map -intstyle ise -p xc6slx9-tqg144-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o led_map.ncd led.ngd led.pcf
+par -w -intstyle ise -ol high -mt off led_map.ncd led.ncd led.pcf
+trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml led.twx led.ncd -o led.twr led.pcf
+bitgen -intstyle ise -f led.ut led.ncd
+xst -intstyle ise -ifn "/home/yannherklotz/Github/FPGA-led-lights/led.xst" -ofn "/home/yannherklotz/Github/FPGA-led-lights/led.syr"
+ngdbuild -intstyle ise -dd _ngo -aul -nt timestamp -uc /home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf -p xc6slx9-tqg144-3 led.ngc led.ngd
+map -intstyle ise -p xc6slx9-tqg144-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o led_map.ncd led.ngd led.pcf
+par -w -intstyle ise -ol high -mt off led_map.ncd led.ncd led.pcf
+trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml led.twx led.ncd -o led.twr led.pcf
+bitgen -intstyle ise -f led.ut led.ncd
+xst -intstyle ise -ifn "/home/yannherklotz/Github/FPGA-led-lights/led.xst" -ofn "/home/yannherklotz/Github/FPGA-led-lights/led.syr"
+ngdbuild -intstyle ise -dd _ngo -aul -nt timestamp -uc /home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf -p xc6slx9-tqg144-2 led.ngc led.ngd
+map -intstyle ise -p xc6slx9-tqg144-2 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o led_map.ncd led.ngd led.pcf
+par -w -intstyle ise -ol high -mt off led_map.ncd led.ncd led.pcf
+trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml led.twx led.ncd -o led.twr led.pcf
+bitgen -intstyle ise -f led.ut led.ncd
+xst -intstyle ise -ifn "/home/yannherklotz/Github/FPGA-led-lights/led.xst" -ofn "/home/yannherklotz/Github/FPGA-led-lights/led.syr"
+ngdbuild -intstyle ise -dd _ngo -aul -nt timestamp -uc /home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf -p xc6slx9-tqg144-2 led.ngc led.ngd
+map -intstyle ise -p xc6slx9-tqg144-2 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o led_map.ncd led.ngd led.pcf
+par -w -intstyle ise -ol high -mt off led_map.ncd led.ncd led.pcf
+trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml led.twx led.ncd -o led.twr led.pcf
+bitgen -intstyle ise -f led.ut led.ncd
+ngdbuild -intstyle ise -dd _ngo -aul -nt timestamp -uc /home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf -p xc6slx9-tqg144-2 led.ngc led.ngd
+map -intstyle ise -p xc6slx9-tqg144-2 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o led_map.ncd led.ngd led.pcf
+par -w -intstyle ise -ol high -mt off led_map.ncd led.ncd led.pcf
+trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml led.twx led.ncd -o led.twr led.pcf
+bitgen -intstyle ise -f led.ut led.ncd
+xst -intstyle ise -ifn "/home/yannherklotz/Github/FPGA-led-lights/led.xst" -ofn "/home/yannherklotz/Github/FPGA-led-lights/led.syr"
+ngdbuild -intstyle ise -dd _ngo -aul -nt timestamp -uc /home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf -p xc6slx9-tqg144-2 led.ngc led.ngd
+map -intstyle ise -p xc6slx9-tqg144-2 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o led_map.ncd led.ngd led.pcf
+par -w -intstyle ise -ol high -mt off led_map.ncd led.ncd led.pcf
+trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml led.twx led.ncd -o led.twr led.pcf
+bitgen -intstyle ise -f led.ut led.ncd
+ngdbuild -intstyle ise -dd _ngo -aul -nt timestamp -uc /home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf -p xc6slx9-tqg144-2 led.ngc led.ngd
+map -intstyle ise -p xc6slx9-tqg144-2 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o led_map.ncd led.ngd led.pcf
+par -w -intstyle ise -ol high -mt off led_map.ncd led.ncd led.pcf
+trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml led.twx led.ncd -o led.twr led.pcf
+bitgen -intstyle ise -f led.ut led.ncd
+xst -intstyle ise -ifn "/home/yannherklotz/Github/FPGA-led-lights/led.xst" -ofn "/home/yannherklotz/Github/FPGA-led-lights/led.syr"
+ngdbuild -intstyle ise -dd _ngo -aul -nt timestamp -uc /home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf -p xc6slx9-tqg144-2 led.ngc led.ngd
+map -intstyle ise -p xc6slx9-tqg144-2 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o led_map.ncd led.ngd led.pcf
+par -w -intstyle ise -ol high -mt off led_map.ncd led.ncd led.pcf
+trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml led.twx led.ncd -o led.twr led.pcf
+bitgen -intstyle ise -f led.ut led.ncd
+xst -intstyle ise -ifn "/home/yannherklotz/Github/FPGA-led-lights/led.xst" -ofn "/home/yannherklotz/Github/FPGA-led-lights/led.syr"
+ngdbuild -intstyle ise -dd _ngo -aul -nt timestamp -uc /home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf -p xc6slx9-tqg144-2 led.ngc led.ngd
+map -intstyle ise -p xc6slx9-tqg144-2 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o led_map.ncd led.ngd led.pcf
+par -w -intstyle ise -ol high -mt off led_map.ncd led.ncd led.pcf
+trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml led.twx led.ncd -o led.twr led.pcf
+bitgen -intstyle ise -f led.ut led.ncd
+xst -intstyle ise -ifn "/home/yannherklotz/Github/FPGA-led-lights/led.xst" -ofn "/home/yannherklotz/Github/FPGA-led-lights/led.syr"
+ngdbuild -intstyle ise -dd _ngo -aul -nt timestamp -uc /home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf -p xc6slx9-tqg144-2 led.ngc led.ngd
+map -intstyle ise -p xc6slx9-tqg144-2 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o led_map.ncd led.ngd led.pcf
+par -w -intstyle ise -ol high -mt off led_map.ncd led.ncd led.pcf
+trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml led.twx led.ncd -o led.twr led.pcf
+bitgen -intstyle ise -f led.ut led.ncd
+xst -intstyle ise -ifn "/home/yannherklotz/Github/FPGA-led-lights/led.xst" -ofn "/home/yannherklotz/Github/FPGA-led-lights/led.syr"
+ngdbuild -intstyle ise -dd _ngo -aul -nt timestamp -uc /home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf -p xc6slx9-tqg144-2 led.ngc led.ngd
+map -intstyle ise -p xc6slx9-tqg144-2 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o led_map.ncd led.ngd led.pcf
+par -w -intstyle ise -ol high -mt off led_map.ncd led.ncd led.pcf
+trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml led.twx led.ncd -o led.twr led.pcf
+bitgen -intstyle ise -f led.ut led.ncd
+xst -intstyle ise -ifn "/home/yannherklotz/Github/FPGA-led-lights/led.xst" -ofn "/home/yannherklotz/Github/FPGA-led-lights/led.syr"
+ngdbuild -intstyle ise -dd _ngo -aul -nt timestamp -uc /home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf -p xc6slx9-tqg144-2 led.ngc led.ngd
+map -intstyle ise -p xc6slx9-tqg144-2 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o led_map.ncd led.ngd led.pcf
+par -w -intstyle ise -ol high -mt off led_map.ncd led.ncd led.pcf
+trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml led.twx led.ncd -o led.twr led.pcf
+bitgen -intstyle ise -f led.ut led.ncd
+xst -intstyle ise -ifn "/home/yannherklotz/Github/FPGA-led-lights/led.xst" -ofn "/home/yannherklotz/Github/FPGA-led-lights/led.syr"
+ngdbuild -intstyle ise -dd _ngo -aul -nt timestamp -uc /home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf -p xc6slx9-tqg144-2 led.ngc led.ngd
+map -intstyle ise -p xc6slx9-tqg144-2 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o led_map.ncd led.ngd led.pcf
+par -w -intstyle ise -ol high -mt off led_map.ncd led.ncd led.pcf
+trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml led.twx led.ncd -o led.twr led.pcf
+bitgen -intstyle ise -f led.ut led.ncd
+ngdbuild -intstyle ise -dd _ngo -aul -nt timestamp -uc BPC3011-Papilio_Pro-general.ucf -p xc6slx9-tqg144-2 led.ngc led.ngd
+ngdbuild -intstyle ise -dd _ngo -aul -nt timestamp -uc BPC3011-Papilio_Pro-general.ucf -p xc6slx9-tqg144-2 led.ngc led.ngd
+map -intstyle ise -p xc6slx9-tqg144-2 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o led_map.ncd led.ngd led.pcf
+map -intstyle ise -p xc6slx9-tqg144-2 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o led_map.ncd led.ngd led.pcf
+par -w -intstyle ise -ol high -mt off led_map.ncd led.ncd led.pcf
+trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml led.twx led.ncd -o led.twr led.pcf -ucf BPC3011-Papilio_Pro-general.ucf
+bitgen -intstyle ise -f led.ut led.ncd
diff --git a/led.drc b/led.drc
new file mode 100644
index 0000000..d361f6a
--- /dev/null
+++ b/led.drc
@@ -0,0 +1,8 @@
+Release 14.7 Drc P.20131013 (lin64)
+Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved.
+
+Tue Feb 21 22:17:07 2017
+
+drc -z led.ncd led.pcf
+
+DRC detected 0 errors and 0 warnings.
diff --git a/led.ncd b/led.ncd
new file mode 100644
index 0000000..bc25375
--- /dev/null
+++ b/led.ncd
@@ -0,0 +1,3 @@
+XILINX-XDB 0.1 STUB 0.1 ASCII
+XILINX-XDM V1.6
+###5788:XlxV32DM 3fff 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###4532:XlxV32DM 3fff 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###3940:XlxV32DM 3fff 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###2680:XlxV32DM 3fff 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###2332:XlxV32DM 3fff 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###1456:XlxV32DM 12d2 598eNqtV8uS2zgM/Jn9AIEgRFG6pHYvOeSwqWQ/QM/jVPmQvaj879uUZKklWzPOeGdqhhLRbIJAA7QHH3z06gcz86pZhufWmxW+s8EKjRbVq9vP42lY1kXf492paJFlVuBZ1V5gkYXF7VjaiUU0u+NpH/K4dBLwJKY7/G8xifqVSZN3n2YqVh73kkfZyiPv+CP/W4zkiRgVFKPPZ3+Ky+KPfF5DC4ccsSuHfMihK4c/4cie0HJYKyKxpHm/Z7DgWxVvE9sJA627ZSSt1GWlrCyTMh6gZce91vnCMMXrw1U3j+YOcaKA5/c+Y75e38zyMiuL8Q2UZaHVLwShdNU/onnpQj5q2qx+vJUFc7egwB7U3R1vE9Fst5ODyqmMPxaPX8Xz+VIIaxuUF8oprOWUv1Tegcrbv9SWHbWcF0o8RXbxx50Jb6eGZ4pLTiU7tZKF71FGeG3y+FwjxHenytnnWZWPG4L/bY/nGnjcGLgK3MP93F1039tRqercxzHCf1g/jJQ+Fe0948N6f7fVhify0T9ss+sOq970JJbhmSysKpvboW/QDWXuhs2tGWK6LQXT07uk936CrXbz27JiW2a2X2ZhgxnBigOs3mCOYM0Gy/AeiU3ChosHujojnN9wtRxwSjghnD/g8g3XEyzsYT3ROd1w/YGubwmXEa474AZyr9twQ7bHDY5wNeF0jxNHhG7YgFDKAQnAhmwZGY9I0o+LjGyPyJ6QOSOHAzIQp5IoJRw5C5Khkg6lsCOStKPGyOKIJDGqY2RzQLakM096lJY2854Nx81a2swLI+8268itnpH9AdlHqk06quNc5ZQr9FMyFGwgqpx04EzIQJpzkbKcU+5cbWTo2EDlkJMqXUPJzenEmpG7IWMDuRso7irkblA2kNADxV8DryCvlJMe2KuWqTo2UNkFCqJ21FcC5cM7zgeVig8U3cCGgqko57gPyEBq9tytQs0GkmQgMfiBQxLYwCffcn7992ec3MJvNv6woawhaocSUG0N3U5z6fLqawzVJdZVk9SWtsDhx68xVn/Ad8V8007T2TqN/mE367WR1t3b89Wefr58+Ws0nEu6pu7brsPEn2MY8Rlmdi+UlrvScG8Y9GuxwXONP1xa6P2G+8Aa2BvYa9ihWGtgb2BvYIdQDU4YpGEN7HDY2og/8LZWXfAlr7qO4volGFa7dbIpphO7EiOcFvA6xG6MU3owb30oBwTJ9pPTzbabhUOofEHXd/iK45pYqrSlBoxdW3p0bh/r0g/dskzqlGhfomv4Msf6rhyw3qNzRsTTJWdwiGlEPovqm+Cw+fgNTDpe0G7fpEFRV3MjrK6PDXJmcGcGPTP4M4NVc5b/Hr/jTFn1HY1OEOIsvVxS8ePN+hwfVS5JB0MamnnoUyAvhks1TyBcpnWXRo9opDFPIUkPRYpLhV3+A1Nesdw= \ No newline at end of file
diff --git a/led.ngc b/led.ngc
new file mode 100644
index 0000000..b051105
--- /dev/null
+++ b/led.ngc
@@ -0,0 +1,3 @@
+XILINX-XDB 0.1 STUB 0.1 ASCII
+XILINX-XDM V1.6e
+$0004=7991;<=>?0123456789;:7<?42991EDGFIHK37;ONA@CBE0=E]OM[=6M=;BK0?FJL<2IGG=84CMI2<50<KEA9;=;4CMI1\==DDB8S=5>:;BNH=53<KEAJ=:5LLJC2@71<KEAJ=I:8;BNHE4B?=2IGGO?:;BNHG43<KEAO=85LLJFUg>EKCM\THDXFDD78GIMAP11H@FHW192:?FJLWK_MK]74CNONMQRBL>1H^HO[EE38@7=CA11NMLONA@C;?@EDKJIHO?5ID99EBC@?89::7J=4GOF2?L4<A980E<<4I308M64<A=80E874IOKWWQGSM:1BB[:4LDF2=>JBL8UDNXHm;MGG5Ztt|ye>6BF6:NLGNCC?2FDKDMNL59OQQ733E__995CUU46?HS_KP;97@m`uov\gjsi|Vir0=0>5:O`kphsWje~byQly=2=[wr6;2Ghcx`{_bmvjqYdq5;;2<=4MbmvjqYdg|dSnw310<27>Kdg|dSnaznu]`}9756890Anaznu]`kphsWjs7=>0>3:O`kphsWje~byQly=37:45<Eje~byQlotlw[f;9<4:?6Clotlw[firf}Uhu1?9>018Ifirf}Uhcx`{_b{?5286;2Ghcx`{_bmvjqYdq5;32<=4MbmvjqYdg|dSnw318<26>Kdg|dSnaznu]`}9799:1Fob{at^alqkrXkp69<3?<;LalqkrXkfexRmv<33=56=JkfexRm`uov\g|:5:7;97@m`uov\gjsi|Vir0?0>2:O`kphsWje~byQly=1=57=JkfexRm`uov\g|:36880Anaznu]`kphsWjs793?=;LalqkrXkfexRmv<7<26>Kdg|dSnaznu]`}9199;1Fob{at^alqkrXkp632<<4MbmvjqYdg|dSnw39?37?Heh}g~Tob{at^nvp97768k0Anaznu]`kphsWe0<>1_HLU[5733DidyczPcnwmpZjr|5;:2<o4MbmvjqYdg|dSa{{<03=[LHQW9;?7@m`uov\gjsi|Vf~x1?=>0c8Ifirf}Uhcx`{_mww8449W@D]S=?;;LalqkrXkfexRbzt=30:4g<Eje~byQlotlw[iss4895SD@Y_137?Heh}g~Tob{at^nvp97368k0Anaznu]`kphsWe0<:1_HLU[5733DidyczPcnwmpZjr|5;>2<o4MbmvjqYdg|dSa{{<07=[LHQW9;?7@m`uov\gjsi|Vf~x1?9>0c8Ifirf}Uhcx`{_mww8409W@D]S=?;;LalqkrXkfexRbzt=34:4g<Eje~byQlotlw[iss48=5SD@Y_137?Heh}g~Tob{at^nvp97?68k0Anaznu]`kphsWe0<61_HLU[5733DidyczPcnwmpZjr|5;22<o4MbmvjqYdg|dSa{{<0;=[LHQW9;87@m`uov\gjsi|Vf~x1?1189Ngjsi|VidyczPltv?5;YNF_U;=95BcnwmpZeh}g~T`xz321<2e>Kdg|dSnaznu]oqq:587UBB[Q?159Ngjsi|VidyczPltv?6486i2Ghcx`{_bmvjqYk}}69=3QFNW]351=JkfexRm`uov\hpr;:;4:m6Clotlw[firf}Ugyy2=2?]JJSY79=1Fob{at^alqkrXd|~7>>0>a:O`kphsWje~byQcuu>17;YNF_U;=>5BcnwmpZeh}g~T`xz32?3:?Heh}g~Tob{at^nvp949W@D]S=?<;LalqkrXkfexRbzt=1=5<=JkfexRm`uov\hpr;;7UBB[Q?129Ngjsi|VidyczPltv?0;7>3DidyczPcnwmpZjr|5>5SD@Y_130?Heh}g~Tob{at^nvp939901Fob{at^alqkrXd|~793QFNW]356=JkfexRm`uov\hpr;>7;27@m`uov\gjsi|Vf~x181_HLU[5743DidyczPcnwmpZjr|5=5=45BcnwmpZeh}g~T`xz37?]JJSY79:1Fob{at^alqkrXd|~743?6;LalqkrXkfexRbzt=:=[LHQW9;87@m`uov\gjsi|Vf~x171189Ngjsi|VidyczPltv?=;YNF_U;=>5BcnwmpZeh}g~Ttb|30?37?Heh}g~Tob{at^zlv97768>0Anaznu]`kphsWqey0<?1159Ngjsi|VidyczPxnp?5786<2Ghcx`{_bmvjqYg{6:?3?;;LalqkrXkfexRv`r=37:42<Eje~byQlotlw[}iu48?5=95BcnwmpZeh}g~Ttb|317<20>Kdg|dSnaznu]{kw:6?7;?7@m`uov\gjsi|Vrd~1?7>068Ifirf}Uhcx`{_ymq84?99:1Fob{at^alqkrXpfx7=3?;;LalqkrXkfexRv`r=03:42<Eje~byQlotlw[}iu4;;5=95BcnwmpZeh}g~Ttb|323<20>Kdg|dSnaznu]{kw:5;7;87@m`uov\gjsi|Vrd~1<1129Ngjsi|VidyczPxnp?7;743DidyczPcnwmpZ~hz5>5=>5BcnwmpZeh}g~Ttb|35?30?Heh}g~Tob{at^zlv9099:1Fob{at^alqkrXpfx7;3?<;LalqkrXkfexRv`r=:=56=JkfexRm`uov\|jt;1780B=94NDVTKWM63F>0CO[I1:R`?U(5889:<<=PL59SEWR13YYOCCK>;P;8V`urd}6;2l5]erwop9776h1Yi~{ct=32:d=Umzgx1?=>`9Qavsk|5;82l5]erwop9736h1Yi~{ct=36:d=Umzgx1?9>`9Qavsk|5;<2l5]erwop97?6h1Yi~{ct=3::<=Umzgx1?1a:Pfwpjs4;:5m6\jstnw8779i2Xnxb{<30=e>Tb{|f0?=19:Pfwpjs4;427_k|umv?7;?<Zly~`y2;>89Qavsk|5?556\jstnw838>3[oxyaz37?;8V`urd}63245]erwop9?992Y:=6]GRDE\A]RUIJ^TBJMj;RJQABYJAGUXEWK9;RMVVFC13Z^JXX];;U[SAf=R[LXTZD]FBMG0?SED12\BIZQ[YQG2`>^ND@DS!UJM 1,2$VRRJ):%=-O\CHK6?]IUKP<0T^ZCIC3e?]USWHN]MCMJD^OBGWIXIJI^S@}zb^DE3>^T\VMEH:5WSU]UGF0<PmhTEi??;Yfn[Hgmg{\n~~g`n028\akXE`dd~[k}shmm7>^t|:1RI^64cnwmp96912idycz311<:?firf}6:=374cnwmp975601hcx`{<01==>eh}g~7=906;bmvjq:6=730ob{at=35:<=dg|d0<919:alqkr;91427naznu>2=;><kfex1?19:alqkr;:9427naznu>15;?<kfex1<=>89`kphs4;9556m`uov?618?3je~by2=>99`kphs4:437naznu>7:==dg|d0807;bmvjq:1611hcx`{<6<;?firf}63255lotlw8<86n2idyczT27_\CKBX9VeTi|{nl^0\k9416;:0ob{atZ05YZAILV;TcRk~u`n\6Zi;:?4:><5lotlw_70ZWNDOS<Q`_dsveiY5Wf69:3?>239`kphsS;<VSJ@K_0]l[`wrieU9Sb2=6?3\576<kfexV<9]^EM@Z7XgVozylbP2^m?6385:81hcx`{[34^[BHCW8UdShzam]1[j:5>78:>?5lotlw_70ZWNDOS<Q`_dsveiY5Wf69:3<P1328gjsi|R8=QRIAD^3\kZcv}hfT>Ra327<064=dg|dW?8R_FLG[4YhWl{~maQ=_n>12;56:;1hcx`{[34^[BHCW8UdShzam]1[j:5>79T=?>4cnwmp^41UVMEHR?Po^grqdjX:Ve7>;0;239`kphsS;<VSJ@K_0]l[`wrieU9Sb2=6?6\52=dg|dS=k4cnwmpZ6Xzz~{cy94cnwmpZ7?3je~byQ>0g9`kphsW8:T~~zou:8gjsi|V;:j6m`uov\54Yu{}zdx55lotlw[44a3je~byQ>2^pppuis02idyczP12d8gjsi|V;8S}{pnv;?firf}U:8k5lotlw[42Xzz~{cy64cnwmpZ72n2idyczP14]qwqvh|11hcx`{_04e?firf}U::R||tqmw<>eh}g~T=:h4cnwmpZ70W{y|bz7;bmvjqY60o1hcx`{_0:\vvrwg}20ob{at^3:b>eh}g~T=4Q}surlp`=dg|dS<Q}surlp2=dg|dS?64cnwmpZ47n2idyczP21]qwqvh|11hcx`{_33e?firf}U9=R||tqmw<>eh}g~T>?h4cnwmpZ45W{y|bz7;bmvjqY5;o1hcx`{_31\vvrwg}o0ob{at^0\vvrwg}=0ob{at^1f?firf}U8S}{pnv4?firf}U?i6m`uov\0Ztt|ye;6m`uov\1`=dg|dS8Q}surlp2=dg|dS;k4cnwmpZ0Xzz~{cy94cnwmpZ1b3je~byQ8_sqwtjr03je~byQ7e:alqkrX0Vxxx}a{7:alqkrX1l1hcx`{_8]qwqvh|:1gii??;ya5wi~>%;'}j?;4|BCt6>b3IJs=??5F;095~U6l3ij64m5121`1`b=;<l>4v`l8;38jf?=>2.h;7m>;|Q2g?ef20i1=>=l5df970`212n:><4?:082V7c2jk15n4>32a6aa<4=o?37{Zmb;295?7=0?qX=i4la;;`>454k<oo6>;i599'g5<4<2.2h7?=2:`264<72;039769{I`f?!dc288:7)ln:29'f<<dj2c26=44o3d94?=ek?0;6<4?:1y'fa<en2Bh?6Fme:m:f?6=3thh97>51;294~"el38>7Em<;I`f?j432900qo=6:187>5<7s-ho6>64Hb18Lgc<a10;66g>f;29?ld?2900c4750;9~f2`=8391<7>t$cf9g7=Ok:1Cnh5f8;29?l552900c4750;9~f13=83>1<7>t$cf97==Ok:1Cnh5f8;29?l7a2900eo650;9l=<<722wi4l4?:283>5}#jm0h>6Fl3:Jaa>o?2900e><50;9l=<<722wi844?:583>5}#jm0846Fl3:Jaa>o?2900e<h50;9jf=<722e257>5;|`;b?6=;3:1<v*md;a1?Me43Ahn7d650;9j77<722e257>5;|`7e?6=<3:1<v*md;1;?Me43Ahn7d650;9j5c<722ci47>5;n;:>5<<uk3;6=4<:183!dc2j80Dn=4Hcg8m=<722c8>7>5;n;:>5<<uk>i6=4;:183!dc2:20Dn=4Hcg8m=<722c:j7>5;h`;>5<<g031<75rb8394?5=83:p(oj5c39Kg6=Ojl1b47>5;h11>5<<g031<75rb5a94?2=83:p(oj5399Kg6=Ojl1b47>5;h3e>5<<ak21<75`9883>>{e1;0;6>4?:1y'fa<d:2Bh?6Fme:k;>5<<a:81<75`9883>>{e<m0;694?:1y'fa<402Bh?6Fme:k;>5<<a8l1<75fb983>>i>13:17pl63;297?6=8r.ih7m=;Ia0?Mdb3`21<75f3383>>i>13:17pl;e;290?6=8r.ih7=7;Ia0?Mdb3`21<75f1g83>>oe03:17b76:188yg?329086=4?{%`g>f4<@j90Dok4i983>>o4:3:17b76:188yg2a290?6=4?{%`g>6><@j90Dok4i983>>o6n3:17dl7:188k<?=831vn4;50;194?6|,kn1o?5Gc29Kf`=n03:17d==:188k<?=831vn8>50;694?6|,kn1?55Gc29Kf`=n03:17d?i:188mg>=831d544?::a=3<72:0;6=u+be8`6>Nd;2Bii6g7:188m64=831d544?::a7g<72=0;6=u+be80<>Nd;2Bii6g7:188m4`=831bn54?::m:=?6=3th3<7>53;294~"el3i97Em<;I`f?l>=831b??4?::m:=?6=3th8o7>54;294~"el3937Em<;I`f?l>=831b=k4?::ka<?6=3f326=44}c:2>5<4290;w)lk:b08Lf5<@ko0e54?::k06?6=3f326=44}c1g>5<3290;w)lk:2:8Lf5<@ko0e54?::k2b?6=3`h36=44o8;94?=zj181<7=50;2x gb=k;1Co>5Gbd9j<?6=3`996=44o8;94?=zj:o1<7:50;2x gb=;11Co>5Gbd9j<?6=3`;m6=44ic:94?=h100;66sm8283>6<729q/ni4l2:J`7>Nem2c36=44i2094?=h100;66sm3g83>1<729q/ni4<8:J`7>Nem2c36=44i0d94?=nj10;66a69;29?xd?<3:1?7>50z&a`?e53Ai87Elj;h:94?=n;;0;66a69;29?xd383:187>50z&a`?5?3Ai87Elj;h:94?=n9o0;66gm8;29?j?>2900qo6::180>5<7s-ho6n<4Hb18Lgc<a10;66g<2;29?j?>2900qo:>:187>5<7s-ho6>64Hb18Lgc<a10;66g>f;29?ld?2900c4750;9~f=0=8391<7>t$cf9g7=Ok:1Cnh5f8;29?l552900c4750;9~f14=83>1<7>t$cf97==Ok:1Cnh5f8;29?l7a2900eo650;9l=<<722wi4:4?:283>5}#jm0h>6Fl3:Jaa>o?2900e><50;9l=<<722wi8>4?:583>5}#jm0846Fl3:Jaa>o?2900e<h50;9jf=<722e257>5;|`;<?6=;3:1<v*md;a1?Me43Ahn7d650;9j77<722e257>5;|`70?6=<3:1<v*md;1;?Me43Ahn7d650;9j5c<722ci47>5;n;:>5<<uk226=4<:183!dc2j80Dn=4Hcg8m=<722c8>7>5;n;:>5<<uk>=6=4;:183!dc2:20Dn=4Hcg8m=<722c:j7>5;h`;>5<<g031<75rb9`94?5=83:p(oj5c39Kg6=Ojl1b47>5;h11>5<<g031<75rb5594?2=83:p(oj5399Kg6=Ojl1b47>5;h3e>5<<ak21<75`9883>>{e0j0;6>4?:1y'fa<d:2Bh?6Fme:k;>5<<a:81<75`9883>>{e<10;694?:1y'fa<402Bh?6Fme:k;>5<<a8l1<75fb983>>i>13:17pl7d;297?6=8r.ih7m=;Ia0?Mdb3`21<75f3383>>i>13:17pl7e;297?6=8r.ih7m=;Ia0?Mdb3`21<75f3383>>i>13:17plj6;293?6=8r.ih7=8;Ia0?Mdb3-8o6<5f2683>>o503:17d<6:188m7g=831b>o4?::k1g?6=3f326=44}cg:>5<0290;w)lk:258Lf5<@ko0(?j51:k13?6=3`836=44i3;94?=n:h0;66g=b;29?l4d2900c4750;9~f`e=83=1<7>t$cf972=Ok:1Cnh5+2e82?l402900e?650;9j6<<722c9m7>5;h0a>5<<a;i1<75`9883>>{emo0;6:4?:1y'fa<4?2Bh?6Fme:&1`?7<a;=1<75f2983>>o513:17d<n:188m7d=831b>n4?::m:=?6=3th8<7>52;294~"el33j7Em<;I`f?l412900c4750;9~f6g=8381<7>t$cf970=Ok:1Cnh5+2e80?l402900c4750;9~f7`=8391<7>t$cf967=Ok:1Cnh5+2e83?l1=831b=h4?::m:b?6=3th8=7>56;294~"el39=7Em<;I`f?!4c2<1b>:4?::k1<?6=3`826=44i3c94?=n:k0;66a69;29?xda93:1?7>50z&a`?453Ai87Elj;%0g>5=n?3:17d?j:188k<`=831vnk=50;194?6|,kn1>?5Gc29Kf`=#:m0;7d950;9j5`<722e2j7>5;|`24<<72:0;6=u+be816>Nd;2Bii6*=d;28m2<722c:i7>5;n;e>5<<uk;:;7>53;294~"el3897Em<;I`f?!4c291b;7>5;h3f>5<<g0l1<75rb030>5<4290;w)lk:308Lf5<@ko0(?j50:k4>5<<a8o1<75`9g83>>{e98?1<7=50;2x gb=:;1Co>5Gbd9'6a<73`=1<75f1d83>>i>n3:17pl>1883>6<729q/ni4=2:J`7>Nem2.9h7>4i683>>o6m3:17b7i:188yg76j3:1?7>50z&a`?453Ai87Elj;%0g>5=n?3:17d?j:188k<`=831vn<?k:180>5<7s-ho6?<4Hb18Lgc<,;n1<6g8:188m4c=831d5k4?::a54`=8391<7>t$cf967=Ok:1Cnh5+2e83?l1=831b=h4?::m:b?6=3thm47>53;294~"el3897Em<;I`f?!4c291b;7>5;h3f>5<<g0l1<75rbg694?5=83:p(oj5239Kg6=Ojl1/>i4=;h594?=n9l0;66a6f;29?xda>3:1?7>50z&a`?453Ai87Elj;%0g>5=n?3:17d?j:188k<`=831vnko50;194?6|,kn1>?5Gc29Kf`=#:m097d950;9j5`<722e2j7>5;|`eg?6=;3:1<v*md;01?Me43Ahn7)<k:19j3?6=3`;n6=44o8d94?=zjoo1<7=50;2x gb=:;1Co>5Gbd9'6a<73`=1<75f1d83>>i>n3:17pl>0183>6<729q/ni4=2:J`7>Nem2.9h7>4i683>>o6m3:17b7i:188yg77>3:1?7>50z&a`?453Ai87Elj;%0g>5=n?3:17d?j:188k<`=831vn<>=:180>5<7s-ho6?<4Hb18Lgc<,;n1<6g8:188m4c=831d5k4?::a552=8391<7>t$cf967=Ok:1Cnh5+2e81?l1=831b=h4?::m:b?6=3th:<l4?:283>5}#jm09>6Fl3:Jaa>"5l380e:4?::k2a?6=3f3m6=44}c33g?6=;3:1<v*md;01?Me43Ahn7)<k:39j3?6=3`;n6=44o8d94?=zj8:n6=4<:183!dc2;80Dn=4Hcg8 7b=:2c<6=44i0g94?=h1o0;66sm10294?5=83:p(oj5239Kg6=Ojl1/>i4=;h594?=n9l0;66a6f;29?xda:3:1:7>50z&a`?513Ai87Elj;%0g>1=n:>0;66g=8;29?l4>2900e?o50;9j6g<722e257>5;|`24=<72?0;6=u+be802>Nd;2Bii6*=d;68m71=831b>54?::k1=?6=3`8j6=44i3`94?=h100;66sm10094?0=83:p(oj5379Kg6=Ojl1/>i4;;h04>5<<a;21<75f2883>>o5i3:17d<m:188k<?=831vn<?;:185>5<7s-ho6>84Hb18Lgc<,;n186g=7;29?l4?2900e?750;9j6d<722c9n7>5;n;:>5<<uk;::7>56;294~"el39=7Em<;I`f?!4c2=1b>:4?::k1<?6=3`826=44i3c94?=n:k0;66a69;29?xd6910;6;4?:1y'fa<4>2Bh?6Fme:&1`?2<a;=1<75f2983>>o513:17d<n:188m7d=831d544?::a54g=83<1<7>t$cf973=Ok:1Cnh5+2e87?l402900e?650;9j6<<722c9m7>5;h0a>5<<g031<75rb03`>5<1290;w)lk:248Lf5<@ko0(?j54:k13?6=3`836=44i3;94?=n:h0;66g=b;29?j?>2900qo?>e;292?6=8r.ih7=9;Ia0?Mdb3-8o695f2683>>o503:17d<6:188m7g=831b>o4?::m:=?6=3th:>=4?:783>5}#jm08:6Fl3:Jaa>"5l3>0e?950;9j6=<722c957>5;h0b>5<<a;h1<75`9883>>{en<0;6;4?:1y'fa<4>2Bh?6Fme:&1`?473`8<6=44i3:94?=n:00;66g=a;29?l4e2900c4750;9~fc1=83<1<7>t$cf973=Ok:1Cnh5+2e87?l402900e?650;9j6<<722c9m7>5;h0a>5<<g031<75rbg;94?0=83:p(oj5379Kg6=Ojl1/>i4;;h04>5<<a;21<75f2883>>o5i3:17d<m:188k<?=831vnkl50;494?6|,kn1?;5Gc29Kf`=#:m09=6g=7;29?l4?2900e?750;9j6d<722c9n7>5;n;:>5<<uklo6=49:183!dc2:<0Dn=4Hcg8 7b=<2c9;7>5;h0;>5<<a;31<75f2`83>>o5j3:17b76:188yg`a290=6=4?{%`g>60<@j90Dok4$3f90>o5?3:17d<7:188m7?=831b>l4?::k1f?6=3f326=44}c335?6=>3:1<v*md;15?Me43Ahn7)<k:59j62<722c947>5;h0:>5<<a;k1<75f2c83>>i>13:17pl>0283>3<729q/ni4<6:J`7>Nem2.9h7:4i3594?=n:10;66g=9;29?l4f2900e?l50;9l=<<722wi==;50;494?6|,kn1?;5Gc29Kf`=#:m09=6g=7;29?l4?2900e?750;9j6d<722c9n7>5;n;:>5<<uk;;;7>56;294~"el39=7Em<;I`f?!4c2=1b>:4?::k1<?6=3`826=44i3c94?=n:k0;66a69;29?xd68k0;6;4?:1y'fa<4>2Bh?6Fme:&1`?443`8<6=44i3:94?=n:00;66g=a;29?l4e2900c4750;9~f46c290=6=4?{%`g>60<@j90Dok4$3f966=n:>0;66g=8;29?l4>2900e?o50;9j6g<722e257>5;|`24c<72?0;6=u+be802>Nd;2Bii6*=d;00?l402900e?650;9j6<<722c9m7>5;h0a>5<<g031<75rb032>5<1290;w)lk:248Lf5<@ko0(?j5229j62<722c947>5;h0:>5<<a;k1<75f2c83>>i>13:17plj8;293?6=8r.ih7=8;Ia0?Mdb3-8o6<5f2683>>o503:17d<6:188m7g=831b>o4?::k1g?6=3f326=44}cga>5<0290;w)lk:258Lf5<@ko0(?j51:k13?6=3`836=44i3;94?=n:h0;66g=b;29?l4d2900c4750;9~fc6=83=1<7>t$cf972=Ok:1Cnh5+2e82?l402900e?650;9j6<<722c9m7>5;h0a>5<<a;i1<75`9883>>{eml0;6:4?:1y'fa<4?2Bh?6Fme:&1`?7<a;=1<75f2983>>o513:17d<n:188m7d=831b>n4?::m:=?6=3th:n7>52;294~"el3<0Dn=4Hcg8m70=831d544?::a23<72;0;6=u+be81a>Nd;2Bii6g=6;29?j?>2900qo9?:181>5<7s-ho6?k4Hb18Lgc<a;<1<75`9883>>{e?;0;6?4?:1y'fa<5m2Bh?6Fme:k12?6=3f326=44}c57>5<5290;w)lk:3g8Lf5<@ko0e?850;9l=<<722wi;;4?:383>5}#jm09i6Fl3:Jaa>o5>3:17b76:188yg1?29096=4?{%`g>7c<@j90Dok4i3494?=h100;66sm7`83>7<729q/ni4=e:J`7>Nem2c9:7>5;n;:>5<<uk=h6=4=:183!dc2;o0Dn=4Hcg8m70=831d544?::a3`<72;0;6=u+be81a>Nd;2Bii6g=6;29?j?>2900qo;=:181>5<7s-ho6?k4Hb18Lgc<a;<1<75`9883>>{e==0;6?4?:1y'fa<5m2Bh?6Fme:k12?6=3f326=44}c75>5<5290;w)lk:3g8Lf5<@ko0e?850;9l=<<722wi954?:383>5}#jm09i6Fl3:Jaa>o5>3:17b76:188yg3f29096=4?{%`g>7c<@j90Dok4i3494?=h100;66sm5b83>7<729q/ni4=e:J`7>Nem2c9:7>5;n;:>5<<uk?n6=4=:183!dc2;o0Dn=4Hcg8m70=831d544?::a25<72;0;6=u+be81a>Nd;2Bii6g=6;29?j?>2900qo8=:181>5<7s-ho6?k4Hb18Lgc<a;<1<75`9883>>{e>=0;6?4?:1y'fa<5m2Bh?6Fme:k12?6=3f326=44}c4;>5<5290;w)lk:3g8Lf5<@ko0e?850;9l=<<722wi:l4?:383>5}#jm09i6Fl3:Jaa>o5>3:17b76:188yg0d29096=4?{%`g>7c<@j90Dok4i3494?=h100;66sm6d83>7<729q/ni4=e:J`7>Nem2c9:7>5;n;:>5<<uz;i6=4>az?1b?1<5o;1;63i3;58946>2>16=<957:?256<034;:9794=03:>2=:98h1;63>1e84?876n3=01k657:?e0?1<5o<1;63ia;589ce=?27mi794=023>2=:99<1;63>0384?877<3=01<>n:69>55e=?27:<h48;<324?1<58h1545rsea94?4|5j?1>9523882b>{tlk0;69u2e881g>;69902j63jb;0`?80b2;<0q~jn:1878c>2;h01<>j:8d89`d=:k16:n4=6:p`<<72=q6i44=a:?24f<>n27nn7<n;<4b>70<uzn36=4;{<g:>7?<58:j64h4=d`96<=:>109:6s|d783>1}:m009;63>078:b>;bj38<708;:348yvb2290?w0k6:3:8946320l01hl5299>27<5>2wxh94?:5y>a3<5k27:<?46f:?f<?4d34<;6?84}rf0>5<3s4o=6?l4=023><`<5l21>o525d812>{tl;0;69u2e781e>;am33m70k7:3c890e=:?1vi?50;6x9`0=:016jn46f:?f<?4>34?j6?84}rf3>5<3s4o=6?94=gc9=c=:m109;63:8;05?xudn3:18v3j6;0;?8`?20l01h65299>13<5>2wxoh4?:5y>ac<5k27m:77i;<d3>7e<5<>1>;5rsbf94?2|5ll1>o52f58:b>;a838i70;=:348yvc3290?w0ki:3c8947a20l01k>52`9>3`<5>2wxi>4?:5y>ac<5127:=i46f:?e4?4>34=h6?84}rg1>5<3s4om6?94=03a><`<5o:1>:527`812>{tm80;69u2eg81<>;69002j63i0;0;?81?2;<0q~k?:1878cd2;i01<?8:8d89`c=:j16;;4=6:p`c<72=q6in4=b:?250<>n27ni7<m;<57>70<uznn6=4;{<g`>7g<58;864h4=dg96d=:?;09:6s|de83>1}:mj09563>088:b>;bm382709?:348yvb0290?w0kl:3589c5=1o16ih4=7:?52?413tyho7>54z?fg?4?349j6?94=g39=c=:ml0946s|3183>6}:;909:63=f;;e?8562;=0q~78:182=~;d>33i70=6:99>3c<?34>>6<h4=5;95c=:<h0:j63;b;3e?82d28l019j51g9>0`<6n27?j7?i;<73>4`<5:h1=k523b82b>;4l3;m70=j:0d896`=9o168=4>f:?75?7a34>96<h4=5195c=:<=0:j63;6;3e?82028l019651g9~wd6=838p1:h5989>b7<5?2wxmo4?:3y><d<>127:<54=7:pf5<72;q64k469:?257<5?2wxn<4?:3y>=5<>127:=94=7:pf7<72;q65<469:?253<5?2wxn>4?:3y>=7<>127:=54=7:pf1<72;q65>469:?25d<5?2wxn84?:3y>=1<>127:=n4=7:pf3<72;q658469:?25`<5?2wxn:4?:3y>=3<>127:>=4=7:pe4<72;q64=469:?e1?403tyj>7>52z?;5??>34l<6?94}rc0>5<5s4296474=g;962=z{h>1<7<t=919=<=:nk0946s|a483>7}:0=02563id;04?xuf>3:1>v375;;:?8`a2;=0q~o8:1818>120301<>>:358yvg?2909w068:8;894642;=0q~o6:1818>?20301<>::3:8yvgf2909w066:8;894602;=0q~ol:1818>e20301<>m:3`8yvgc2909w06l:8;8946c2;h0q~oj:1818>c20301<>i:3`8yvga2909w06j:8;894762;h0q~=6:18085>203019;58:?;e?><uz<>6=4<{<66>g><51k1??52678:=>{t<<0;6>u2448:=>;3132015h58:p2c<72:q6844m8:?;b?5534=;6474}r6:>5<4s4>26474=5c9<>;>8320q~9>:18082f2k2014>5339>37<>12wx8l4?:2y>0d<>127?n764=839<>{t?:0;6>u24c8a<>;>9399709;:8;8yv2e2908w0:m:8;891e=0272>764}r56>5<4s4>h6o64=80977=:??0256s|4b83>6}:<j02563;d;:89<5=02wx;:4?:2y>0a<e0272?7==;<5;><?<uz>o6=4<{<6g><?<5=o146364;:8yv1>2908w0:j:c:89<2=;;16;l469:p0`<72:q68h469:?7b?><50?146s|7c83>6}:<o0i46365;11?81d2030q~:i:18082a203018>58:?:2?><uz=o6=4<{<73>g><50<1??527d8:=>{t=90;6>u2518:=>;4j32015>58:p14<72:q6?o4m8:?;4?5534?96474}r1a>5<4s49i6474=2a9<>;?9320q~;<:18085d2k2015?5339>11<>12wx?n4?:2y>7f<>1278h764=909<>{t=<0;6>u23e8a<>;?:39970;9:8;8yv5c2908w0=k:8;896c=0273?764}r74>5<4s49n6o64=91977=:=10256s|3d83>6}:;l02563<f;:89=2=02wx944?:2y>7c<e027387==;<7b><?<uz9m6=4<{<1e><?<5=:146375;:8yv3e2908w0:?:c:89=3=;;169n469:p05<72:q68=469:?75?><51<146s|5e83>6}:<80i46376;11?83b2030q~:>:180826203019<58:?;3?><uz?m6=4<{<61>g><51=1??52618:=>{t<;0;6>u2438:=>;3;32015658:p24<72:q68>4m8:?;<?5534<96474}r60>5<4s4>86474=569<>;?1320q~8<:1808232k201575339>21<>12wx894?:2y>01<>127?:764=9`9<>{t>>0;6>u2478a<>;?j3997087:8;8yv212908w0:9:8;8911=0273o764}r4:>5<4s4><6o64=9a977=:>h0256s|4683>6}:<>02563;8;:89=b=02wx:o4?:2y>0=<e0273h7==;<4`><?<uz>36=4={<6;><?<51o146s|6e83>7}:0l08>639e;;:?xub=3:1iv3j6;;:?8562;201k<5299>55>=:116=<<5299>542=:116=<85299>54>=:116=<o5299>54e=:116=<k5299>576=:116j:4=8:?e=?4?3tyn:7>5ez?f=??>349:6?74=g096<=:9921>45210096<=:98>1>45210496<=:9821>45210c96<=:98i1>45210g96<=:9;:1>452f681=>;a13827p}j9;29a~;bk33270=>:3c89c4=:h16==652`9>544=:h16=<:52`9>540=:h16=<652`9>54g=:h16=<m52`9>54c=:h16=?>52`9>b2<5i27m57<n;|qfg?6=9=q6ik469:?05?4e34l96?l4=02;>7d<58;96?l4=037>7d<58;=6?l4=03;>7d<58;j6?l4=03`>7d<58;n6?l4=003>7d<5o=1>o52f881f>;al38i70hi:3`894662;h01<><:3`894602;h01k;52c9~w<<72;qU563>b;05?xu5n3:1>vP=f:?04??>3ty8m7>53z?0e??>34926o64=6d977=z{:;1<7<t=3d95`=:;80256s|f383>7}:n80:i63i2;;:?xu6810;6?u2f282a>;6810256s|10094?4|58:26<k4=031><?<uz;:47>52z?252<6m27:=5469:p542=838p1<?<:0g894732030q~?>6;296~;69<0:i63>178:=>{t98k1<7<t=03:>4c<58;j6474}r32g?6=:r7:=o4>e:?25f<>12wx=<k50;0x947c28o01<?j:8;8yv7583:1>v3>1g82a>;6:90256s|f883>7}:n10:i63i9;;:?xua=3:1>v3i4;3f?8`22030q~h8:1818`128o01k95989~wcd=838p1ko51d9>bg<>12wxji4?:3y>bf<6m27mh776;|qeb?6=:r7mi7?j;<de><?<uz;;=7>52z?245<6m27:<<469:p551=838p1<>9:0g894602030q~??3;296~;68;0:i63>028:=>{t99?1<7<t=027>4c<58:>6474}r33f?6=:r7:<l4>e:?24g<>12wx==j50;0x946d28o01<>k:8;8yv77n3:1>v3>0d82a>;68o0256s|10394?4|58;;6<k4=032><?<uzo<6=4k{<g;><?<5on1>552fg81<>;68809463>0281<>;68>09463i5;0b?8`e2;h01<>::3`8946e2;k01<>k:3c8946a2;k01<?>:3c8yvcf290ow0km:8;89cb=:016jk4=9:?244<5127:<>4=9:?242<5127m97<6;<da>7g<58:>6?o4=02a>7?<58:o6?74=02e>7?<58;:6?74}rge>5<0s4l;6474=g`962=:99?1>:5211`962=:99n1>:5211d962=:98;1>:5rsdf94?b|5lo15452fe81e>;an38j70??1;0b?877;38j70??7;0b?8`e2;301<>::3;89c3=:116==l5299>55b=:116==h5299>547=:11vqc<72;295~Nem2we>5=50;3xLgc<ug8387>51zJaa>{i:1?1<7?tHcg8yk4?>3:1=vFme:m6=1=83;pDok4}o0;<?6=9rBii6sa29;94?7|@ko0qc<7a;295~Nem2we>5l50;3xLgc<ug83o7>51zJaa>{i:1n1<7?tHcg8yk4?m3:1=vFme:m6=`=83;pDok4}o0:4?6=9rBii6sa28394?7|@ko0qc<62;295~Nem2we>4=50;3xLgc<ug8287>51zJaa>{i:0?1<7?tHcg8yk4>>3:1=vFme:m6<1=83;pDok4}o0:<?6=9rBii6sa28;94?7|@ko0qc<6a;295~Nem2we>4l50;3xLgc<ug82o7>51zJaa>{i:0n1<7?tHcg8yk4>m3:1=vFme:m6<`=83;pDok4}o0b4?6=9rBii6sa2`394?7|@ko0qc<n2;295~Nem2we>l=50;3xLgc<ug8j87>51zJaa>{i:h?1<7?tHcg8yk4f>3:1=vFme:m6d1=83;pDok4}o0b<?6=9rBii6sa2`;94?7|@ko0qc<na;295~Nem2we>ll50;3xLgc<ug8jo7>51zJaa>{i:hn1<7?tHcg8yk4fm3:1=vFme:m6d`=83;pDok4}o0a4?6=9rBii6sa2c394?7|@ko0qc<m2;295~Nem2we>o=50;3xLgc<ug8i87>51zJaa>{i:k?1<7?tHcg8yk4e>3:1=vFme:m6g1=83;pDok4}o0a<?6=9rBii6sa2c;94?7|@ko0qc<ma;295~Nem2we>ol50;3xLgc<ug8io7>51zJaa>{i:kn1<7?tHcg8yk4em3:1=vFme:m6g`=83;pDok4}o0`4?6=9rBii6sa2b394?7|@ko0qc<l2;295~Nem2we>n=50;3xLgc<ug8h87>51zJaa>{i:j?1<7?tHcg8yk4d>3:1=vFme:m6f1=83;pDok4}o0`<?6=9rBii6sa2b;94?7|@ko0qc<la;295~Nem2we>nl50;3xLgc<ug8ho7>51zJaa>{i:jn1<7?tHcg8yk4dm3:1=vFme:m6f`=83;pDok4}o0g4?6=9rBii6sa2e394?7|@ko0qc<k2;295~Nem2we>i=50;3xLgc<ug8o87>51zJaa>{i:m?1<7?tHcg8yk4c>3:1=vFme:m6a1=83;pDok4}o0g<?6=9rBii6sa2e;94?7|@ko0qc<ka;295~Nem2we>il50;3xLgc<ug8oo7>51zJaa>{i:mn1<7?tHcg8yk4cm3:1=vFme:m6a`=83;pDok4}o0f4?6=9rBii6sa2d394?7|@ko0qc<j2;295~Nem2we>h=50;3xLgc<ug8n87>51zJaa>{i:l?1<7?tHcg8yk4b>3:1=vFme:m6`1=83;pDok4}o0f<?6=9rBii6sa2d;94?7|@ko0qc<ja;295~Nem2we>hl50;3xLgc<ug8no7>51zJaa>{i:ln1<7?tHcg8yk4bm3:1=vFme:m6``=83;pDok4}o0e4?6=9rBii6sa2g394?7|@ko0qc<i2;295~Nem2we>k=50;3xLgc<ug8m87>51zJaa>{i:o?1<7?tHcg8yk4a>3:1=vFme:m6c1=83;pDok4}o0e<?6=9rBii6sa2g;94?7|@ko0qc<ia;295~Nem2we>kl50;3xLgc<ug8mo7>51zJaa>{i:on1<7?tHcg8yk4am3:1=vFme:m6c`=83;pDok4}o134?6=9rBii6sa31394?7|@ko0qc=?2;295~Nem2we?==50;3xLgc<ug9;87>51zJaa>{i;9?1<7?tHcg8yk57>3:1=vFme:m751=83;pDok4}|~DEE|;1o1=noj556fyEFEs9wKL]ur@A \ No newline at end of file
diff --git a/led.ngd b/led.ngd
new file mode 100644
index 0000000..f47e249
--- /dev/null
+++ b/led.ngd
@@ -0,0 +1,3 @@
+XILINX-XDB 0.1 STUB 0.1 ASCII
+XILINX-XDM V1.6e
+$04;5=6:2.Yi{g|inl9$4(5=0*/=6>>0:23456789:;<=>?003854=5028JMLONA@05?675=9$;<=>?0123$uU'@@MC,8>!012345678)/:=6=>2429tV<OANB19=+7;7CBEDGFIm1=av6tpe201+592K87LJ]3:@VB1=E]OM>7O[IGQ33?GSNDMU_M_IJT@P@L4=D:2IN>6MF5:AHFP@43JF@86MCK148GIM609<0OAE=7178GIM5P11H@F<W1926?FJL19?0OAEN169@HNG6L;=0OAEN1E64?FJLI8N396MCKC36?FJLK8?0OAEK149@HNBQk2IGGIXPDHTJ@@3<KEAMT55LLJD[5=6>3JF@SO[IGQ18GIT>3JEFADZ[EE48GJHAAN=0O_KNTDF2?A4<L@o0H_MPSTA@AVUA]E?0H_GYE99FEDGFIHK37HMLCBA@G==BPYKEHHJ>1:G[TDHCMMUIOIQIIMG20>C_XHDOIIQLOOQWVDOI\Z;:7HV_AOFF@ZOTMVLB@H<4FE08BC><NOLM4=>?8:DQKHYRKO;0K>5HNE38M7=N8;1B=?5F239J77=N<;1B985FBTDD6>OI;2CEM>5FNC:8MK@BZ[OO56GAIUQWEQC43@D]i6GA_OEG[DHCWKIO:6G@CNOSe>OH[]KEHL\K6:KLP\VB<2FNH<64LDF2*UGC12FNH<Q@BTDa?ICC9Vxxx}a{2:NJ3>JNJ[KYU;5CO@FF@6=KGJ<0@BMDEE58HJANKHF?7A[[159OQQ333E__:85CVUVO7>KRP<1FYUMVc:ObnjtQm{ybcc?=;LalqkrXkfexRmv<1<21>Kdg|dSnaznu]`}969W{~:?6Clotlw[firf}Uhu1??>018Ifirf}Uhcx`{_b{?5486;2Ghcx`{_bmvjqYdq5;92<=4MbmvjqYdg|dSnw312<27>Kdg|dSnaznu]`}9736890Anaznu]`kphsWjs7=80>3:O`kphsWje~byQly=35:45<Eje~byQlotlw[f;9>4:?6Clotlw[firf}Uhu1?7>018Ifirf}Uhcx`{_b{?5<86:2Ghcx`{_bmvjqYdq5;5=>5BcnwmpZeh}g~Tot2=0?30?Heh}g~Tob{at^az87799:1Fob{at^alqkrXkp69>3?=;LalqkrXkfexRmv<3<26>Kdg|dSnaznu]`}9599;1Fob{at^alqkrXkp6?2<<4MbmvjqYdg|dSnw35?31?Heh}g~Tob{at^az8386:2Ghcx`{_bmvjqYdq5=5=?5BcnwmpZeh}g~Tot27>008Ifirf}Uhcx`{_b{?=;733DidyczPcnwmpZjr|5;;2<o4MbmvjqYdg|dSa{{<02=[LHQW9;?7@m`uov\gjsi|Vf~x1?>>0c8Ifirf}Uhcx`{_mww8479W@D]S=?;;LalqkrXkfexRbzt=31:4g<Eje~byQlotlw[iss4885SD@Y_137?Heh}g~Tob{at^nvp97468k0Anaznu]`kphsWe0<=1_HLU[5733DidyczPcnwmpZjr|5;?2<o4MbmvjqYdg|dSa{{<06=[LHQW9;?7@m`uov\gjsi|Vf~x1?:>0c8Ifirf}Uhcx`{_mww8439W@D]S=?;;LalqkrXkfexRbzt=35:4g<Eje~byQlotlw[iss48<5SD@Y_137?Heh}g~Tob{at^nvp97068k0Anaznu]`kphsWe0<91_HLU[5733DidyczPcnwmpZjr|5;32<o4MbmvjqYdg|dSa{{<0:=[LHQW9;?7@m`uov\gjsi|Vf~x1?6>0c8Ifirf}Uhcx`{_mww84?9W@D]S=?<;LalqkrXkfexRbzt=3=5<=JkfexRm`uov\hpr;97UBB[Q?159Ngjsi|VidyczPltv?6586i2Ghcx`{_bmvjqYk}}69<3QFNW]351=JkfexRm`uov\hpr;:84:m6Clotlw[firf}Ugyy2=1?]JJSY79=1Fob{at^alqkrXd|~7>?0>a:O`kphsWje~byQcuu>16;YNF_U;=95BcnwmpZeh}g~T`xz322<2e>Kdg|dSnaznu]oqq:5;7UBB[Q?129Ngjsi|VidyczPltv?6;7>3DidyczPcnwmpZjr|585SD@Y_130?Heh}g~Tob{at^nvp959901Fob{at^alqkrXd|~7?3QFNW]356=JkfexRm`uov\hpr;<7;27@m`uov\gjsi|Vf~x1:1_HLU[5743DidyczPcnwmpZjr|5?5=45BcnwmpZeh}g~T`xz35?]JJSY79:1Fob{at^alqkrXd|~7:3?6;LalqkrXkfexRbzt=4=[LHQW9;87@m`uov\gjsi|Vf~x191189Ngjsi|VidyczPltv?3;YNF_U;=>5BcnwmpZeh}g~T`xz38?3:?Heh}g~Tob{at^nvp9>9W@D]S=?<;LalqkrXkfexRbzt=;=5<=JkfexRm`uov\hpr;17UBB[Q?129Ngjsi|VidyczPxnp?4;733DidyczPcnwmpZ~hz5;;2<:4MbmvjqYdg|dSua}<03=51=JkfexRm`uov\|jt;9;4:86Clotlw[firf}Usc2>3?37?Heh}g~Tob{at^zlv97368>0Anaznu]`kphsWqey0<;1159Ngjsi|VidyczPxnp?5386<2Ghcx`{_bmvjqYg{6:;3?;;LalqkrXkfexRv`r=3;:42<Eje~byQlotlw[}iu4835=>5BcnwmpZeh}g~Ttb|31?37?Heh}g~Tob{at^zlv94768>0Anaznu]`kphsWqey0??1159Ngjsi|VidyczPxnp?6786<2Ghcx`{_bmvjqYg{69?3?<;LalqkrXkfexRv`r=0=56=JkfexRm`uov\|jt;;7;87@m`uov\gjsi|Vrd~1:1129Ngjsi|VidyczPxnp?1;743DidyczPcnwmpZ~hz5<5=>5BcnwmpZeh}g~Ttb|37?30?Heh}g~Tob{at^zlv9>99:1Fob{at^alqkrXpfx753m4MhllvScu{`ee>6@?7:LFPRIUC81D86AMUG18KKC43F__=6^l;Q,14456889T@95_1007?U73<:1[:==4P7;0?U?3;2ZJH95_ASV5?UCUAFN87]\J8:RQKMOEA]<0\^J@ND38U46<ZLXN_BBYE^RBVDKT;2XDA>5]SU;8V`urd}6;2l5]erwop9776h1Yi~{ct=32:d=Umzgx1?=>`9Qavsk|5;82l5]erwop9736h1Yi~{ct=36:d=Umzgx1?9>`9Qavsk|5;<2l5]erwop97?6h1Yi~{ct=3::<=Umzgx1?1a:Pfwpjs4;:5m6\jstnw8779i2Xnxb{<30=e>Tb{|f0?=19:Pfwpjs4;427_k|umv?7;?<Zly~`y2;>89Qavsk|5?556\jstnw838>3[oxyaz37?;8V`urd}63245]erwop9?992Y87^KC3:QFP47<[AXNKRKWTSC@PZH@Kl1XD_KH_LKM[VO]M=1X@HY9;RVBPPU?3]OYAD@NL79WM@IAN01__R^JRHMG0>R^XL90YNHl;TQFVZPN[@HGI>5YCB;8RLCPW]S[I<j4XHNJJ]+_LK*;"<.\TT@#4+7'IZIBE>5WOS78\JTDQ?1S_YBFB0d8\VRXIM\JBNKK_LC@VJYFKJ_TA~{m_GD4?]USWNDO;6V\T^T@G3=_lkUBho5Wdi]SvlkNlqh0TifPPsknMkpd3QncS]|fmU{sac=_laU[~dcYesqjkk773QnfS@oeosTfvvohf8:0TicPMhllvScu{`ee?6V|t29ZAV2<RLXD46m`uov?4;?<kfex1??>89`kphs48;556m`uov?578>3je~by2>3?;8gjsi|5;?245lotlw843912idycz317<:?firf}6:;374cnwmp97?601hcx`{<0;=<>eh}g~7=374cnwmp947601hcx`{<33==>eh}g~7>?06;bmvjq:5;730ob{at=07:==dg|d0?07;bmvjq:4611hcx`{<5<;?firf}6>255lotlw838?3je~by28>99`kphs41437naznu>::4`<kfexV<9]^EM@Z7XgVozylbP2^m?638582idyczT27_\CKBX9VeTi|{nl^0\k941688:7naznuY12XY@FMU:SbQjqtco[7Yh4;<5=<<=;bmvjq]5>TULBIQ>_n]fupgkW;Ud0?811^314>eh}g~P>;SPGOF\5ZiXmxj`R<Po=05:7463je~byU=6\]DJAY6WfUn}xoc_3]l8709:8897naznuY12XY@FMU:SbQjqtco[7Yh4;<5>R?=0:alqkr\:?WTKCJP1^m\atsfdV8Tc1<9>202?firf}Q9:PQHNE]2[jYby|kgS?Q`<34=74453je~byU=6\]DJAY6WfUn}xoc_3]l8709;V;9<6m`uovX63[XOGNT=RaPepwbhZ4Xg58=29<=;bmvjq]5>TULBIQ>_n]fupgkW;Ud0?814^34?firf}U;i6m`uov\4Ztt|ye;6m`uov\5==dg|dS<>i;bmvjqY68Vxxx}a{8:alqkrX98l0ob{at^32[wusxf~37naznu]26c=dg|dS<<Prrvskq><kfexR?<f:alqkrX9:Uyy~`t99`kphsW8>m7naznu]20Ztt|ye46m`uov\50`<kfexR?:_sqwtjr?3je~byQ>6g9`kphsW8<T~~zou:8gjsi|V;<j6m`uov\52Yu{}zdx55lotlw[4>a3je~byQ>8^pppuis02idyczP18d8gjsi|V;2S}{pnvf?firf}U:S}{pnv4?firf}U946m`uov\65`<kfexR<?_sqwtjr?3je~byQ=1g9`kphsW;;T~~zou:8gjsi|V89j6m`uov\67Yu{}zdx55lotlw[75a3je~byQ=3^pppuism2idyczP2^pppuis?2idyczP3d9`kphsW:Uyy~`t69`kphsW=o0ob{at^6\vvrwg}=0ob{at^7f?firf}U>S}{pnv4?firf}U=i6m`uov\2Ztt|ye;6m`uov\3`=dg|dS:Q}surlp2=dg|dS5k4cnwmpZ>Xzz~{cy94cnwmpZ?b3je~byQ6_sqwtjr43eooi6bjd^ALJCO@W\IM46`hdcwjha><{ykyxl`98:zjhlh}g;37ubax^cvpjY7Wqni#n}{.y```xFGx:oh7MNw1709B?2=9rY9n7??a;a6>454k<oo6>;i59ym55>=92d:<449;%337?`d3tY957??a;a6>454k<oo6>;i589P11<6>=0:6<=<c4gg>606kh1X>44>6582>454k<oo6>8>cb9g534=83;1=v]=b;33e?e22898o8kk:27e1==#990::85G2d9uP64=83;1=768{R0a>46f2j?1=>=l5df970`202.mn7;8;W333?4|}<o1=6{md;28y!ed2h1/?84?;%10>4003-9?69o4b041>5<521214;uGf89'b2<6>;1/j<4:;%d3>46e3`;>6=44o5g94?=e9981<7?50;2x c1=nh1Cjk5Gf89'550=k;1/9>4>679lg1<72-;;:7m<;:a557=83;1<7>t$g597g=Ono1Cj45+114955e<,<91=;84o2c94?"68?0h?65rb4d94?2=83:p(k955e9Kbc=On01/==855b9'16<6>?1b=>4?:%332?2132c9h7>5$025>13<3`oo6=4+1149a`=<gj:1<7*>078`7>=zjh?1<7=50;2x c1=nl1Cjk5Gf89'550=nm1/9>4>679j56<72-;;:7:;;:k66?6=,8:=69:4;na3>5<#99<1o>54}c4a>5<3290;w)h8:4f8Lc`<@o30(<>9:4a8 05=9?<0e<=50;&243<3>21b>i4?:%332?2232cnh7>5$025>`c<3fi;6=4+1149g6=<ukh;6=4<:183!`02oo0Dkh4Hg;8 4612on0(8=51748m45=83.:<;4;4:9j17<72-;;:7:;;:m`4?6=,8:=6n=4;|`5b?6=<3:1<v*i7;7g?M`a3Al27)??6;7`?!3428<=7d?<:18'550=<?10e?j50;&243<3=21bii4?:%332?cb32eh<7>5$025>f5<3thi97>53;294~"a?3ln7Ehi;Id:?!77>3lo7);<:045?l74290/==854598m04=83.:<;4;4:9lg5<72-;;:7m<;:a35<72=0;6=u+f686`>Nan2Bm56*>0786g>"2;3;=:6g>3;29 4612=<07d<k:18'550=<<10ehj50;&243<bm21do=4?:%332?e432win;4?:283>5}#n>0mi6Fif:Je=>"68?0mh6*:3;352>o6;3:1(<>9:568?l35290/==854598kf6=83.:<;4l3:9~f27=83>1<7>t$g591a=Ono1Cj45+11491f=#=:0::;5f1283>!77>3>=76g=d;29 4612=?07dkk:18'550=ml10cn>50;&243<d;21vno950;194?6|,o=1jh5Gfg9Kb<=#99<1ji5+528223=n9:0;6)??6;67?>o2:3:1(<>9:568?je7290/==85c298yg15290?6=4?{%d4>0b<@ol0Dk74$025>0e<,<91=;84i0194?"68?0?:65f2e83>!77>3>>76gjd;29 4612lo07bm?:18'550=k:10qol7:180>5<7s-l<6kk4Hgd8Lc?<,8:=6kj4$419530<a891<7*>07870>=n=;0;6)??6;67?>id83:1(<>9:b18?xd0;3:187>50z&e3?3c3Alm7Eh6;%332?3d3-?86<89;h30>5<#99<18;54i3f94?"68?0?965fee83>!77>3on76al0;29 4612j907plm9;297?6=8r.m;7hj;Ide?M`>3-;;:7hk;%70>4013`;86=4+114901=<a<81<7*>07870>=hk90;6)??6;a0?>{e?=0;694?:1y'b2<2l2Bmj6Fi9:&243<2k2.>?7?96:k27?6=,8:=6984;h0g>5<#99<18854idf94?"68?0ni65`c183>!77>3i876smb`83>6<729q/j:4ie:Jeb>Na12.:<;4id:&67?71>2c:?7>5$025>12<3`?96=4+114901=<gj:1<7*>078`7>=zj>?1<7:50;2x c1==m1Cjk5Gf89'550==j1/9>4>679j56<72-;;:7:9;:k1`?6=,8:=69;4;hgg>5<#99<1ih54ob294?"68?0h?65rbc`94?5=83:p(k95fd9Kbc=On01/==85fe9'16<6>?1b=>4?:%332?2332c>>7>5$025>12<3fi;6=4+1149g6=<uk==6=4;:183!`02<n0Dkh4Hg;8 4612<i0(8=51748m45=83.:<;4;6:9j6a<72-;;:7::;:kf`?6=,8:=6hk4;na3>5<#99<1o>54}c``>5<4290;w)h8:gg8Lc`<@o30(<>9:gf8 05=9?<0e<=50;&243<3<21b9?4?:%332?2332eh<7>5$025>f5<3th==7>54;294~"a?3?o7Ehi;Id:?!77>3?h7);<:045?l74290/==854798m7b=83.:<;4;5:9jaa<72-;;:7kj;:m`4?6=,8:=6n=4;|`b2?6=;3:1<v*i7;df?M`a3Al27)??6;dg?!3428<=7d?<:18'550=<=10e8<50;&243<3<21do=4?:%332?e432wi:?4?:583>5}#n>0>h6Fif:Je=>"68?0>o6*:3;352>o6;3:1(<>9:548?l4c290/==854498m`b=83.:<;4je:9lg5<72-;;:7m<;:ae2<72:0;6=u+f68ea>Nan2Bm56*>078e`>"2;3;=:6g>3;29 4612=>07d;=:18'550=<=10cn>50;&243<d;21vn;=50;694?6|,o=19i5Gfg9Kb<=#99<19n5+528223=n9:0;6)??6;65?>o5l3:1(<>9:578?lcc290/==85ed98kf6=83.:<;4l3:9~fd>=8391<7>t$g59b`=Ono1Cj45+1149ba=#=:0::;5f1283>!77>3>?76g:2;29 4612=>07bm?:18'550=k:10qo8;:187>5<7s-l<68j4Hgd8Lc?<,8:=68m4$419530<a891<7*>07872>=n:m0;6)??6;66?>obl3:1(<>9:dg8?je7290/==85c298ygg>29086=4?{%d4>cc<@ol0Dk74$025>cb<,<91=;84i0194?"68?0?865f5383>!77>3>?76al0;29 4612j907pl95;290?6=8r.m;7;k;Ide?M`>3-;;:7;l;%70>4013`;86=4+114903=<a;n1<7*>07871>=nmm0;6)??6;gf?>id83:1(<>9:b18?xdfi3:1?7>50z&e3?`b3Alm7Eh6;%332?`c3-?86<89;h30>5<#99<18954i4094?"68?0?865`c183>!77>3i876sm6783>1<729q/j:4:d:Jeb>Na12.:<;4:c:&67?71>2c:?7>5$025>10<3`8o6=4+114900=<aln1<7*>078fa>=hk90;6)??6;a0?>{eik0;6>4?:1y'b2<am2Bmj6Fi9:&243<al2.>?7?96:k27?6=,8:=69:4;h71>5<#99<18954ob294?"68?0h?65rb7594?2=83:p(k955e9Kbc=On01/==855b9'16<6>?1b=>4?:%332?2132c9h7>5$025>13<3`oo6=4+1149a`=<gj:1<7*>078`7>=zjhi1<7=50;2x c1=nl1Cjk5Gf89'550=nm1/9>4>679j56<72-;;:7:;;:k66?6=,8:=69:4;na3>5<#99<1o>54}c4;>5<3290;w)h8:4f8Lc`<@o30(<>9:4a8 05=9?<0e<=50;&243<3>21b>i4?:%332?2232cnh7>5$025>`c<3fi;6=4+1149g6=<ukko6=4<:183!`02oo0Dkh4Hg;8 4612on0(8=51748m45=83.:<;4;4:9j17<72-;;:7:;;:m`4?6=,8:=6n=4;|`5=?6=<3:1<v*i7;7g?M`a3Al27)??6;7`?!3428<=7d?<:18'550=<?10e?j50;&243<3=21bii4?:%332?cb32eh<7>5$025>f5<3thji7>53;294~"a?3ln7Ehi;Id:?!77>3lo7);<:045?l74290/==854598m04=83.:<;4;4:9lg5<72-;;:7m<;:a2d<72=0;6=u+f686`>Nan2Bm56*>0786g>"2;3;=:6g>3;29 4612=<07d<k:18'550=<<10ehj50;&243<bm21do=4?:%332?e432wimk4?:283>5}#n>0mi6Fif:Je=>"68?0mh6*:3;352>o6;3:1(<>9:568?l35290/==854598kf6=83.:<;4l3:9~f3e=83>1<7>t$g591a=Ono1Cj45+11491f=#=:0::;5f1283>!77>3>=76g=d;29 4612=?07dkk:18'550=ml10cn>50;&243<d;21vno?50;194?6|,o=1jh5Gfg9Kb<=#99<1ji5+528223=n9:0;6)??6;67?>o2:3:1(<>9:568?je7290/==85c298yg0c290?6=4?{%d4>0b<@ol0Dk74$025>0e<,<91=;84i0194?"68?0?:65f2e83>!77>3>>76gjd;29 4612lo07bm?:18'550=k:10qol=:180>5<7s-l<6kk4Hgd8Lc?<,8:=6kj4$419530<a891<7*>07870>=n=;0;6)??6;67?>id83:1(<>9:b18?xd1m3:187>50z&e3?3c3Alm7Eh6;%332?3d3-?86<89;h30>5<#99<18;54i3f94?"68?0?965fee83>!77>3on76al0;29 4612j907plm3;297?6=8r.m;7hj;Ide?M`>3-;;:7hk;%70>4013`;86=4+114901=<a<81<7*>07870>=hk90;6)??6;a0?>{ej=0;6>4?:1y'b2<am2Bmj6Fi9:&243<al2.>?7?96:k27?6=,8:=69:4;h71>5<#99<18954ob294?"68?0h?65rb004>5<0290;w)h8:4c8Lc`<@o30(<>9:e18 05=9?<0(9653:k0`?6=,8:=6n5a11694>=n;l0;6)??6;a8j4632810e>h50;&243<d3g;;87<4;h63>5<#99<1o6`>0580?>o393:1(<>9:b9m552=<21b8?4?:%332?e<f8:?6854ob294?"68?0h?65rb00b>5<0290;w)h8:4c8Lc`<@o30(<>9:e18 05=9?<0(9653:k0`?6=,8:=6n5a11694>=n;l0;6)??6;a8j4632810e>h50;&243<d3g;;87<4;h63>5<#99<1o6`>0580?>o393:1(<>9:b9m552=<21b8?4?:%332?e<f8:?6854ob294?"68?0h?65rb00g>5<0290;w)h8:4c8Lc`<@o30(<>9:e18 05=9?<0(9653:k0`?6=,8:=6n5a11694>=n;l0;6)??6;a8j4632810e>h50;&243<d3g;;87<4;h63>5<#99<1o6`>0580?>o393:1(<>9:b9m552=<21b8?4?:%332?e<f8:?6854ob294?"68?0h?65rb013>5<0290;w)h8:4c8Lc`<@o30(<>9:e18 05=9?<0(9653:k0`?6=,8:=6n5a11694>=n;l0;6)??6;a8j4632810e>h50;&243<d3g;;87<4;h63>5<#99<1o6`>0580?>o393:1(<>9:b9m552=<21b8?4?:%332?e<f8:?6854ob294?"68?0h?65rb4294?4=83:p(k95c09Kbc=On01/==85d:J7f>"3l3i87);<:045?l5d290/==854598kf6=83.:<;4l3:9~f36=8391<7>t$g591==Ono1Cj45+1149`6=#=:0::;5+498a?l5c290/==85c:l241<732eh<7>5$025>f5<3`9n6=4+1149g>h68=0:7ch::198yg2b290=6=4?{%d4>60<@ol0Dk74$5:96>"68?08;6Fk2:&67?71>2c:=7>5$025>43<3`8h6=4+114901=<gm;1<7*>078`7>=n9;0;6)??6;31?>odm3:1(<>9:dd8?l4?290/==85d598yg36290=6=4?{%d4>0?<@ol0Dk74$025>a5<,<91=;84$5:9=>o4l3:1(<>9:b9m552=821b?h4?:%332?e<f8:?6<54i2d94?"68?0h7c??4;08?l27290/==85c:l241<432c?=7>5$025>f=i99>1865`c183>!77>3i876sm12094?0=83:p(k95379Kbc=On01/854=;%332?503An97);<:045?l76290/==851498m7e=83.:<;4;4:9l`4<72-;;:7m<;:k26?6=,8:=6<<4;haf>5<#99<1ik54i3:94?"68?0o865rb017>5<1290;w)h8:248Lc`<@o30(9652:&243<4?2Bo>6*:3;352>o693:1(<>9:078?l4d290/==854598ka7=83.:<;4l3:9j57<72-;;:7?=;:k`a?6=,8:=6hh4;h0;>5<#99<1h954}c37e?6=>3:1<v*i7;15?M`a3Al27):7:39'550=;>1Ch?5+528223=n980;6)??6;36?>o5k3:1(<>9:568?jb6290/==85c298m44=83.:<;4>2:9jg`<72-;;:7ki;:k1<?6=,8:=6i:4;|`21=<72?0;6=u+f6802>Nan2Bm56*;8;08 4612:=0Di<4$419530<a8;1<7*>07821>=n:j0;6)??6;67?>ic93:1(<>9:b18?l75290/==851398mfc=83.:<;4jf:9j6=<72-;;:7j;;:a502=83<1<7>t$g5973=Ono1Cj45+4981?!77>39<7Ej=;%70>4013`;:6=4+114950=<a;i1<7*>07870>=hl80;6)??6;a0?>o6:3:1(<>9:008?leb290/==85eg98m7>=83.:<;4k4:9~f431290=6=4?{%d4>60<@ol0Dk74$5:96>"68?08;6Fk2:&67?71>2c:=7>5$025>43<3`8h6=4+114901=<gm;1<7*>078`7>=n9;0;6)??6;31?>odm3:1(<>9:dd8?l4?290/==85d598yg72i3:1:7>50z&e3?513Alm7Eh6;%6;>7=#99<1?:5Gd39'16<6>?1b=<4?:%332?7232c9o7>5$025>12<3fn:6=4+1149g6=<a881<7*>07826>=nkl0;6)??6;ge?>o503:1(<>9:e68?xd6=j0;6;4?:1y'b2<4>2Bmj6Fi9:&7<?4<,8:=6>94He08 05=9?<0e<?50;&243<6=21b>n4?:%332?2332eo=7>5$025>f5<3`;96=4+114957=<ajo1<7*>078fb>=n:10;6)??6;f7?>{e9<o1<7850;2x c1=;?1Cjk5Gf89'0=<53-;;:7=8;If1?!3428<=7d?>:18'550=9<10e?m50;&243<3<21dh<4?:%332?e432c:>7>5$025>44<3`in6=4+1149ac=<a;21<7*>078g0>=zj8<;6=49:183!`02:<0Dkh4Hg;8 1>=:2.:<;4<7:Jg6>"2;3;=:6g>1;29 46128?07d<l:18'550=<=10ci?50;&243<d;21b=?4?:%332?7532chi7>5$025>``<3`836=4+1149`1=<uk;857>56;294~"a?39=7Ehi;Id:?!2?2;1/==85369K`7=#=:0::;5f1083>!77>3;>76g=c;29 4612=>07bj>:18'550=k:10e<<50;&243<6:21boh4?:%332?ca32c947>5$025>a2<3th:?84?:783>5}#n>08:6Fif:Je=>"303>0(<>9:258La4<,<91=;84i0394?"68?0:965f2b83>!77>3>?76ak1;29 4612j907d?=:18'550=9;10enk50;&243<bn21b>54?:%332?b332wi=>950;494?6|,o=1?;5Gfg9Kb<=#<1097)??6;14?Mb53-?86<89;h32>5<#99<1=854i3a94?"68?0?865`d083>!77>3i876g>2;29 46128807dmj:18'550=mo10e?650;&243<c<21vn<=m:185>5<7s-l<6>84Hgd8Lc?<,=2186*>07803>Nc:2.>?7?96:k25?6=,8:=6<;4;h0`>5<#99<18954oe394?"68?0h?65f1383>!77>3;976gle;29 4612ll07d<7:18'550=l=10qo?<d;292?6=8r.m;7=9;Ide?M`>3->36?5+114972=Ol;1/9>4>679j54<72-;;:7?:;:k1g?6=,8:=69:4;nf2>5<#99<1o>54i0094?"68?0:>65fcd83>!77>3om76g=8;29 4612m>07pl>3g83>3<729q/j:4<6:Jeb>Na12.?47<4$025>61<@m80(8=51748m47=83.:<;4>5:9j6f<72-;;:7:;;:mg5?6=,8:=6n=4;h31>5<#99<1=?54ibg94?"68?0nj65f2983>!77>3n?76sm15394?0=83:p(k95379Kbc=On01/854=;%332?503An97);<:045?l76290/==851498m7e=83.:<;4;4:9l`4<72-;;:7m<;:k26?6=,8:=6<<4;haf>5<#99<1ik54i3:94?"68?0o865rb064>5<1290;w)h8:248Lc`<@o30(9652:&243<4?2Bo>6*:3;352>o693:1(<>9:078?l4d290/==854598ka7=83.:<;4l3:9j57<72-;;:7?=;:k`a?6=,8:=6hh4;h0;>5<#99<1h954}c377?6=>3:1<v*i7;15?M`a3Al27):7:39'550=;>1Ch?5+528223=n980;6)??6;36?>o5k3:1(<>9:568?jb6290/==85c298m44=83.:<;4>2:9jg`<72-;;:7ki;:k1<?6=,8:=6i:4;|`200<72?0;6=u+f6802>Nan2Bm56*;8;68 4612:=0Di<4$419530<a8;1<7*>07821>=n:j0;6)??6;67?>ic93:1(<>9:b18?l75290/==851398mfc=83.:<;4jf:9j6=<72-;;:7j;;:a51d=83<1<7>t$g5973=Ono1Cj45+4987?!77>39<7Ej=;%70>4013`;:6=4+114950=<a;i1<7*>07870>=hl80;6)??6;a0?>o6:3:1(<>9:008?leb290/==85eg98m7>=83.:<;4k4:9~f42c290=6=4?{%d4>60<@ol0Dk74$5:90>"68?08;6Fk2:&67?71>2c:=7>5$025>43<3`8h6=4+114901=<gm;1<7*>078`7>=n9;0;6)??6;31?>odm3:1(<>9:dd8?l4?290/==85d598yg73n3:1:7>50z&e3?513Alm7Eh6;%6;>1=#99<1?:5Gd39'16<6>?1b=<4?:%332?7232c9o7>5$025>12<3fn:6=4+1149g6=<a881<7*>07826>=nkl0;6)??6;ge?>o503:1(<>9:e68?xd6=80;6;4?:1y'b2<4>2Bmj6Fi9:&7<?2<,8:=6>94He08 05=9?<0e<?50;&243<6=21b>n4?:%332?2332eo=7>5$025>f5<3`;96=4+114957=<ajo1<7*>078fb>=n:10;6)??6;f7?>{e9:91<7850;2x c1==01Cjk5Gf89'550=l:1/9>4>679'0=<13`9o6=4+1149g>h68=0;76g<e;29 4612j1e==:51:9j7c<72-;;:7m4n027>7=<a=:1<7*>078`?k77<3907d:>:18'550=k2d:<94;;:m`4?6=,8:=6n=4;|`20<<72?0;6=u+f686=>Nan2Bm56*>078g7>"2;3;=:6*;8;48m6b=83.:<;4l;o330?6<3`9n6=4+1149g>h68=0:76g<f;29 4612j1e==:52:9j05<72-;;:7m4n027>6=<a=;1<7*>078`?k77<3>07bm?:18'550=k:10qo?:3;292?6=8r.m;7;6;Ide?M`>3-;;:7j<;%70>4013->36;5f3e83>!77>3i0b<>;:198m6c=83.:<;4l;o330?7<3`9m6=4+1149g>h68=0976g;0;29 4612j1e==:53:9j04<72-;;:7m4n027>1=<gj:1<7*>078`7>=zj8?>6=49:183!`02<30Dkh4Hg;8 4612m90(8=51748 1>=>2c8h7>5$025>f=i99>1<65f3d83>!77>3i0b<>;:098m6`=83.:<;4l;o330?4<3`>;6=4+1149g>h68=0876g;1;29 4612j1e==:54:9lg5<72-;;:7m<;:a501=83<1<7>t$g591<=Ono1Cj45+1149`6=#=:0::;5+4985?l5c290/==85c:l241<732c8i7>5$025>f=i99>1=65f3g83>!77>3i0b<>;:398m16=83.:<;4l;o330?5<3`>:6=4+1149g>h68=0?76al0;29 4612j907pl>5883>3<729q/j:4:9:Jeb>Na12.:<;4k3:&67?71>2.?4784i2f94?"68?0h7c??4;28?l5b290/==85c:l241<632c8j7>5$025>f=i99>1>65f4183>!77>3i0b<>;:298m17=83.:<;4l;o330?2<3fi;6=4+1149g6=<uk;>n7>56;294~"a?3?27Ehi;Id:?!77>3n87);<:045?!2?2?1b?i4?:%332?e<f8:?6=54i2g94?"68?0h7c??4;38?l5a290/==85c:l241<532c?<7>5$025>f=i99>1?65f4083>!77>3i0b<>;:598kf6=83.:<;4l3:9~f43c290=6=4?{%d4>0?<@ol0Dk74$025>a5<,<91=;84$5:92>o4l3:1(<>9:b9m552=821b?h4?:%332?e<f8:?6<54i2d94?"68?0h7c??4;08?l27290/==85c:l241<432c?=7>5$025>f=i99>1865`c183>!77>3i876sm14d94?0=83:p(k95589Kbc=On01/==85d29'16<6>?1/8549;h1g>5<#99<1o6`>0583?>o4m3:1(<>9:b9m552=921b?k4?:%332?e<f8:?6?54i5294?"68?0h7c??4;18?l26290/==85c:l241<332eh<7>5$025>f5<3th::<4?:783>5}#n>0>56Fif:Je=>"68?0o?6*:3;352>"303<0e>j50;&243<d3g;;87>4;h1f>5<#99<1o6`>0582?>o4n3:1(<>9:b9m552=:21b8=4?:%332?e<f8:?6>54i5394?"68?0h7c??4;68?je7290/==85c298yg74>3:1:7>50z&e3?3>3Alm7Eh6;%332?b43-?86<89;%6;>66<a:n1<7*>078`?k77<3:07d=j:18'550=k2d:<94>;:k0b?6=,8:=6n5a11696>=n<90;6)??6;a8j4632:10e9?50;&243<d3g;;87:4;na3>5<#99<1o>54}c30<?6=>3:1<v*i7;7:?M`a3Al27)??6;f0?!3428<=7):7:79j7a<72-;;:7m4n027>5=<a:o1<7*>078`?k77<3;07d=i:18'550=k2d:<94=;:k74?6=,8:=6n5a11697>=n<80;6)??6;a8j4632=10cn>50;&243<d;21vn<=n:185>5<7s-l<6874Hgd8Lc?<,8:=6i=4$419530<,=21:6g<d;29 4612j1e==:50:9j7`<72-;;:7m4n027>4=<a:l1<7*>078`?k77<3807d:?:18'550=k2d:<94<;:k75?6=,8:=6n5a11690>=hk90;6)??6;a0?>{e9:i1<7850;2x c1==01Cjk5Gf89'550=l:1/9>4>679'0=<492c8h7>5$025>f=i99>1<65f3d83>!77>3i0b<>;:098m6`=83.:<;4l;o330?4<3`>;6=4+1149g>h68=0876g;1;29 4612j1e==:54:9lg5<72-;;:7m<;:a56c=83<1<7>t$g591<=Ono1Cj45+1149`6=#=:0::;5+4985?l5c290/==85c:l241<732c8i7>5$025>f=i99>1=65f3g83>!77>3i0b<>;:398m16=83.:<;4l;o330?5<3`>:6=4+1149g>h68=0?76al0;29 4612j907pl>4183>3<729q/j:4:9:Jeb>Na12.:<;4k3:&67?71>2.?4784i2f94?"68?0h7c??4;28?l5b290/==85c:l241<632c8j7>5$025>f=i99>1>65f4183>!77>3i0b<>;:298m17=83.:<;4l;o330?2<3fi;6=4+1149g6=<uk;?>7>56;294~"a?3?27Ehi;Id:?!77>3n87);<:045?!2?2?1b?i4?:%332?e<f8:?6=54i2g94?"68?0h7c??4;38?l5a290/==85c:l241<532c?<7>5$025>f=i99>1?65f4083>!77>3i0b<>;:598kf6=83.:<;4l3:9~f423290=6=4?{%d4>0?<@ol0Dk74$025>a5<,<91=;84$5:92>o4l3:1(<>9:b9m552=821b?h4?:%332?e<f8:?6<54i2d94?"68?0h7c??4;08?l27290/==85c:l241<432c?=7>5$025>f=i99>1865`c183>!77>3i876sm15494?0=83:p(k95589Kbc=On01/==85d29'16<6>?1/854<1:k0`?6=,8:=6n5a11694>=n;l0;6)??6;a8j4632810e>h50;&243<d3g;;87<4;h63>5<#99<1o6`>0580?>o393:1(<>9:b9m552=<21do=4?:%332?e432wi=9650;494?6|,o=1945Gfg9Kb<=#99<1h>5+528223=#<10=7d=k:18'550=k2d:<94?;:k0a?6=,8:=6n5a11695>=n;o0;6)??6;a8j4632;10e9>50;&243<d3g;;87=4;h62>5<#99<1o6`>0587?>id83:1(<>9:b18?xd6<j0;6;4?:1y'b2<212Bmj6Fi9:&243<c;2.>?7?96:&7<?5?3`9o6=4+1149g>h68=0;76g<e;29 4612j1e==:51:9j7c<72-;;:7m4n027>7=<a=:1<7*>078`?k77<3907d:>:18'550=k2d:<94;;:m`4?6=,8:=6n=4;|`20`<72?0;6=u+f686=>Nan2Bm56*>078g7>"2;3;=:6*;8;1;?l5c290/==85c:l241<732c8i7>5$025>f=i99>1=65f3g83>!77>3i0b<>;:398m16=83.:<;4l;o330?5<3`>:6=4+1149g>h68=0?76al0;29 4612j907pl>5183>3<729q/j:4:9:Jeb>Na12.:<;4k3:&67?71>2.?47=7;h1g>5<#99<1o6`>0583?>o4m3:1(<>9:b9m552=921b?k4?:%332?e<f8:?6?54i5294?"68?0h7c??4;18?l26290/==85c:l241<332eh<7>5$025>f5<3th:9?4?:783>5}#n>0>56Fif:Je=>"68?0o?6*:3;352>"303937d=k:18'550=k2d:<94?;:k0a?6=,8:=6n5a11695>=n;o0;6)??6;a8j4632;10e9>50;&243<d3g;;87=4;h62>5<#99<1o6`>0587?>id83:1(<>9:b18?xd6:00;6:4?:1y'b2<2i2Bmj6Fi9:&243<c;2.>?7?96:&7<?5<a:n1<7*>078`?k77<3:07d=j:18'550=k2d:<94>;:k0b?6=,8:=6n5a11696>=n<90;6)??6;a8j4632:10e9?50;&243<d3g;;87:4;h61>5<#99<1o6`>0586?>id83:1(<>9:b18?xd6:j0;6:4?:1y'b2<2i2Bmj6Fi9:&243<c;2.>?7?96:&7<?5<a:n1<7*>078`?k77<3:07d=j:18'550=k2d:<94>;:k0b?6=,8:=6n5a11696>=n<90;6)??6;a8j4632:10e9?50;&243<d3g;;87:4;h61>5<#99<1o6`>0586?>id83:1(<>9:b18?xd6;80;6:4?:1y'b2<2i2Bmj6Fi9:&243<c;2.>?7?96:&7<?5<a:n1<7*>078`?k77<3:07d=j:18'550=k2d:<94>;:k0b?6=,8:=6n5a11696>=n<90;6)??6;a8j4632:10e9?50;&243<d3g;;87:4;h61>5<#99<1o6`>0586?>id83:1(<>9:b18?xd6:o0;6:4?:1y'b2<2i2Bmj6Fi9:&243<c;2.>?7?96:&7<?5<a:n1<7*>078`?k77<3:07d=j:18'550=k2d:<94>;:k0b?6=,8:=6n5a11696>=n<90;6)??6;a8j4632:10e9?50;&243<d3g;;87:4;h61>5<#99<1o6`>0586?>id83:1(<>9:b18?xd5?3:1>7<53z&e3?`<@ol0Dk74$419530<a:i1<75`c183>>d3;3:1>7>50z&e3?243-;;:7?;;%6g>12<@=h0(8=51748m6e=83.:<;4;4:9lg5<72-;;:7m<;:aa?6=:3:1<v*i7;g8 46128>0(8=51748m6e=83.:<;4;4:9lg5<72-;;:7m<;:p7f<72;qU?n524280g>{tk90;6?uQc19>a?e73ty??7>52z?77?e734o1?n5r}c:`>5<5290;w)h8:5;8Lc`<@o30(<>9:e9'16<6>?1b?n4?:%332?2332eh<7>5$025>f5<@8:>76sm9783>7<729q/j:4;9:Jeb>Na12.:<;4k;%70>4013`9h6=4+114901=<gj:1<7*>078`7>N68<10qo77:181>5<7s-l<6974Hgd8Lc?<,8:=6i5+528223=n;j0;6)??6;67?>id83:1(<>9:b18L46232wi5l4?:383>5}#n>0?56Fif:Je=>"68?0o7);<:045?l5d290/==854598kf6=83.:<;4l3:J240=<uk3h6=4=:183!`02=30Dkh4Hg;8 4612m1/9>4>679j7f<72-;;:7:;;:m`4?6=,8:=6n=4H026?>{e1l0;6?4?:1y'b2<312Bmj6Fi9:&243<c3-?86<89;h1`>5<#99<18954ob294?"68?0h?6F>0498ygg729096=4?{%d4>1?<@ol0Dk74$025>a=#=:0::;5f3b83>!77>3>?76al0;29 4612j90D<>:;:ae7<72;0;6=u+f687=>Nan2Bm56*>078g?!3428<=7d=l:18'550=<=10cn>50;&243<d;2B:<854}cc7>5<5290;w)h8:5;8Lc`<@o30(<>9:e9'16<6>?1b?n4?:%332?2332eh<7>5$025>f5<@8:>76sm7983>7<729q/j:4;9:Jeb>Na12.:<;4k;%70>4013`9h6=4+114901=<gj:1<7*>078`7>N68<10qo9n:181>5<7s-l<6974Hgd8Lc?<,8:=6i5+528223=n;j0;6)??6;67?>id83:1(<>9:b18L46232wi;n4?:383>5}#n>0?56Fif:Je=>"68?0o7);<:045?l5d290/==854598kf6=83.:<;4l3:J240=<uk=n6=4=:183!`02=30Dkh4Hg;8 4612m1/9>4>679j7f<72-;;:7:;;:m`4?6=,8:=6n=4H026?>{e090;6?4?:1y'b2<312Bmj6Fi9:&243<c3-?86<89;h1`>5<#99<18954ob294?"68?0h?6F>0498yg>529096=4?{%d4>1?<@ol0Dk74$025>a=#=:0::;5f3b83>!77>3>?76al0;29 4612j90D<>:;:a<1<72;0;6=u+f687=>Nan2Bm56*>078g?!3428<=7d=l:18'550=<=10cn>50;&243<d;2B:<854}c:5>5<5290;w)h8:5;8Lc`<@o30(<>9:e9'16<6>?1b?n4?:%332?2332eh<7>5$025>f5<@8:>76sm8983>7<729q/j:4;9:Jeb>Na12.:<;4k;%70>4013`9h6=4+114901=<gj:1<7*>078`7>N68<10qo6n:181>5<7s-l<6974Hgd8Lc?<,8:=6i5+528223=n;j0;6)??6;67?>id83:1(<>9:b18L46232wi4h4?:383>5}#n>0?56Fif:Je=>"68?0o7);<:045?l5d290/==854598kf6=83.:<;4l3:J240=<uk3;6=4=:183!`02=30Dkh4Hg;8 4612m1/9>4>679j7f<72-;;:7:;;:m`4?6=,8:=6n=4H026?>{e1;0;6?4?:1y'b2<312Bmj6Fi9:&243<c3-?86<89;h1`>5<#99<18954ob294?"68?0h?6F>0498yg?329096=4?{%d4>1?<@ol0Dk74$025>a=#=:0::;5f3b83>!77>3>?76al0;29 4612j90D<>:;:a50<7280;6=u+1149gg=On01C8:5+4e870>"2=3ij7):l:4`8mfd=83.:<;4lb:9~f1`=83;1<7>t$025>fd<@o30D994$5f9g6=#=<0h:6*;c;7a?k4a211ej?4>4:m`f?6=,8:=6nl4;|`226<7290;6=u+f681e>Na02Bm86Tlf;1xg2<d13i36pT<9;3xgc<zuz8<6=4>az?7a?7634;8>7?>;<300?7634;?m7?>;<36<?7634;>87?>;<362?7634;>m7?>;<36g?7634;>i7?>;<354?7634;857?>;<301?7634;8;7?>;<30f?7634;8h7?>;<30b?7634;?=7?>;<373?7634;??7?>;<371?7634;?n7?>;<37`?7634;?j7?>;<365?76348<6n>4}r32`?6=:r7:<<4<a:?6b?4c3ty:=n4?:5y>57g=<;16=8?5d09>57e=<;16594<c:p54d=83>p1<<n:538942a2m;01<<l:5389<4=;j1v<?n:187875i3>;70?;d;f2?875k3>;707?:2a8yv7613:18v3>2`80b>;6<k0o=63>2b80b>;?m39h7p}>1683>1}:9;k1?i521559`4=:9;i1?i528`80g>{t98<1<7:t=00b>6c<58>>6i?4=00`>6c<5121?n5rs036>5<3s4;9;7:=;<377?b634;957:=;<:5>6e<uz;:87>54z?262<3927:8<4k1:?26<<3927387=l;|q256<72=q6=?95419>56`=l816=?75419><7<4k2wx=<<50;6x94402:l01<=k:e38944>2:l015>53b9~w476290?w0?=7;1g?874j3n:70?=9;1g?81b2:i0q~?>0;290~;6:>08i63>388g5>;6:008i638c;1`?xu68o0;69u2122907=:9:=1h<52123907=:?h08o6s|11g94?2|589;69?4=016>a7<589:69?4=6:97f=z{88>6=4;{<304?2734;=<7j>;<305?2734k?6>m4}r310?6=<r7:?=4<f:?21`<c927:?<4<f:?b6?5d3ty:>>4?:5y>566=;m16=8m5d09>567=;m16m=4<c:p574=83>p1<=?:2g8943f2m;01<=>:2g89<c=;j1v<<>:187875l3>970?:8;f2?875n3>9707l:2a8yv7583:18v3>2e875>;6=?0o=63>2g875>;>i39h7p}>1g83>1}:9;n18=521469`4=:9;l18=529980g>{t98o1<7:t=00g>6`<58>j6i?4=00e>6`<50<1?n5rs03;>5<3s4;9h7=k;<300?b634;9j7=k;<:`>6e<uz;;h7>54z?26a<4m27=<7=k;<306?b634;9j7=j;|q64?6=;r7><7=l;<6f>a7<5<;1?i5rscg94?7>s4;;>7m;;<7e>45<5h?1=>526c81`>;1n38o709?:3f8927=:m16;?4=d:?47?4c34=?6?j4=6796a=:??09h6391;0g?8052;n01;=52e9>21<5l27=97<k;<45>7b<5?=1>i526981`>;1138o708n:3f893e=:m16:i4=d:?5a?4c3tyo97>52z?b1?e734;8?7=k;|qf4?6=:r7i<7m?;<37=?5c3tyn97>52z?a1?e734;>?7=k;|qf2?6=:r7i:7m?;<361?5c3tyn;7>52z?a3?e734;>;7=k;|qf<?6=:r7i47m?;<36=?5c3tyn57>52z?a=?e734;>n7=k;|qfe?6=:r7im7m?;<36`?5c3tynn7>52z?af?e734;>j7=k;|qfg?6=:r7io7m?;<355?5c3tyo:7>52z?b2?e734;8:7=k;|qg3?6=:r7j;7m?;<30<?5c3tyo47>52z?b<?e734;8m7=k;|qg=?6=:r7j57m?;<30g?5b3tyom7>52z?be?e734;8i7=k;|qgf?6=:r7jn7m?;<374?5c3tyoo7>52z?bg?e734;?>7=k;|qg`?6=:r7jh7m?;<370?5c3tyoi7>52z?ba?e734;?:7=j;|qgb?6=:r7jj7m?;<37<?5c3tyn=7>52z?a5?e734;?o7:>;|qf6?6=:r7i>7m?;<37a?263tyn?7>52z?a7?e734;><7:>;|qf0?6=:r7i87m?;<366?263ty>j7>53z?6b?e734<i6<=4=c2956=z{1h1<7=t=7`9aa=:j90>>637c;a3?xu1j3:1?v39b;a3?80a28901o;5129~w<3=839p1;h5ee9>f0<2:272:7m?;|q5b?6=;r7=j7m?;<53>45<5k<1=>5rs8594?5|5>:1ii52b7866>;>03i;7p}80;297~;083i;709>:0189g1=9:1v4750;1x927=mm16n:4:2:?:e?e73ty<=7>53z?45?e734=96<=4=c:956=z{0h1<7=t=609aa=:j10>>636c;a3?xu0:3:1?v382;a3?81428901o75129~w<b=839p1:=5ee9>f<<2:272i7m?;|q47?6=;r7<?7m?;<57>45<5kk1=>5rs8d94?5|5>>1ii52b`866>;f83i;7p}84;297~;0<3i;709::0189gd=9:1vl?50;1x923=mm16no4:2:?b6?e73ty<97>53z?41?e734==6<=4=ca956=z{h91<7=t=649aa=:jj0>>63n4;a3?xu0>3:1?v386;a3?80628901l85129~w21=839p1;?5ee9>e3<2:27<47m?;|q55?6=;r7==7m?;<41>45<5h=1=>5rs6;94?5|5?81ii52a6866>;0i3i;7p}92;297~;1:3i;708<:0189d>=9:1v:l50;1x935=mm16m54:2:?4g?e73ty=?7>53z?57?e734<?6<=4=`;956=z{>n1<7=t=769aa=:i00>>638e;a3?xu1<3:1?v394;a3?80228901lo5129~w2`=839p1;;5ee9>ed<2:273<7m?;|q51?6=;r7=97m?;<45>45<5hh1=>5rs9394?5|5?<1ii52ac866>;?:3i;7p}96;297~;1>3i;7088:0189de=9:1v5=50;1x931=mm16mn4:2:?;0?e73ty=;7>53z?53?e734<36<=4=`f956=z{1?1<7=t=7:9aa=:im0>>6376;a3?xu103:1?v398;a3?80>28901lk5129~w=1=839p1;75ee9>e`<2:27347m?;|q5=?6=;r7=57m?;<4b>45<5hl1=>5rs9;94?5|5?k1ii52ag866>;?i3i;7p}9a;297~;1i3i;708l:0189g7=9:1v5j50;1x93e=mm16n<4:2:?;a?e73ty=o7>53z?5g?e734<o6<=4=c0956=z{1l1<7=t=7f9aa=:j;0>>6360;a3?xu1l3:1?v39d;a3?80b28901o=5129~w<7=839p1;k5ee9>f6<2:272>7m?;|q5a?6=:r7=i7m?;<`7>45<uz386=4={<`7>04<50>1o=5rs005>5<bs4;9;7m?;<72>6c<58986>k4=06:>6c<58?86>k4=076>6c<58?<6>k4=07:>6c<58?i6>k4=07g>6c<58?m6>k4=042>6c<58936>k4=01b>6c<uz;9;7>5ez?26d<d827>=7=i;<307?5a34;?57=i;<367?5a34;>97=i;<363?5a34;>57=i;<36f?5a34;>h7=i;<36b?5a34;==7=i;<30<?5a34;8m7=i;|q26d<72lq6=?j5c19>14<3827:?>4;0:?20<<3827:9>4;0:?210<3827:9:4;0:?21<<3827:9o4;0:?21a<3827:9k4;0:?224<3827:?54;0:?27d<382wx=?j50;3787483i;70;>:53894542=;01<:6:53894342=;01<;::53894302=;01<;6:538943e2=;01<;k:538943a2=;01<8>:538945?2=;01<=n:538945b2=;01<:?:53894252=;01<:;:538942?2=;01<=9:538yv722908wS?:;<04>6e<58?1oo5rs5g94?5|V=o018>5c19>0c<dj2wx:=4?:2y>25<d827>j7kk;<c6>04<uz?:6=4={<6f>7e<5<;1o=5rs010>5<5s4;8>7<l;<307?e73ty:844?:3y>562=:j16=975c19~w4342909w0?;a;0`?872;3i;7p}>5883>7}:9<21>n5214;9g5=z{8?>6=4={<360?4d34;>97m?;|q212<72;q6=8852b9>501=k91v<;m:181872i38h70?:b;a3?xu6=m0;6?u214a96f=:9<n1o=5rs07e>5<5s4;>i7<l;<36b?e73ty::<4?:3y>536=:j16=;?5c19~w45f2909w0?<9;0`?874i3i;7p}>3783>7}:9:?1>n521249g5=z{8936=4={<303?4d34;847m?;|q27f<72;q6=>l52b9>56e=k91v<=j:181874l38h70?<e;a3?xu6<90;6?u212d96f=:9=:1o=5rs061>5<5s4;?=7<l;<376?e73ty:854?:3y>511=:j16=965c19~w4232909w0?;3;0`?873<3i;7p}>4783>7}:9=?1>n521549g5=z{8>h6=4={<37f?4d34;?o7m?;|q20`<72;q6=9j52b9>51c=k91v<;?:181873n38h70?:0;a3?xu6=;0;6?u214396f=:9<81o=5rs00;>5<cs4;957m?;<30a?5b34;?<7=j;<376?5b34;?87=j;<37<?5b34;8:7:?;<30g?2634;?:7:>;<37g?2734;?i7:?;<364?2734;>>7:?;|q26g<72mq6=?m5c19>56c=;o16=9>53g9>514=;o16=9:53g9>51>=;o16=>853g9>56e=<916=985419>51e=;o16=9k53g9>506=;o16=8<53g9~w457290<w0?<1;a3?874k39o70?;6;1g?873k39o70?;e;1g?872839o70?:2;1g?xu6:l0;6iu213d9g5=:9:o18=52152905=:9=818=52156905=:9=218=5212a97c=:9=<1?k5212497`=:9=i1?h5215g97`=:9<:1?h5214097`=zug8oj7>52zJe=>{i:l:1<7?tHg;8yk4b93:1=vFi9:m6`4=83;pDk74}o0f7?6=9rBm56sa2d694?7|@o30qc<j5;295~Na12we>h850;3xLc?<ug8n;7>51zJe=>{i:l21<7?tHg;8yk4b13:1=vFi9:m6`g=83;pDk74}o0ff?6=9rBm56sa2da94?7|@o30qc<jd;295~Na12we>hk50;3xLc?<ug8nj7>51zJe=>{i:o:1<7?tHg;8yk4a93:1=vFi9:m6c4=83;pDk74}o0e7?6=9rBm56sa2g694?7|@o30qc<i5;295~Na12we>k850;3xLc?<ug8m;7>51zJe=>{i:o21<7?tHg;8yk4a13:1=vFi9:m6cg=83;pDk74}o0ef?6=9rBm56sa2ga94?7|@o30qc<id;295~Na12we>kk50;3xLc?<ug8mj7>51zJe=>{i;9:1<7?tHg;8yk5793:1=vFi9:m754=83;pDk74}o137?6=9rBm56sa31694?7|@o30qc=?5;295~Na12we?=850;3xLc?<ug9;;7>51zJe=>{i;921<7?tHg;8yk5713:1=vFi9:m75g=83;pDk74}o13f?6=9rBm56sa31a94?7|@o30qc=?d;295~Na12we?=k50;3xLc?<ug9;j7>51zJe=>{i;8:1<7?tHg;8yk5693:1=vFi9:m744=83;pDk74}o127?6=9rBm56sa30694?7|@o30qc=>5;295~Na12we?<850;3xLc?<ug9:;7>51zJe=>{i;821<7?tHg;8yk5613:1=vFi9:m74g=83;pDk74}o12f?6=9rBm56sa30a94?7|@o30qc=>d;295~Na12we?<k50;3xLc?<ug9:j7>51zJe=>{i;;:1<7?tHg;8yk5593:1=vFi9:m774=83;pDk74}o117?6=9rBm56sa33694?7|@o30qc==5;295~Na12we??850;3xLc?<ug99;7>51zJe=>{i;;21<7?tHg;8yk5513:1=vFi9:m77g=83;pDk74}o11f?6=9rBm56sa33a94?7|@o30qc==d;295~Na12we??k50;3xLc?<ug99j7>51zJe=>{i;::1<7?tHg;8yk5493:1=vFi9:m764=83;pDk74}o107?6=9rBm56sa32694?7|@o30qc=<5;295~Na12we?>850;3xLc?<ug98;7>51zJe=>{i;:21<7?tHg;8yk5413:1=vFi9:m76g=83;pDk74}o10f?6=9rBm56sa32a94?7|@o30qc=<d;295~Na12we?>k50;3xLc?<ug98j7>51zJe=>{i;=:1<7?tHg;8yk5393:1=vFi9:m714=83;pDk74}o177?6=9rBm56sa35694?7|@o30qc=;5;296~Na12Chn7?t1;Y7<<4s<?18n4ld;'ga<03Sl=6<u7:|m710=83;pDk74Ib`95~4=uS9269u:5;6`>7`=n;0vqc=;7;295~Na12we?9650;3xLc?<ug9?57>51zJe=>{i;=k1<7?tHg;8yk53j3:1=vFi9:m71e=83;pDk74}o17`?6=9rBm56sa35g94?7|@o30qc=;f;295~Na12we?8>50;3xLc?<ug9>=7>51zJe=>{i;<81<7?tHg;8yk52;3:1=vFi9:m702=83;pDk74}o161?6=9rBm56sa34494?7|@o30qc=:7;295~Na12we?8650;3xLc?<ug9>57>51zJe=>{i;<k1<7?tHg;8yk52j3:1=vFi9:m70e=83;pDk74}o16`?6=9rBm56sa34g94?7|@o30qc=:f;295~Na12we?;>50;3xLc?<ug9==7>51zJe=>{i;?81<7?tHg;8yk51;3:1=vFi9:m732=83;pDk74}o0:0?6=9rwvqpNOCz1fg?34kj<2:lsO@Cy3yEFWstJK \ No newline at end of file
diff --git a/led.ngr b/led.ngr
new file mode 100644
index 0000000..a74c874
--- /dev/null
+++ b/led.ngr
@@ -0,0 +1,3 @@
+XILINX-XDB 0.1 STUB 0.1 ASCII
+XILINX-XDM V1.6e
+$0217`<'aefi"wnnojfvnjh|s%Leyfzb.DSCD+kmm'gejf{s.nf`+p639;i7=>?0123456789:;<=>?0123456692;:86<8:HLSQQ<Ci}kJ0?850?37?71=AGZ^X7Jnt`@?63<768<0>:4@UURVP?Tb{|f0?850?g862<dg|d0?850?07?71=kfexV<9]^EM@Z7XgVy~nR=POTV?63<76m1=av6tpe201+5<2KEH?84AOF0F6><J\YTECH@1:A1?FC43JF@56M@MLKWP@B03JXNMYKK1:F6?AgsiH20HlznA=2==>Bf|hK7==06;EcweD:69730HlznA=31:<=Ci}kJ0<=19:FbpdG;9=427Io{a@>21;?<Lh~jM1?9>89GeqgF48=556Jnt`C?5=8>3MkmL2>9?:8@drfI5;556Jnt`C?658>3MkmL2=1?;8@drfI589245KaucB875912NjxlO325<:?AgsiH6993l4D`vbE94129427Io{a@>12;><Lh~jM1<18:FbpdG;;720HlznA=6=<>Bf|hK79364D`vbE90902NjxlO37?:8@drfI52546Jnt`C?=;><Lh~jN1>19:FbpdD;99427Io{aC>25;?<Lh~jN1?=>89GeqgE489556Jnt`@?518>3MkmO2>5?;8@drfJ5;=245KaucA841912NjxlL319<:?AgsiK6:5364D`vbF97912NjxlL321<:?AgsiK69=374D`vbF945601OmyoM<31==>Bf|hH7>906;EcweG:5=7h0HlznB=05>58>3MkmO2=6?:8@drfJ58546Jnt`@?7;><Lh~jN1:18:FbpdD;=720HlznB=4=<>Bf|hH7;364D`vbF9>902NjxlL39?18BAC43ONY?6HKS09J6>O7:2C:?6G>029J545<A8887D?<3:K206=N9<80E?<4I208M14<A<80E;<4I608M=4<A0>0ECG[3:KMR1=KMM;h7AKK1^KMRZ4Xgm1GII?PIOT\6Zi6?2FDKDMNL338IvseWje~byU=6\]DJAY6WfUxyoQ<_NWW50=IG[;=86@@R938Kf=W&;::?<>>3^N7?UGU\h1[ECQMURKG\g=WAGUIY^@NMD:8TJTSWFZJn6^@RU]QAVSK\81Z=6\<;SVO<>TSDV^R\H84Rdqvhq?<Zly~`y2?>`9Qavsk|5;;2l5]erwop9766h1Yi~{ct=31:d=Umzgx1?<>`9Qavsk|5;?2l5]erwop9726h1Yi~{ct=35:d=Umzgx1?8>`9Qavsk|5;32l5]erwop97>601Yi~{ct=3=e>Tb{|f0?>1a:Pfwpjs4;;5m6\jstnw8749i2Xnxb{<31=e>Tb{|f0?:1a:Pfwpjs4;?5o6\jstnw870=87k0^h}zlu>12;?<Zly~`y2=>89Qavsk|59556\jstnw818>3[oxyaz35?;8V`urd}6=245]erwop91912Xnxb{<9<:?Wct}e~753?4Sc9PMBDR[VCEJBo4SHE\FPUNLQh0_DIPBTQMEHCa3ZE^^NKPFHNFJDKBl2YDY_MJ_MKMAKD33]S[I45YAMWF[FOI12\J@XKPOQ@:?SOB_V^R\H?k;YKOMK^*PMH+<#?/SUWA$5(6(HYHED84XRVOMG7b3QY_SDC_LDOFJQGSAFDTOB@\TSCJJQU682RXXR\[L^RQKUCU\P<0TilPIe33?]bjWDkacXjrrklj46<PmgTAd``rWgqwlii;2Rxx45WsuENkack02idycz30?;8gjsi|5;;245lotlw847912idycz313<:?firf}6:?374cnwmp973601hcx`{<07==>eh}g~7=;06;bmvjq:6?730ob{at=3;:<=dg|d0<718:alqkr;9730ob{at=03:<=dg|d0??19:alqkr;:;427naznu>17;?<kfex1<;>89`kphs4;?5n6m`uov?63<7601hcx`{<34=<>eh}g~7>364cnwmp95902idycz34?:8gjsi|5?546m`uov?2;><kfex1918:alqkr;0720ob{at=;=5g=dg|dW?8R_FLG[4YhWl{~maQ=_n3e?firf}Q9:PQHNE]2[jYby|kgS?Q`<34=65=dg|dW?8R_FLG[4YhWl{~maQ=_n>12;7582idyczT27_\CKBX9VeTi|{nl^0\k9416;8;7naznuY12XY@FMU:SbQjqtco[7Yh4;<5??>4cnwmp^41UVMEHR?Po^grqdjX:Ve7>;0;219`kphsS;<VSJ@K_0]l[`wrieU9Sb2=6?717>eh}g~P>;SPGOF\5ZiXmxj`R<Po=05:Zojx8o0ob{atZ05YZAILV;TcR}zb^1\KPR;87;m7naznuY12XY@FMU:SbQ|uc]0[JSS48:5=k5lotlw_70ZWNDOS<Q`_rwa[6YH]]6:=3?i;bmvjq]5>TULBIQ>_n]pqgY4WF__0<<11g9`kphsS;<VSJ@K_0]l[vseW:UDYY2>3?3e?firf}Q9:PQHNE]2[jYt}kU8SB[[<06=5c=dg|dW?8R_FLG[4YhWziS>Q@UU>21;7a3je~byU=6\]DJAY6WfUxyoQ<_NWW84099o1hcx`{[34^[BHCW8UdS~{m_2]LQQ:6?7;m7naznuY12XY@FMU:SbQ|uc]0[JSS4825=k5lotlw_70ZWNDOS<Q`_rwa[6YH]]6:53?j;bmvjq]5>TULBIQ>_n]pqgY4WF__0<0>f:alqkr\:?WTKCJP1^m\wpdX;VE^X1<?>0d8gjsi|R8=QRIAD^3\kZurjV9TCXZ320<2b>eh}g~P>;SPGOF\5ZiX{|hT?RAZT=01:4`<kfexV<9]^EM@Z7XgVy~nR=POTV?6686n2idyczT27_\CKBX9VeTxlP3^MVP94368l0ob{atZ05YZAILV;TcR}zb^1\KPR;:<49=6m`uovX63[XOGNT=RaPst`\7ZIR\58=6=0>f:alqkr\:?WTKCJP1^m\wpdX;VE^X1<9>0g8gjsi|R8=QRIAD^3\kZurjV9TCXZ32?3f?firf}Q9:PQHNE]2[jYt}kU8SB[[<2<2a>eh}g~P>;SPGOF\5ZiX{|hT?RAZT=6=5`=dg|dW?8R_FLG[4YhWziS>Q@UU>6:4c<kfexV<9]^EM@Z7XgVy~nR=POTV?2;7b3je~byU=6\]DJAY6WfUxyoQ<_NWW8286m2idyczT27_\CKBX9VeTxlP3^MVP9>99l1hcx`{[34^[BHCW8UdS~{m_2]LQQ:>6>1hcx`{_158gjsi|V;37naznu]24==dg|dS<?7;bmvjqY6:11hcx`{_01;?firf}U:855lotlw[43?3je~byQ>699`kphsW8=37naznu]2<==dg|dS<78;bmvjqY502idyczP21:8gjsi|V8:46m`uov\67><kfexR<<8:alqkrX:=20ob{at^06<>eh}g~T>;94cnwmpZ503je~byQ;7:alqkrX=>1hcx`{_758gjsi|V=<7naznu];3>eh}g~T5>5ceec8wpdszhic??;ya5wi~>%;'}j?;4|BCt4d33IJsm>4I:382V762h81:l4>32a6aa<4=o?3wco?:09me4<13-3m64l4}R33>d4=>h0:?>m:ee801c3?3mlm6=4>:0yP54<f:3<j6<=<c4gg>63a=11}J:<50;395?6|[8;1m?49a;307f3bl39>j864$8c920=#>k027ohi:181>77=;jqG5i4>{%4a>4663tF2i7<t$8794>h>>3;>7p*67;de?_?52;q<654rig83>>i1:3:17o8=:187>5<7s-3<69m4H8a8H<b=9r.=<7?4}M;f>4}#1<0;7pgk:188m`<722c:>7>5;n53>5<<uko?6=4;:183!?02=n0D4m4L8f95~"183;0qA7j:0y'=0<73tco6=44i0094?=n?80;66a80;29?xdb=3:187>50z&:3?2c3A3h7A7k:0y'25<63tF2i7?t$8794>{nl3:17d?=:188m27=831d;=4?::ab5<72=0;6=u+9687`>N>k2F2h7?t$7295>{K1l0:w)7::19~ma<722c:>7>5;h52>5<<g>:1<75rbg:94?2=83:p(4954e9K=f=K1m0:w)8?:09~H<c=9r.297>4}hf94?=n9;0;66g81;29?j172900qoh6:187>5<7s-3<69j4H8a8H<b=9r.=<7?4}M;f>4}#1<0;7pgk:188m44=831b;<4?::m44?6=3thmm7>54;294~">?3>o7E7l;M;g>4}#>90:7pB6e;3x <3=82wbh7>5;h31>5<<a>;1<75`7183>>{enk0;694?:1y'=2<3l2B2o6B6d;3x 36=92wG5h4>{%;6>5=zam0;66g>2;29?l162900c:>50;9~fce=83>1<7>t$8590a=O1j1G5i4>{%43>4=zD0o1=v*65;28ylb=831b=?4?::k45?6=3f=;6=44}cdg>5<3290;w)78:5f8L<e<D0n1=v*90;38yI?b28q/584?;|kg>5<<a881<75f7083>>i083:17plie;290?6=8r.2;7:k;I;`?I?c28q/:=4>;|N:a?7|,0?1<6sfd;29?l752900e:?50;9l35<722wii;4?:583>5}#1>0?i6F6c:N:`?7|,?:1?6sC9d82!?2291vei4?::k26?6=3`3:6=44o6294?=zjl=1<7:50;2x <1=<m1C5n5C9e82!07281v@4k51z&:1?6<u`n1<75f1383>>o093:17b9?:188ygc?290?6=4?{%;4>1b<@0i0@4j51z&54?7<uE3n6<u+9483?xoc2900e<<50;9j34<722e<<7>5;|`f=?6=<3:1<v*67;6f?M?d3E3o6<u+6180?xJ>m3;p(4;50:j`?6=3`;96=44i8394?=h?90;66sme`83>1<729q/5:4;d:J:g>J>l3;p(;>51:O=`<6s-3>6=5rie83>>o6:3:17d9>:188k26=831vnhl50;694?6|,0=18i5G9b9O=a<6s-<;6<5rL8g95~">=3:0qdj50;9j57<722c<=7>5;n53>5<<ukoh6=4;:183!?02=n0D4m4L8f95~"183;0qA7j:0y'=0<73tco6=44i0094?=n?80;66a80;29?xdbl3:187>50z&:3?2c3A3h7A7k:0y'25<63tF2i7?t$8794>{nl3:17d?=:188m27=831d;=4?::aa`<72=0;6=u+9687a>N>k2F2h7?t$7297>{K1l0:w)7::19~ma<722c:>7>5;h;2>5<<g>:1<75rbdd94?2=83:p(4954e9K=f=K1m0:w)8?:09~H<c=9r.297>4}hf94?=n9;0;66g81;29?j172900qoh>:187>5<7s-3<69k4H8a8H<b=9r.=<7=4}M;f>4}#1<0;7pgk:188m44=831b5<4?::m44?6=3thm>7>54;294~">?3>n7E7l;M;g>4}#>9087pB6e;3x <3=82wbh7>5;h31>5<<a0;1<75`7183>>{en:0;694?:1y'=2<3m2B2o6B6d;3x 36=;2wG5h4>{%;6>5=zam0;66g>2;29?l?62900c:>50;9~fc2=83>1<7>t$8590`=O1j1G5i4>{%43>6=zD0o1=v*65;28ylb=831b=?4?::k:5?6=3f=;6=44}cd6>5<3290;w)78:5f8L<e<D0n1=v*90;38yI?b28q/584?;|kg>5<<a881<75f7083>>i083:17pli6;290?6=8r.2;7:k;I;`?I?c28q/:=4>;|N:a?7|,0?1<6sfd;29?l752900e:?50;9l35<722wij:4?:583>5}#1>0?h6F6c:N:`?7|,?:1=6sC9d82!?2291vei4?::k26?6=3`=:6=44o6294?=zj?<1<7;>:183I?b2>q/;>4>019'2`<6;2.257<4$7d931=#110:7)7::19m=3<512w/5:496:X`>6}32<0=6pg>4;29 3b=:?1e:n4>a:9j5c<72-<o6?84n7a95<=<a;21<7*9d;05?k0d28207d<6:18'2a<5>2d=o7?8;:k1e?6=,?n1>;5a6b822>=n:k0;6)8k:348j3e=9<10e?m50;&5`?413g<h6<:4;h0g>5<#>m09:6`9c;30?>o5m3:1(;j5279m2f<6:21b>k4?:%4g>70<f?i1=<54i0794?"1l38=7c8l:028?l71290/:i4=6:l5g?`<3`;<6=4+6e812>h1k3o07d?7:18'2a<5>2d=o7j4;h3:>5<#>m09:6`9c;a8?l7f290/:i4=6:l5g?d<3`;i6=4+6e812>h1k3k07d?l:18'2a<5>2d=o774;h3g>5<#>m09:6`9c;:8?l7b290/:i4=6:l5g?1<3`8;6=4+6e812>h1k3<07d<>:18'2a<5>2d=o7;4;h01>5<#>m09:6`9c;68?l44290/:i4=6:l5g?5<3`8?6=4+6e812>h1k3807d<::18'2a<5>2d=o7?4;h04>5<#>m09:6`9c;28?l57290/:i4;2:l5g?7f32c8n7>5$7f907=i>j0:565f4583>!0c2=80b;m51998m13=83.=h7:=;o4`>41<3`>=6=4+6e876>h1k3;=76g;7;29 3b=<;1e:n4>5:9j0=<72-<o69<4n7a951=<a=31<7*9d;61?k0d28907d:n:18'2a<3:2d=o7?=;:k7f?6=,?n18?5a6b825>=n;80;6)8k:508j3e=9910e><50;&5`?253g<h6k54i2194?"1l3>97c8l:d98m62=83.=h7:=;o4`>a=<a:?1<7*9d;61?k0d2j10e>850;&5`?253g<h6o54i2594?"1l3>97c8l:`98m6>=83.=h7:=;o4`><=<a:31<7*9d;61?k0d2110e>o50;&5`?253g<h6:54i2a94?"1l3>97c8l:798m6b=83.=h7:=;o4`>0=<a:o1<7*9d;61?k0d2=10e>h50;&5`?253g<h6>54i5294?"1l3>97c8l:398m17=83.=h7:=;o4`>4=<a=91<7*9d;61?k0d2910c:;50;&5`?>03g<h6<o4;n:3>5<#>m03;6`9c;3:?>i?13:1(;j5869m2f<6021d4l4?:%4g>=1<f?i1=:54o9`94?"1l32<7c8l:048?j>d290/:i477:l5g?7232e3h7>5$7f9<2=i>j0:865`8d83>!0c21=0b;m51298k=`=83.=h768;o4`>44<3f3;6=4+6e8;3>h1k3;:76a86;29 3b=0>1e:n4>0:9l32<72-<o6594n7a9b>=h?10;6)8k:958j3e=m21d;44?:%4g>=1<f?i1h65`7`83>!0c21=0b;m5c:9l3g<72-<o6594n7a9f>=h?j0;6)8k:958j3e=i21d;i4?:%4g>=1<f?i1565`7d83>!0c21=0b;m58:9l3c<72-<o6594n7a93>=h080;6)8k:958j3e=>21d4?4?:%4g>=1<f?i1965`8283>!0c21=0b;m54:9l<1<72-<o6594n7a97>=h0<0;6)8k:958j3e=:21d4;4?:%4g>=1<f?i1=65`8983>!0c21=0b;m50:9~f32=8381<7>t$85924=O1j1b8k4?::m5=?6=3thh>7>59;294~">?3<37E7l;h73>5<<a<;1<75f5983>>o213:17d;n:188m0d=831b9n4?::k6`?6=3f<26=44}ca0>5<3290;w)78:c9K=f=n=90;66g:1;29?l3?2900c;750;9~ff1=83;86>4>5z&:3?e03`h<6=44ic794?=nj=0;66gm3;29?ld62900eo<50;9jf5<722cji7>5;hcg>5<<ahi1<75fac83>>of13:17don:188md>=831bm:4?::kb2?6=3`i:6=44ib094?=hk90;66ll4;2954<729q/5:497:J:g>o283:17d;>:188m0>=831b944?::k6e?6=3`?i6=44i4a94?=n=m0;66g:e;29?l3a2900e8<50;9j16<722c>87>5;h76>5<<a<<1<75f5683>>i113:17pll5;297?6=8r.2;7o4H8a8m06=831b9<4?::m5=?6=3thh:7>53;294~">?3k0D4m4i4294?=n=80;66a99;29?xue?3:1>vPm7:?`0?373tyi97>52z\a1>;d<3?:7p}m4;296~Xe<27h87;7;|qa7?6=:rTi?63l4;7:?xue93:1>vPm1:?`0?3f3tyi>7>52z\a6>;d<3?i7p}m0;296~Xe827h87;l;|qba?6=:rTji63l4;7g?xufl3:1>vPnd:?`0?3b3tyjo7>52z\bg>;d<3?m7p}nb;296~Xfj27h87;=;|qb=?6=:rTj563l4;70?xufi3:1>vPna:?`0?333tyj47>52z\b<>;d<3?>7p}n7;296~Xf?27h87;9;|qb2?6=:rTj:63l4;74?xud;3:1>v3l6;73?8e32?30q~m>:181[e634i>68>4}ra1>5<5sWi970m::438yve32909w0m9:4389f3=>01vn>50;0xZf6<5j<1:45r}rd94?7csWl01;<5d:?f0?b<5l?1h63i0;f89c>=l27m57j4=gc9`>;aj3n01km5d:?e`?b<5oo1h63j6;f89`1=l27n47j4=d;9`>;bi3n01hl5d:?fg?b<5ln1h63je;f89``=l27m=7j4=g09`>;a;3n01k:5d:?e1?b<5o<1h63i7;f8yvd02908w0h8:628930=:>16o:4m7:&:0?d13g386=5rsc794?5|5o<1;=5267811>;d?3h>7)7;:c48j<5=92wxn94?:2y>b0<0827=:7<;;<a4>g2<,0>1n;5a9281?xue;3:1?v3i4;53?8012;901n95b29'=1<e>2d2?7=4}r`1>5<4s4l86:>4=74967=:k>0i>6*64;`5?k?42=1vo?50;1x9c4=?916:;4=1:?`3?d63-3?6o84n8191>{tj90;6>u2f0844>;1>38;70m8:c28 <2=j?1e5>49;|qba?6=;r7nj79?;<45>4c<5j=1mh5+958a2>h>;3=0q~ok:1808cb2>:01;851e9>g2<fl2.287l9;o;0>==z{hi1<7=t=df935=:>?0:o63l7;c`?!?32k<0b4=59:peg<72:q6in480:?52?7e34i<6ll4$869f3=i1:0j7p}na;297~;bj3=;7089:0c89f1=ih1/594m6:l:7?d<uzk26=4<{<gb>26<5?<1=452c68b=>"><3h=7c7<:b9~wd>=839p1h75719>23<6027h;7o7;%;7>g0<f091h6s|a683>6}:m10<<6396;34?8e02h=0(4:5b79m=6<b3tyj:7>53z?f3?1734<=6<84=b59e3=#1=0i:6`63;d8yvg22908w0k9:628930=9<16o?4:0:&:0?d13g386<>4}r`e>5<4s4ln6:>4=7496c=:k;0>=6*64;`5?k?428;0q~lj:1808`c2>:01;852d9>g7<212.287l9;o;0>44<uzho6=4<{<d`>26<5?<1>i52c386<>"><3h=7c7<:018yvdd2908w0hm:628930=:j16o?4:a:&:0?d13g386<:4}r`a>5<4s4lj6:>4=7496g=:k;0>o6*64;`5?k?428?0q~ln:1808`>2>:01;852`9>g7<2j2.287l9;o;0>40<uzh26=4<{<d;>26<5?<1>452c386`>"><3h=7c7<:058yvd?2908w0h?:628930=:116o>4:0:&:0?d13g386<64}rce>5<4s4o>6:>4=7495c=:k:0>=6*64;`5?k?42830q~o;:1808c32>:01;85159>g6<202.287l9;o;0>4g<uz<96=4<{_41?8052>:01;:54g9~wad=838p1k95139>23<?02.287jn;o;0>5=z{m31<7<t=g4957=:>?03:6*64;fb?k?4281vi650;0x9c3=9;16:;475:&:0?bf3g386?5rse594?4|5o>1=?52678;0>"><3nj7c7<:29~wa0=838p1k=5139>23<?;2.287jn;o;0>1=z{m?1<7<t=g0957=:>?03>6*64;fb?k?42<1vi:50;0x9c7=9;16:;471:&:0?bf3g386;5rse094?4|5ll1=?526784b>"><3nj7c7<:69~wa7=838p1hk5139>23<0m2.287jn;o;0>==z{m:1<7<t=df957=:>?0<h6*64;fb?k?4201vnh50;0x9`e=9;16:;48c:&:0?bf3g386l5rsbg94?4|5lh1=?526784f>"><3nj7c7<:c9~wfb=838p1ho5139>23<0i2.287jn;o;0>f=z{ji1<7<t=d;957=:>?0<56*64;fb?k?42m1vnl50;0x9`>=9;16:;488:&:0?bf3g386h5rsbc94?4|5l=1=?5267843>"><3nj7c7<:g9~wf?=838p1h85139>23<0>2.287jn;o;0>46<uzo86=4={<df>44<5?<15=5+958ge>h>;3;:7p}j2;296~;al3;97089:9d8 <2=lh1e5>4>2:pa4<72;q6jn4>2:?52?>b3-3?6io4n81956=z{l:1<7<t=g`957=:>?03h6*64;fb?k?428>0q~ji:1818`f28801;858b9'=1<ci2d2?7?:;|qga?6=:r7m57?=;<45>=d<,0>1hl5a92822>{tlm0;6?u2f9826>;1>32j7)7;:ec8j<5=9>1vim50;0x9c6=9;16:;479:&:0?bf3g386<64}rf0>5<5s4o>6<<4=749<5=#1=0om6`63;3:?xud03:1>v3j4;31?8012>?0(4:5d`9m=6<6i2wx:>4?:3y>27<6:27=8786;|q`4?6=9mq6:?4j;<g7>27<5l?1;<52f1845>;a03=:70h6:6389cg=?816jo481:?eg?1634lo6:?4=gg934=:m?02=63j7;52?8c?2>;01h75909>ad<0927nn79>;<g`>27<5ln1;<52ed8:5>;bn3=:70h>:8389c4=1816j>461:?e0??634l>6:?4=g4934=:n>0<=63l7;a3?xud93:1>v3l2;4:?8e02j;0q~m=:1818e42?301n95c39~yx{zHIIp=o:5e4da31>4uIJIw=sO@Qy~DE \ No newline at end of file
diff --git a/led.pad b/led.pad
new file mode 100644
index 0000000..c9d913a
--- /dev/null
+++ b/led.pad
@@ -0,0 +1,174 @@
+Release 14.7 - par P.20131013 (lin64)
+Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved.
+
+Tue Feb 21 22:16:59 2017
+
+
+# NOTE: This file is designed to be imported into a spreadsheet program
+# such as Microsoft Excel for viewing, printing and sorting. The |
+# character is used as the data field separator. This file is also designed
+# to support parsing.
+#
+INPUT FILE: led_map.ncd
+OUTPUT FILE: led.pad
+PART TYPE: xc6slx9
+SPEED GRADE: -2
+PACKAGE: tqg144
+
+Pinout by Pin Number:
+
+-----|-----|-----|-----|-----|-----|-----|-----|-----|-----|-----|-----|-----|-----|-----|
+Pin Number|Signal Name|Pin Usage|Pin Name|Direction|IO Standard|IO Bank Number|Drive (mA)|Slew Rate|Termination|IOB Delay|Voltage|Constraint|IO Register|Signal Integrity|
+P1||IOBS|IO_L83N_VREF_3|UNUSED||3|||||||||
+P2||IOBM|IO_L83P_3|UNUSED||3|||||||||
+P3|||GND||||||||||||
+P4|||VCCO_3|||3|||||any******||||
+P5||IOBS|IO_L52N_3|UNUSED||3|||||||||
+P6||IOBM|IO_L52P_3|UNUSED||3|||||||||
+P7||IOBS|IO_L51N_3|UNUSED||3|||||||||
+P8||IOBM|IO_L51P_3|UNUSED||3|||||||||
+P9||IOBS|IO_L50N_3|UNUSED||3|||||||||
+P10||IOBM|IO_L50P_3|UNUSED||3|||||||||
+P11||IOBS|IO_L49N_3|UNUSED||3|||||||||
+P12||IOBM|IO_L49P_3|UNUSED||3|||||||||
+P13|||GND||||||||||||
+P14||IOBS|IO_L44N_GCLK20_3|UNUSED||3|||||||||
+P15||IOBM|IO_L44P_GCLK21_3|UNUSED||3|||||||||
+P16||IOBS|IO_L43N_GCLK22_IRDY2_3|UNUSED||3|||||||||
+P17||IOBM|IO_L43P_GCLK23_3|UNUSED||3|||||||||
+P18|||VCCO_3|||3|||||any******||||
+P19|||VCCINT||||||||1.2||||
+P20|||VCCAUX||||||||2.5||||
+P21||IOBS|IO_L42N_GCLK24_3|UNUSED||3|||||||||
+P22||IOBM|IO_L42P_GCLK25_TRDY2_3|UNUSED||3|||||||||
+P23||IOBS|IO_L41N_GCLK26_3|UNUSED||3|||||||||
+P24||IOBM|IO_L41P_GCLK27_3|UNUSED||3|||||||||
+P25|||GND||||||||||||
+P26||IOBS|IO_L37N_3|UNUSED||3|||||||||
+P27||IOBM|IO_L37P_3|UNUSED||3|||||||||
+P28|||VCCINT||||||||1.2||||
+P29||IOBS|IO_L36N_3|UNUSED||3|||||||||
+P30||IOBM|IO_L36P_3|UNUSED||3|||||||||
+P31|||VCCO_3|||3|||||any******||||
+P32||IOBS|IO_L2N_3|UNUSED||3|||||||||
+P33||IOBM|IO_L2P_3|UNUSED||3|||||||||
+P34||IOBS|IO_L1N_VREF_3|UNUSED||3|||||||||
+P35||IOBM|IO_L1P_3|UNUSED||3|||||||||
+P36|||VCCAUX||||||||2.5||||
+P37|||PROGRAM_B_2||||||||||||
+P38||IOBS|IO_L65N_CSO_B_2|UNUSED||2|||||||||
+P39||IOBM|IO_L65P_INIT_B_2|UNUSED||2|||||||||
+P40||IOBS|IO_L64N_D9_2|UNUSED||2|||||||||
+P41||IOBM|IO_L64P_D8_2|UNUSED||2|||||||||
+P42|||VCCO_2|||2|||||any******||||
+P43||IOBS|IO_L62N_D6_2|UNUSED||2|||||||||
+P44||IOBM|IO_L62P_D5_2|UNUSED||2|||||||||
+P45||IOBS|IO_L49N_D4_2|UNUSED||2|||||||||
+P46||IOBM|IO_L49P_D3_2|UNUSED||2|||||||||
+P47||IOBS|IO_L48N_RDWR_B_VREF_2|UNUSED||2|||||||||
+P48||IOBM|IO_L48P_D7_2|UNUSED||2|||||||||
+P49|||GND||||||||||||
+P50||IOBS|IO_L31N_GCLK30_D15_2|UNUSED||2|||||||||
+P51||IOBM|IO_L31P_GCLK31_D14_2|UNUSED||2|||||||||
+P52|||VCCINT||||||||1.2||||
+P53|||VCCAUX||||||||2.5||||
+P54|||GND||||||||||||
+P55||IOBS|IO_L30N_GCLK0_USERCCLK_2|UNUSED||2|||||||||
+P56||IOBM|IO_L30P_GCLK1_D13_2|UNUSED||2|||||||||
+P57||IOBS|IO_L14N_D12_2|UNUSED||2|||||||||
+P58||IOBM|IO_L14P_D11_2|UNUSED||2|||||||||
+P59||IOBS|IO_L13N_D10_2|UNUSED||2|||||||||
+P60||IOBM|IO_L13P_M1_2|UNUSED||2||||||PROHIBITED|||
+P61||IOBS|IO_L12N_D2_MISO3_2|UNUSED||2|||||||||
+P62||IOBM|IO_L12P_D1_MISO2_2|UNUSED||2|||||||||
+P63|||VCCO_2|||2|||||any******||||
+P64||IOBS|IO_L3N_MOSI_CSI_B_MISO0_2|UNUSED||2|||||||||
+P65||IOBM|IO_L3P_D0_DIN_MISO_MISO1_2|UNUSED||2|||||||||
+P66||IOBS|IO_L2N_CMPMOSI_2|UNUSED||2|||||||||
+P67||IOBM|IO_L2P_CMPCLK_2|UNUSED||2|||||||||
+P68|||GND||||||||||||
+P69||IOBS|IO_L1N_M0_CMPMISO_2|UNUSED||2||||||PROHIBITED|||
+P70||IOBM|IO_L1P_CCLK_2|UNUSED||2|||||||||
+P71|||DONE_2||||||||||||
+P72|||CMPCS_B_2||||||||||||
+P73|||SUSPEND||||||||||||
+P74||IOBS|IO_L74N_DOUT_BUSY_1|UNUSED||1|||||||||
+P75||IOBM|IO_L74P_AWAKE_1|UNUSED||1|||||||||
+P76|||VCCO_1|||1|||||any******||||
+P77|||GND||||||||||||
+P78||IOBS|IO_L47N_1|UNUSED||1|||||||||
+P79||IOBM|IO_L47P_1|UNUSED||1|||||||||
+P80||IOBS|IO_L46N_1|UNUSED||1|||||||||
+P81||IOBM|IO_L46P_1|UNUSED||1|||||||||
+P82||IOBS|IO_L45N_1|UNUSED||1|||||||||
+P83||IOBM|IO_L45P_1|UNUSED||1|||||||||
+P84||IOBS|IO_L43N_GCLK4_1|UNUSED||1|||||||||
+P85||IOBM|IO_L43P_GCLK5_1|UNUSED||1|||||||||
+P86|||VCCO_1|||1|||||any******||||
+P87||IOBS|IO_L42N_GCLK6_TRDY1_1|UNUSED||1|||||||||
+P88||IOBM|IO_L42P_GCLK7_1|UNUSED||1|||||||||
+P89|||VCCINT||||||||1.2||||
+P90|||VCCAUX||||||||2.5||||
+P91|||GND||||||||||||
+P92||IOBS|IO_L41N_GCLK8_1|UNUSED||1|||||||||
+P93||IOBM|IO_L41P_GCLK9_IRDY1_1|UNUSED||1|||||||||
+P94|CLK|IOB|IO_L40N_GCLK10_1|INPUT|LVTTL|1||||NONE||LOCATED|NO|NONE|
+P95||IOBM|IO_L40P_GCLK11_1|UNUSED||1|||||||||
+P96|||GND||||||||||||
+P97||IOBS|IO_L34N_1|UNUSED||1|||||||||
+P98||IOBM|IO_L34P_1|UNUSED||1|||||||||
+P99||IOBS|IO_L33N_1|UNUSED||1|||||||||
+P100||IOBM|IO_L33P_1|UNUSED||1|||||||||
+P101||IOBS|IO_L32N_1|UNUSED||1|||||||||
+P102||IOBM|IO_L32P_1|UNUSED||1|||||||||
+P103|||VCCO_1|||1|||||any******||||
+P104||IOBS|IO_L1N_VREF_1|UNUSED||1|||||||||
+P105||IOBM|IO_L1P_1|UNUSED||1|||||||||
+P106|||TDO||||||||||||
+P107|||TMS||||||||||||
+P108|||GND||||||||||||
+P109|||TCK||||||||||||
+P110|||TDI||||||||||||
+P111||IOBS|IO_L66N_SCP0_0|UNUSED||0|||||||||
+P112|LED1|IOB|IO_L66P_SCP1_0|OUTPUT|LVTTL|0|8|SLOW||||LOCATED|NO|NONE|
+P113|||GND||||||||||||
+P114||IOBS|IO_L65N_SCP2_0|UNUSED||0|||||||||
+P115||IOBM|IO_L65P_SCP3_0|UNUSED||0|||||||||
+P116||IOBS|IO_L64N_SCP4_0|UNUSED||0|||||||||
+P117||IOBM|IO_L64P_SCP5_0|UNUSED||0|||||||||
+P118||IOBS|IO_L63N_SCP6_0|UNUSED||0|||||||||
+P119||IOBM|IO_L63P_SCP7_0|UNUSED||0|||||||||
+P120||IOBS|IO_L62N_VREF_0|UNUSED||0|||||||||
+P121||IOBM|IO_L62P_0|UNUSED||0|||||||||
+P122|||VCCO_0|||0|||||3.30||||
+P123||IOBS|IO_L37N_GCLK12_0|UNUSED||0|||||||||
+P124||IOBM|IO_L37P_GCLK13_0|UNUSED||0|||||||||
+P125|||VCCO_0|||0|||||3.30||||
+P126||IOBS|IO_L36N_GCLK14_0|UNUSED||0|||||||||
+P127||IOBM|IO_L36P_GCLK15_0|UNUSED||0|||||||||
+P128|||VCCINT||||||||1.2||||
+P129|||VCCAUX||||||||2.5||||
+P130|||GND||||||||||||
+P131||IOBS|IO_L35N_GCLK16_0|UNUSED||0|||||||||
+P132||IOBM|IO_L35P_GCLK17_0|UNUSED||0|||||||||
+P133||IOBS|IO_L34N_GCLK18_0|UNUSED||0|||||||||
+P134||IOBM|IO_L34P_GCLK19_0|UNUSED||0|||||||||
+P135|||VCCO_0|||0|||||3.30||||
+P136|||GND||||||||||||
+P137||IOBS|IO_L4N_0|UNUSED||0|||||||||
+P138||IOBM|IO_L4P_0|UNUSED||0|||||||||
+P139||IOBS|IO_L3N_0|UNUSED||0|||||||||
+P140||IOBM|IO_L3P_0|UNUSED||0|||||||||
+P141||IOBS|IO_L2N_0|UNUSED||0|||||||||
+P142||IOBM|IO_L2P_0|UNUSED||0|||||||||
+P143||IOBS|IO_L1N_VREF_0|UNUSED||0|||||||||
+P144||IOBM|IO_L1P_HSWAPEN_0|UNUSED||0||||||PROHIBITED|||
+
+-----|-----|-----|-----|-----|-----|-----|-----|-----|-----|-----|-----|-----|-----|-----|
+
+* Default value.
+** This default Pullup/Pulldown value can be overridden in Bitgen.
+****** Special VCCO requirements may apply. Please consult the device
+ family datasheet for specific guideline on VCCO requirements.
+
+
diff --git a/led.par b/led.par
new file mode 100644
index 0000000..a86afaf
--- /dev/null
+++ b/led.par
@@ -0,0 +1,181 @@
+Release 14.7 par P.20131013 (lin64)
+Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved.
+
+yann-arch:: Tue Feb 21 22:16:56 2017
+
+par -w -intstyle ise -ol high -mt off led_map.ncd led.ncd led.pcf
+
+
+Constraints file: led.pcf.
+Loading device for application Rf_Device from file '6slx9.nph' in environment /opt/Xilinx/14.7/ISE_DS/ISE/.
+ "led" is an NCD, version 3.2, device xc6slx9, package tqg144, speed -2
+
+Initializing temperature to 85.000 Celsius. (default - Range: 0.000 to 85.000 Celsius)
+Initializing voltage to 1.140 Volts. (default - Range: 1.140 to 1.260 Volts)
+
+
+Device speed data version: "PRODUCTION 1.23 2013-10-13".
+
+
+
+Device Utilization Summary:
+
+Slice Logic Utilization:
+ Number of Slice Registers: 25 out of 11,440 1%
+ Number used as Flip Flops: 25
+ Number used as Latches: 0
+ Number used as Latch-thrus: 0
+ Number used as AND/OR logics: 0
+ Number of Slice LUTs: 57 out of 5,720 1%
+ Number used as logic: 57 out of 5,720 1%
+ Number using O6 output only: 34
+ Number using O5 output only: 1
+ Number using O5 and O6: 22
+ Number used as ROM: 0
+ Number used as Memory: 0 out of 1,440 0%
+
+Slice Logic Distribution:
+ Number of occupied Slices: 15 out of 1,430 1%
+ Number of MUXCYs used: 24 out of 2,860 1%
+ Number of LUT Flip Flop pairs used: 57
+ Number with an unused Flip Flop: 32 out of 57 56%
+ Number with an unused LUT: 0 out of 57 0%
+ Number of fully used LUT-FF pairs: 25 out of 57 43%
+ Number of slice register sites lost
+ to control set restrictions: 0 out of 11,440 0%
+
+ A LUT Flip Flop pair for this architecture represents one LUT paired with
+ one Flip Flop within a slice. A control set is a unique combination of
+ clock, reset, set, and enable signals for a registered element.
+ The Slice Logic Distribution report is not meaningful if the design is
+ over-mapped for a non-slice resource or if Placement fails.
+
+IO Utilization:
+ Number of bonded IOBs: 2 out of 102 1%
+ Number of LOCed IOBs: 2 out of 2 100%
+
+Specific Feature Utilization:
+ Number of RAMB16BWERs: 0 out of 32 0%
+ Number of RAMB8BWERs: 0 out of 64 0%
+ Number of BUFIO2/BUFIO2_2CLKs: 0 out of 32 0%
+ Number of BUFIO2FB/BUFIO2FB_2CLKs: 0 out of 32 0%
+ Number of BUFG/BUFGMUXs: 1 out of 16 6%
+ Number used as BUFGs: 1
+ Number used as BUFGMUX: 0
+ Number of DCM/DCM_CLKGENs: 0 out of 4 0%
+ Number of ILOGIC2/ISERDES2s: 0 out of 200 0%
+ Number of IODELAY2/IODRP2/IODRP2_MCBs: 0 out of 200 0%
+ Number of OLOGIC2/OSERDES2s: 0 out of 200 0%
+ Number of BSCANs: 0 out of 4 0%
+ Number of BUFHs: 0 out of 128 0%
+ Number of BUFPLLs: 0 out of 8 0%
+ Number of BUFPLL_MCBs: 0 out of 4 0%
+ Number of DSP48A1s: 0 out of 16 0%
+ Number of ICAPs: 0 out of 1 0%
+ Number of MCBs: 0 out of 2 0%
+ Number of PCILOGICSEs: 0 out of 2 0%
+ Number of PLL_ADVs: 0 out of 2 0%
+ Number of PMVs: 0 out of 1 0%
+ Number of STARTUPs: 0 out of 1 0%
+ Number of SUSPEND_SYNCs: 0 out of 1 0%
+
+
+Overall effort level (-ol): High
+Router effort level (-rl): High
+
+Starting initial Timing Analysis. REAL time: 2 secs
+Finished initial Timing Analysis. REAL time: 2 secs
+
+Starting Router
+
+
+Phase 1 : 235 unrouted; REAL time: 2 secs
+
+Phase 2 : 203 unrouted; REAL time: 3 secs
+
+Phase 3 : 78 unrouted; REAL time: 3 secs
+
+Phase 4 : 78 unrouted; (Setup:0, Hold:0, Component Switching Limit:0) REAL time: 3 secs
+
+Updating file: led.ncd with current fully routed design.
+
+Phase 5 : 0 unrouted; (Setup:0, Hold:0, Component Switching Limit:0) REAL time: 3 secs
+
+Phase 6 : 0 unrouted; (Setup:0, Hold:0, Component Switching Limit:0) REAL time: 3 secs
+
+Phase 7 : 0 unrouted; (Setup:0, Hold:0, Component Switching Limit:0) REAL time: 3 secs
+
+Phase 8 : 0 unrouted; (Setup:0, Hold:0, Component Switching Limit:0) REAL time: 3 secs
+
+Phase 9 : 0 unrouted; (Setup:0, Hold:0, Component Switching Limit:0) REAL time: 3 secs
+
+Phase 10 : 0 unrouted; (Setup:0, Hold:0, Component Switching Limit:0) REAL time: 3 secs
+Total REAL time to Router completion: 3 secs
+Total CPU time to Router completion: 3 secs
+
+Partition Implementation Status
+-------------------------------
+
+ No Partitions were found in this design.
+
+-------------------------------
+
+Generating "PAR" statistics.
+
+**************************
+Generating Clock Report
+**************************
+
++---------------------+--------------+------+------+------------+-------------+
+| Clock Net | Resource |Locked|Fanout|Net Skew(ns)|Max Delay(ns)|
++---------------------+--------------+------+------+------------+-------------+
+| CLK_BUFGP | BUFGMUX_X2Y2| No | 8 | 0.005 | 1.395 |
++---------------------+--------------+------+------+------------+-------------+
+
+* Net Skew is the difference between the minimum and maximum routing
+only delays for the net. Note this is different from Clock Skew which
+is reported in TRCE timing report. Clock Skew is the difference between
+the minimum and maximum path delays which includes logic delays.
+
+* The fanout is the number of component pins not the individual BEL loads,
+for example SLICE loads not FF loads.
+
+Timing Score: 0 (Setup: 0, Hold: 0, Component Switching Limit: 0)
+
+Asterisk (*) preceding a constraint indicates it was not met.
+ This may be due to a setup or hold violation.
+
+----------------------------------------------------------------------------------------------------------
+ Constraint | Check | Worst Case | Best Case | Timing | Timing
+ | | Slack | Achievable | Errors | Score
+----------------------------------------------------------------------------------------------------------
+ NET "CLK_BUFGP/IBUFG" PERIOD = 31.25 ns H | SETUP | 27.555ns| 3.695ns| 0| 0
+ IGH 50% | HOLD | 0.418ns| | 0| 0
+----------------------------------------------------------------------------------------------------------
+
+
+All constraints were met.
+
+
+Generating Pad Report.
+
+All signals are completely routed.
+
+Total REAL time to PAR completion: 3 secs
+Total CPU time to PAR completion: 3 secs
+
+Peak Memory Usage: 607 MB
+
+Placer: Placement generated during map.
+Routing: Completed - No errors found.
+Timing: Completed - No errors found.
+
+Number of error messages: 0
+Number of warning messages: 0
+Number of info messages: 0
+
+Writing design to file led.ncd
+
+
+
+PAR done!
diff --git a/led.pcf b/led.pcf
new file mode 100644
index 0000000..d505459
--- /dev/null
+++ b/led.pcf
@@ -0,0 +1,13 @@
+//! **************************************************************************
+// Written by: Map P.20131013 on Tue Feb 21 22:16:54 2017
+//! **************************************************************************
+
+SCHEMATIC START;
+PROHIBIT = SITE "P144";
+PROHIBIT = SITE "P69";
+PROHIBIT = SITE "P60";
+COMP "LED1" LOCATE = SITE "P112" LEVEL 1;
+COMP "CLK" LOCATE = SITE "P94" LEVEL 1;
+NET "CLK_BUFGP/IBUFG" PERIOD = 31.25 ns HIGH 50%;
+SCHEMATIC END;
+
diff --git a/led.ptwx b/led.ptwx
new file mode 100644
index 0000000..ab35e6c
--- /dev/null
+++ b/led.ptwx
@@ -0,0 +1,332 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<!DOCTYPE twReport [
+<!ELEMENT twReport (twHead?, (twWarn | twDebug | twInfo)*, twBody, twSum?,
+ twDebug*, twFoot?, twClientInfo?)>
+<!ATTLIST twReport version CDATA "10,4">
+<!ELEMENT twHead (twExecVer?, twCopyright, twCmdLine?, twDesign?, twPCF?, twDevInfo, twRptInfo, twEnvVar*)>
+<!ELEMENT twExecVer (#PCDATA)>
+<!ELEMENT twCopyright (#PCDATA)>
+<!ELEMENT twCmdLine (#PCDATA)>
+<!ELEMENT twDesign (#PCDATA)>
+<!ELEMENT twPCF (#PCDATA)>
+<!ELEMENT twDevInfo (twDevName, twSpeedGrade, twSpeedVer?)>
+<!ELEMENT twDevName (#PCDATA)>
+<!ATTLIST twDevInfo arch CDATA #IMPLIED pkg CDATA #IMPLIED>
+<!ELEMENT twSpeedGrade (#PCDATA)>
+<!ELEMENT twSpeedVer (#PCDATA)>
+<!ELEMENT twRptInfo (twItemLimit?, (twUnconst, twUnconstLimit?)?)>
+<!ATTLIST twRptInfo twRptLvl (twErr | twVerbose | twTerseErr | twSum | twTimeGrp) #REQUIRED>
+<!ATTLIST twRptInfo twAdvRpt (TRUE | FALSE) "FALSE">
+<!ATTLIST twRptInfo twTimeUnits (twPsec | twNsec | twUsec | twMsec | twSec) "twNsec">
+<!ATTLIST twRptInfo twFreqUnits (twGHz | twMHz | twHz) "twMHz">
+<!ATTLIST twRptInfo twReportMinPaths CDATA #IMPLIED>
+<!ELEMENT twItemLimit (#PCDATA)>
+<!ELEMENT twUnconst EMPTY>
+<!ELEMENT twUnconstLimit (#PCDATA)>
+<!ELEMENT twEnvVar EMPTY>
+<!ATTLIST twEnvVar name CDATA #REQUIRED>
+<!ATTLIST twEnvVar description CDATA #REQUIRED>
+<!ELEMENT twWarn (#PCDATA)>
+<!ELEMENT twInfo (#PCDATA)>
+<!ELEMENT twDebug (#PCDATA)>
+<!ELEMENT twBody (twDerating?, (twSumRpt | twVerboseRpt | twErrRpt | twTerseErrRpt | twTimeGrpRpt), twNonDedClks?)>
+<!ATTLIST twBody twFastPaths CDATA #IMPLIED>
+<!ELEMENT twDerating (twProc?, twTemp?, twVolt?)>
+<!ELEMENT twProc (#PCDATA)>
+<!ELEMENT twTemp (#PCDATA)>
+<!ELEMENT twVolt (#PCDATA)>
+<!ELEMENT twSumRpt (twConstRollupTable*, twConstList?, twConstSummaryTable?, twUnmetConstCnt?, (twWarn | twInfo | twDebug)*, twDataSheet?)>
+<!ELEMENT twErrRpt (twCycles?, (twConst | twTIG | twConstRollupTable)*, twUnmetConstCnt?, (twWarn | twInfo | twDebug)*, twDataSheet?, twTimeGrp*)>
+<!ELEMENT twTerseErrRpt (twConstList, twUnmetConstCnt?, twDataSheet?)>
+<!ELEMENT twVerboseRpt (twCycles?, (twConst | twTIG | twConstRollupTable)*, twUnmetConstCnt?, (twWarn | twInfo | twDebug)*, twDataSheet?, twTimeGrp*)>
+<!ELEMENT twCycles (twSigConn+)>
+<!ATTLIST twCycles twNum CDATA #REQUIRED>
+<!ELEMENT twSigConn (twSig, twDriver, twLoad)>
+<!ELEMENT twSig (#PCDATA)>
+<!ELEMENT twDriver (#PCDATA)>
+<!ELEMENT twLoad (#PCDATA)>
+<!ELEMENT twConst (twConstHead, ((twPathRpt?,twRacePathRpt?, twPathRptBanner?)* | (twPathRpt*, twRacePathRpt?) | twNetRpt* | twClkSkewLimit*))>
+<!ATTLIST twConst twConstType (NET |
+ NETDELAY |
+ NETSKEW |
+ PATH |
+ DEFPERIOD |
+ UNCONSTPATH |
+ DEFPATH |
+ PATH2SETUP |
+ UNCONSTPATH2SETUP |
+ PATHCLASS |
+ PATHDELAY |
+ PERIOD |
+ FREQUENCY |
+ PATHBLOCK |
+ OFFSET |
+ OFFSETIN |
+ OFFSETINCLOCK |
+ UNCONSTOFFSETINCLOCK |
+ OFFSETINDELAY |
+ OFFSETINMOD |
+ OFFSETOUT |
+ OFFSETOUTCLOCK |
+ UNCONSTOFFSETOUTCLOCK |
+ OFFSETOUTDELAY |
+ OFFSETOUTMOD| CLOCK_SKEW_LIMITS) #IMPLIED>
+<!ELEMENT twConstHead (twConstName, twItemCnt, twErrCntSetup, twErrCntEndPt?, twErrCntHold,
+ twEndPtCnt?,
+ twPathErrCnt?, (twMinPer| twMaxDel| twMaxFreq| twMaxNetDel| twMaxNetSkew| twMinOff| twMaxOff)*)>
+<!ELEMENT twConstName (#PCDATA)>
+<!ATTLIST twConstName UCFConstName CDATA #IMPLIED>
+<!ATTLIST twConstHead uID CDATA #IMPLIED>
+<!ELEMENT twItemCnt (#PCDATA)>
+<!ELEMENT twErrCnt (#PCDATA)>
+<!ELEMENT twErrCntEndPt (#PCDATA)>
+<!ELEMENT twErrCntSetup (#PCDATA)>
+<!ELEMENT twErrCntHold (#PCDATA)>
+<!ATTLIST twErrCntHold twRaceChecked (TRUE | FALSE) "FALSE">
+<!ELEMENT twEndPtCnt (#PCDATA)>
+<!ELEMENT twPathErrCnt (#PCDATA)>
+<!ELEMENT twMinPer (#PCDATA) >
+<!ELEMENT twFootnote EMPTY>
+<!ATTLIST twFootnote number CDATA #REQUIRED>
+<!ELEMENT twMaxDel (#PCDATA)>
+<!ELEMENT twMaxFreq (#PCDATA)>
+<!ELEMENT twMinOff (#PCDATA)>
+<!ELEMENT twMaxOff (#PCDATA)>
+<!ELEMENT twTIG (twTIGHead, (twPathRpt*,twRacePathRpt?))>
+<!ELEMENT twTIGHead (twTIGName, twInstantiated, twBlocked)>
+<!ELEMENT twTIGName (#PCDATA)>
+<!ELEMENT twInstantiated (#PCDATA)>
+<!ELEMENT twBlocked (#PCDATA)>
+<!ELEMENT twRacePathRpt (twRacePath+)>
+<!ELEMENT twPathRpt (twUnconstPath | twConstPath | twUnconstOffIn | twConstOffIn | twUnconstOffOut | twConstOffOut | twModOffOut)>
+<!ELEMENT twUnconstPath (twTotDel, twSrc, twDest, (twDel, twSUTime)?, twTotPathDel?, twClkSkew?, tw2Phase?, twClkUncert?, twDetPath?)>
+<!ATTLIST twUnconstPath twDataPathType CDATA #IMPLIED
+ twSimpleMinPath CDATA #IMPLIED>
+<!ELEMENT twTotDel (#PCDATA)>
+<!ELEMENT twSrc (#PCDATA)>
+<!ATTLIST twSrc BELType CDATA #IMPLIED>
+<!ELEMENT twDest (#PCDATA)>
+<!ATTLIST twDest BELType CDATA #IMPLIED>
+<!ELEMENT twDel (#PCDATA)>
+<!ELEMENT twSUTime (#PCDATA)>
+<!ELEMENT twTotPathDel (#PCDATA)>
+<!ELEMENT twClkSkew (#PCDATA)>
+<!ATTLIST twClkSkew dest CDATA #IMPLIED src CDATA #IMPLIED>
+<!ELEMENT twConstPath (twSlack, twSrc, twDest, twTotPathDel?, twClkSkew?, twDelConst, tw2Phase?, twClkUncert?, twDetPath?)>
+<!ATTLIST twConstPath twDataPathType CDATA "twDataPathMaxDelay">
+<!ATTLIST twConstPath constType (period | fromto | unknown) "unknown">
+<!ELEMENT twSlack (#PCDATA)>
+<!ELEMENT twDelConst (#PCDATA)>
+<!ELEMENT tw2Phase EMPTY>
+<!ELEMENT twClkUncert (#PCDATA)>
+<!ATTLIST twClkUncert fSysJit CDATA #IMPLIED fInputJit CDATA #IMPLIED
+ fDCMJit CDATA #IMPLIED
+ fPhaseErr CDATA #IMPLIED
+ sEqu CDATA #IMPLIED>
+<!ELEMENT twRacePath (twSlack, twSrc, twDest, twClkSkew, twDelConst?, twClkUncert?, twDetPath)>
+<!ELEMENT twPathRptBanner (#PCDATA)>
+<!ATTLIST twPathRptBanner sType CDATA #IMPLIED iPaths CDATA #IMPLIED iCriticalPaths CDATA #IMPLIED>
+<!ELEMENT twUnconstOffIn (twOff, twSrc, twDest, twGuaranteed?, twClkUncert?, (twDataPath, twClkPath)?)>
+<!ATTLIST twUnconstOffIn twDataPathType CDATA #IMPLIED>
+<!ELEMENT twOff (#PCDATA)>
+<!ELEMENT twGuaranteed EMPTY>
+<!ELEMENT twConstOffIn (twSlack, twSrc, twDest, ((twClkDel, twClkSrc, twClkDest) | twGuarInSetup), twOff, twOffSrc, twOffDest, twClkUncert?, (twDataPath, twClkPath)?)>
+<!ATTLIST twConstOffIn twDataPathType CDATA "twDataPathMaxDelay">
+<!ATTLIST twConstOffIn twDurationNotSpecified CDATA #IMPLIED>
+<!ELEMENT twClkDel (#PCDATA)>
+<!ELEMENT twClkSrc (#PCDATA)>
+<!ELEMENT twClkDest (#PCDATA)>
+<!ELEMENT twGuarInSetup (#PCDATA)>
+<!ELEMENT twOffSrc (#PCDATA)>
+<!ELEMENT twOffDest (#PCDATA)>
+<!ELEMENT twUnconstOffOut (twOff, twSrc, twDest, twClkUncert?, (twClkPath, twDataPath)?)>
+<!ATTLIST twUnconstOffOut twDataPathType CDATA #IMPLIED>
+<!ELEMENT twConstOffOut (twSlack, twSrc, twDest, twClkDel, twClkSrc, twClkDest, twDataDel, twDataSrc, twDataDest, twOff, twOffSrc, twOffDest, twClkUncert?, (twClkPath, twDataPath)?)>
+<!ATTLIST twConstOffOut twDataPathType CDATA "twDataPathMaxDelay">
+<!ELEMENT twDataDel (#PCDATA)>
+<!ELEMENT twDataSrc (#PCDATA)>
+<!ELEMENT twDataDest (#PCDATA)>
+<!ELEMENT twModOffOut (twSlack, twDest, twDataDel, twDataSrc, twDataDest, twClkUncert?, twDataPath?)>
+<!ELEMENT twDetPath (twSrc, twDest, twLogLvls, twSrcSite, twSrcClk?, twPathDel*, (twLogDel, twRouteDel, twTotDel)?, twDestClk?, (twPctLog, twPctRoute)?)>
+<!ATTLIST twDetPath maxSiteLen CDATA #IMPLIED>
+<!ELEMENT twDataPath (twSrc, twDest, twLogLvls, twSrcSite, twSrcClk?, twPathDel*, (twLogDel, twRouteDel, twTotDel)?, twDestClk?, (twPctLog, twPctRoute)?)>
+<!ATTLIST twDataPath maxSiteLen CDATA #IMPLIED>
+<!ELEMENT twClkPath (twSrc, twDest, twLogLvls, twSrcSite, twSrcClk?, twPathDel*, (twLogDel, twRouteDel, twTotDel)?, twDestClk?, (twPctLog, twPctRoute)?)>
+<!ATTLIST twClkPath maxSiteLen CDATA #IMPLIED>
+<!ELEMENT twLogLvls (#PCDATA)>
+<!ELEMENT twSrcSite (#PCDATA)>
+<!ELEMENT twSrcClk (#PCDATA)>
+<!ATTLIST twSrcClk twEdge (twRising | twFalling) "twRising">
+<!ATTLIST twSrcClk twArriveTime CDATA #IMPLIED>
+<!ATTLIST twSrcClk twClkRes CDATA #IMPLIED>
+<!ELEMENT twPathDel (twSite, twDelType, twFanCnt?, twDelInfo?, twComp, twNet?, twBEL*)>
+<!ATTLIST twPathDel twHoldTime (TRUE | FALSE) "FALSE">
+<!ELEMENT twDelInfo (#PCDATA)>
+<!ATTLIST twDelInfo twEdge (twRising | twFalling | twIndet) #REQUIRED>
+<!ATTLIST twDelInfo twAcc (twRouted | twEst | twApprox) "twRouted">
+<!ELEMENT twSite (#PCDATA)>
+<!ELEMENT twDelType (#PCDATA)>
+<!ELEMENT twFanCnt (#PCDATA)>
+<!ELEMENT twComp (#PCDATA)>
+<!ELEMENT twNet (#PCDATA)>
+<!ELEMENT twBEL (#PCDATA)>
+<!ELEMENT twLogDel (#PCDATA)>
+<!ELEMENT twRouteDel (#PCDATA)>
+<!ELEMENT twDestClk (#PCDATA)>
+<!ATTLIST twDestClk twEdge (twRising | twFalling) "twRising">
+<!ATTLIST twDestClk twArriveTime CDATA #IMPLIED>
+<!ATTLIST twDestClk twClkRes CDATA #IMPLIED>
+<!ELEMENT twPctLog (#PCDATA)>
+<!ELEMENT twPctRoute (#PCDATA)>
+<!ELEMENT twNetRpt (twDelNet | twSlackNet | twSkewNet)>
+<!ELEMENT twDelNet (twDel, twNet, twDetNet?)>
+<!ELEMENT twSlackNet (twSlack, twNet, twDel, twNotMet?, twTimeConst, twAbsSlack, twDetNet?)>
+<!ELEMENT twTimeConst (#PCDATA)>
+<!ELEMENT twAbsSlack (#PCDATA)>
+<!ELEMENT twSkewNet (twSlack, twNet, twSkew, twNotMet?, twTimeConst, twAbsSlack, twDetSkewNet?)>
+<!ELEMENT twSkew (#PCDATA)>
+<!ELEMENT twDetNet (twNetDel*)>
+<!ELEMENT twNetDel (twSrc, twDest, twNetDelInfo)>
+<!ELEMENT twNetDelInfo (#PCDATA)>
+<!ATTLIST twNetDelInfo twAcc (twRouted | twEst | twApprox) "twRouted">
+<!ELEMENT twDetSkewNet (twNetSkew*)>
+<!ELEMENT twNetSkew (twSrc, twDest, twNetDelInfo, twSkew)>
+<!ELEMENT twClkSkewLimit EMPTY>
+<!ATTLIST twClkSkewLimit slack CDATA #IMPLIED skew CDATA #IMPLIED arrv1name CDATA #IMPLIED arrv1 CDATA #IMPLIED
+ arrv2name CDATA #IMPLIED arrv2 CDATA #IMPLIED uncert CDATA #IMPLIED>
+<!ELEMENT twConstRollupTable (twConstRollup*)>
+<!ATTLIST twConstRollupTable uID CDATA #IMPLIED>
+<!ELEMENT twConstRollup EMPTY>
+<!ATTLIST twConstRollup name CDATA #IMPLIED fullName CDATA #IMPLIED type CDATA #IMPLIED requirement CDATA #IMPLIED prefType CDATA #IMPLIED actual CDATA #IMPLIED>
+<!ATTLIST twConstRollup actualRollup CDATA #IMPLIED errors CDATA #IMPLIED errorRollup CDATA #IMPLIED items CDATA #IMPLIED itemsRollup CDATA #IMPLIED>
+<!ELEMENT twConstList (twConstListItem)*>
+<!ELEMENT twConstListItem (twConstName, twNotMet?, twReqVal?, twActVal?, twLogLvls?)>
+<!ATTLIST twConstListItem twUnits (twTime | twFreq) "twTime">
+<!ELEMENT twNotMet EMPTY>
+<!ELEMENT twReqVal (#PCDATA)>
+<!ELEMENT twActVal (#PCDATA)>
+<!ELEMENT twConstSummaryTable (twConstStats|twConstSummary)*>
+<!ATTLIST twConstSummaryTable twEmptyConstraints CDATA #IMPLIED>
+<!ELEMENT twConstStats (twConstName)>
+<!ATTLIST twConstStats twUnits (twTime | twFreq) "twTime">
+<!ATTLIST twConstStats twRequired CDATA #IMPLIED>
+<!ATTLIST twConstStats twActual CDATA #IMPLIED>
+<!ATTLIST twConstStats twSlack CDATA #IMPLIED>
+<!ATTLIST twConstStats twLogLvls CDATA #IMPLIED>
+<!ATTLIST twConstStats twErrors CDATA #IMPLIED>
+<!ATTLIST twConstStats twPCFIndex CDATA #IMPLIED>
+<!ATTLIST twConstStats twAbsSlackIndex CDATA #IMPLIED>
+<!ATTLIST twConstStats twTCType CDATA #IMPLIED>
+<!ELEMENT twConstSummary (twConstName, twConstData?, twConstData*)>
+<!ATTLIST twConstSummary PCFIndex CDATA #IMPLIED slackIndex CDATA #IMPLIED>
+<!ELEMENT twConstData EMPTY>
+<!ATTLIST twConstData type CDATA #IMPLIED units (MHz | ns) "ns" slack CDATA #IMPLIED
+ best CDATA #IMPLIED requested CDATA #IMPLIED
+ errors CDATA #IMPLIED
+ score CDATA #IMPLIED>
+<!ELEMENT twTimeGrpRpt (twTimeGrp)*>
+<!ELEMENT twTimeGrp (twTimeGrpName, twCompList?, twBELList?, twMacList?, twBlockList?, twSigList?, twPinList?)>
+<!ELEMENT twTimeGrpName (#PCDATA)>
+<!ELEMENT twCompList (twCompName+)>
+<!ELEMENT twCompName (#PCDATA)>
+<!ELEMENT twSigList (twSigName+)>
+<!ELEMENT twSigName (#PCDATA)>
+<!ELEMENT twBELList (twBELName+)>
+<!ELEMENT twBELName (#PCDATA)>
+<!ELEMENT twBlockList (twBlockName+)>
+<!ELEMENT twBlockName (#PCDATA)>
+<!ELEMENT twMacList (twMacName+)>
+<!ELEMENT twMacName (#PCDATA)>
+<!ELEMENT twPinList (twPinName+)>
+<!ELEMENT twPinName (#PCDATA)>
+<!ELEMENT twUnmetConstCnt (#PCDATA)>
+<!ELEMENT twDataSheet (twSUH2ClkList*, (twClk2PadList|twClk2OutList)*, twClk2SUList*, twPad2PadList?, twOffsetTables?)>
+<!ATTLIST twDataSheet twNameLen CDATA #REQUIRED>
+<!ELEMENT twSUH2ClkList (twDest, twSUH2Clk+)>
+<!ATTLIST twSUH2ClkList twDestWidth CDATA #IMPLIED>
+<!ATTLIST twSUH2ClkList twPhaseWidth CDATA #IMPLIED>
+<!ELEMENT twSUH2Clk (twSrc, twSUHTime, twSUHTime?)>
+<!ELEMENT twSUHTime (twSU2ClkTime?,twH2ClkTime?)>
+<!ATTLIST twSUHTime twInternalClk CDATA #IMPLIED>
+<!ATTLIST twSUHTime twClkPhase CDATA #IMPLIED>
+<!ELEMENT twSU2ClkTime (#PCDATA)>
+<!ATTLIST twSU2ClkTime twEdge (twRising | twFalling | twIndet) #REQUIRED>
+<!ELEMENT twH2ClkTime (#PCDATA)>
+<!ATTLIST twH2ClkTime twEdge (twRising | twFalling | twIndet) #REQUIRED>
+<!ELEMENT twClk2PadList (twSrc, twClk2Pad+)>
+<!ELEMENT twClk2Pad (twDest, twTime)>
+<!ELEMENT twTime (#PCDATA)>
+<!ATTLIST twTime twEdge (twRising | twFalling | twIndet) #REQUIRED>
+<!ELEMENT twClk2OutList (twSrc, twClk2Out+)>
+<!ATTLIST twClk2OutList twDestWidth CDATA #REQUIRED>
+<!ATTLIST twClk2OutList twPhaseWidth CDATA #REQUIRED>
+<!ELEMENT twClk2Out EMPTY>
+<!ATTLIST twClk2Out twOutPad CDATA #REQUIRED>
+<!ATTLIST twClk2Out twMinTime CDATA #REQUIRED>
+<!ATTLIST twClk2Out twMinEdge CDATA #REQUIRED>
+<!ATTLIST twClk2Out twMaxTime CDATA #REQUIRED>
+<!ATTLIST twClk2Out twMaxEdge CDATA #REQUIRED>
+<!ATTLIST twClk2Out twInternalClk CDATA #REQUIRED>
+<!ATTLIST twClk2Out twClkPhase CDATA #REQUIRED>
+<!ELEMENT twClk2SUList (twDest, twClk2SU+)>
+<!ATTLIST twClk2SUList twDestWidth CDATA #IMPLIED>
+<!ELEMENT twClk2SU (twSrc, twRiseRise?, twFallRise?, twRiseFall?, twFallFall?)>
+<!ELEMENT twRiseRise (#PCDATA)>
+<!ELEMENT twFallRise (#PCDATA)>
+<!ELEMENT twRiseFall (#PCDATA)>
+<!ELEMENT twFallFall (#PCDATA)>
+<!ELEMENT twPad2PadList (twPad2Pad+)>
+<!ATTLIST twPad2PadList twSrcWidth CDATA #IMPLIED>
+<!ATTLIST twPad2PadList twDestWidth CDATA #IMPLIED>
+<!ELEMENT twPad2Pad (twSrc, twDest, twDel)>
+<!ELEMENT twOffsetTables (twOffsetInTable*,twOffsetOutTable*)>
+<!ELEMENT twOffsetInTable (twConstName, twOffInTblRow*)>
+<!ATTLIST twOffsetInTable twDestWidth CDATA #IMPLIED>
+<!ATTLIST twOffsetInTable twWorstWindow CDATA #IMPLIED>
+<!ATTLIST twOffsetInTable twWorstSetup CDATA #IMPLIED>
+<!ATTLIST twOffsetInTable twWorstHold CDATA #IMPLIED>
+<!ATTLIST twOffsetInTable twWorstSetupSlack CDATA #IMPLIED>
+<!ATTLIST twOffsetInTable twWorstHoldSlack CDATA #IMPLIED>
+<!ELEMENT twOffsetOutTable (twConstName, twOffOutTblRow*)>
+<!ATTLIST twOffsetOutTable twDestWidth CDATA #IMPLIED>
+<!ATTLIST twOffsetOutTable twMinSlack CDATA #IMPLIED>
+<!ATTLIST twOffsetOutTable twMaxSlack CDATA #IMPLIED>
+<!ATTLIST twOffsetOutTable twRelSkew CDATA #IMPLIED>
+<!ELEMENT twOffInTblRow (twSrc, twSUHSlackTime*)>
+<!ELEMENT twSUHSlackTime (twSU2ClkTime?,twH2ClkTime?)>
+<!ATTLIST twSUHSlackTime twSetupSlack CDATA #IMPLIED twHoldSlack CDATA #IMPLIED>
+<!ELEMENT twOffOutTblRow EMPTY>
+<!ATTLIST twOffOutTblRow twOutPad CDATA #IMPLIED>
+<!ATTLIST twOffOutTblRow twSlack CDATA #IMPLIED>
+<!ATTLIST twOffOutTblRow twRelSkew CDATA #IMPLIED>
+<!ELEMENT twNonDedClks ((twWarn | twInfo), twNonDedClk+)>
+<!ELEMENT twNonDedClk (#PCDATA)>
+<!ELEMENT twSum ( twErrCnt, twScore, twConstCov, twStats)>
+<!ELEMENT twScore (#PCDATA)>
+<!ELEMENT twConstCov (twPathCnt, twNetCnt, twConnCnt, twPct?)>
+<!ELEMENT twPathCnt (#PCDATA)>
+<!ELEMENT twNetCnt (#PCDATA)>
+<!ELEMENT twConnCnt (#PCDATA)>
+<!ELEMENT twPct (#PCDATA)>
+<!ELEMENT twStats ( twMinPer?, twFootnote?, twMaxFreq?, twMaxCombDel?, twMaxFromToDel?, twMaxNetDel?, twMaxNetSkew?, twMaxInAfterClk?, twMinInBeforeClk?, twMaxOutBeforeClk?, twMinOutAfterClk?, (twInfo | twWarn)*)>
+<!ELEMENT twMaxCombDel (#PCDATA)>
+<!ELEMENT twMaxFromToDel (#PCDATA)>
+<!ELEMENT twMaxNetDel (#PCDATA)>
+<!ELEMENT twMaxNetSkew (#PCDATA)>
+<!ELEMENT twMaxInAfterClk (#PCDATA)>
+<!ELEMENT twMinInBeforeClk (#PCDATA)>
+<!ELEMENT twMaxOutBeforeClk (#PCDATA)>
+<!ELEMENT twMinOutAfterClk (#PCDATA)>
+<!ELEMENT twFoot (twFootnoteExplanation*, twTimestamp)>
+<!ELEMENT twTimestamp (#PCDATA)>
+<!ELEMENT twFootnoteExplanation EMPTY>
+<!ATTLIST twFootnoteExplanation number CDATA #REQUIRED>
+<!ATTLIST twFootnoteExplanation text CDATA #REQUIRED>
+<!ELEMENT twClientInfo (twClientName, twAttrList?)>
+<!ELEMENT twClientName (#PCDATA)>
+<!ELEMENT twAttrList (twAttrListItem)*>
+<!ELEMENT twAttrListItem (twName, twValue*)>
+<!ELEMENT twName (#PCDATA)>
+<!ELEMENT twValue (#PCDATA)>
+]>
+<twReport><twBody><twSumRpt><twConstSummaryTable><twConstSummary><twConstName UCFConstName="" ScopeName="">NET &quot;CLK_BUFGP/IBUFG&quot; PERIOD = 31.25 ns HIGH 50%</twConstName><twConstData type="SETUP" slack="27.555" best="3.695" units="ns" errors="0" score="0"/><twConstData type="HOLD" slack="0.418" units="ns" errors="0" score="0"/></twConstSummary></twConstSummaryTable><twUnmetConstCnt anchorID="4">0</twUnmetConstCnt></twSumRpt></twBody></twReport>
diff --git a/led.stx b/led.stx
new file mode 100644
index 0000000..e69de29
--- /dev/null
+++ b/led.stx
diff --git a/led.syr b/led.syr
index 5e4ba5c..96c59cf 100644
--- a/led.syr
+++ b/led.syr
@@ -5,7 +5,7 @@ Parameter TMPDIR set to xst/projnav.tmp
Total REAL time to Xst completion: 0.00 secs
-Total CPU time to Xst completion: 0.03 secs
+Total CPU time to Xst completion: 0.02 secs
-->
Parameter xsthdpdir set to xst
@@ -19,19 +19,24 @@ Reading design: led.prj
TABLE OF CONTENTS
1) Synthesis Options Summary
- 2) HDL Compilation
- 3) Design Hierarchy Analysis
- 4) HDL Analysis
- 5) HDL Synthesis
- 5.1) HDL Synthesis Report
- 6) Advanced HDL Synthesis
- 6.1) Advanced HDL Synthesis Report
- 7) Low Level Synthesis
- 8) Partition Report
- 9) Final Report
- 9.1) Device utilization summary
- 9.2) Partition Resource Summary
- 9.3) TIMING REPORT
+ 2) HDL Parsing
+ 3) HDL Elaboration
+ 4) HDL Synthesis
+ 4.1) HDL Synthesis Report
+ 5) Advanced HDL Synthesis
+ 5.1) Advanced HDL Synthesis Report
+ 6) Low Level Synthesis
+ 7) Partition Report
+ 8) Design Summary
+ 8.1) Primitive and Black Box Usage
+ 8.2) Device utilization summary
+ 8.3) Partition Resource Summary
+ 8.4) Timing Report
+ 8.4.1) Clock Information
+ 8.4.2) Asynchronous Control Signals Information
+ 8.4.3) Timing Summary
+ 8.4.4) Timing Details
+ 8.4.5) Cross Clock Domains Report
=========================================================================
@@ -39,13 +44,12 @@ TABLE OF CONTENTS
=========================================================================
---- Source Parameters
Input File Name : "led.prj"
-Input Format : mixed
Ignore Synthesis Constraint File : NO
---- Target Parameters
Output File Name : "led"
Output Format : NGC
-Target Device : xc3s250e-4-vq100
+Target Device : xc6slx9-2-tqg144
---- Source Options
Top Module Name : led
@@ -56,35 +60,32 @@ FSM Style : LUT
RAM Extraction : Yes
RAM Style : Auto
ROM Extraction : Yes
-Mux Style : Auto
-Decoder Extraction : YES
-Priority Encoder Extraction : Yes
Shift Register Extraction : YES
-Logical Shifter Extraction : YES
-XOR Collapsing : YES
ROM Style : Auto
-Mux Extraction : Yes
Resource Sharing : YES
Asynchronous To Synchronous : NO
-Multiplier Style : Auto
+Shift Register Minimum Size : 2
+Use DSP Block : Auto
Automatic Register Balancing : No
---- Target Options
+LUT Combining : Auto
+Reduce Control Sets : Auto
Add IO Buffers : YES
-Global Maximum Fanout : 500
-Add Generic Clock Buffer(BUFG) : 24
+Global Maximum Fanout : 100000
+Add Generic Clock Buffer(BUFG) : 16
Register Duplication : YES
-Slice Packing : YES
Optimize Instantiated Primitives : NO
-Use Clock Enable : Yes
-Use Synchronous Set : Yes
-Use Synchronous Reset : Yes
+Use Clock Enable : Auto
+Use Synchronous Set : Auto
+Use Synchronous Reset : Auto
Pack IO Registers into IOBs : Auto
Equivalent register Removal : YES
---- General Options
Optimization Goal : Speed
Optimization Effort : 1
+Power Reduction : NO
Keep Hierarchy : No
Netlist Hierarchy : As_Optimized
RTL Output : Yes
@@ -97,7 +98,7 @@ Bus Delimiter : <>
Case Specifier : Maintain
Slice Utilization Ratio : 100
BRAM Utilization Ratio : 100
-Verilog 2001 : YES
+DSP48 Utilization Ratio : 100
Auto BRAM Packing : NO
Slice Utilization Ratio Delta : 5
@@ -105,24 +106,275 @@ Slice Utilization Ratio Delta : 5
=========================================================================
-* HDL Compilation *
+* HDL Parsing *
+=========================================================================
+Analyzing Verilog file "/home/yannherklotz/Github/FPGA-led-lights/led.v" into library work
+Parsing module <led>.
+
+=========================================================================
+* HDL Elaboration *
+=========================================================================
+
+Elaborating module <led>.
+
+=========================================================================
+* HDL Synthesis *
+=========================================================================
+
+Synthesizing Unit <led>.
+ Related source file is "/home/yannherklotz/Github/FPGA-led-lights/led.v".
+ Found 27-bit register for signal <count>.
+ Found 1-bit register for signal <LED1>.
+ Found 27-bit subtractor for signal <count[26]_GND_1_o_sub_3_OUT> created at line 41.
+ Summary:
+ inferred 1 Adder/Subtractor(s).
+ inferred 28 D-type flip-flop(s).
+Unit <led> synthesized.
+
+=========================================================================
+HDL Synthesis Report
+
+Macro Statistics
+# Adders/Subtractors : 1
+ 27-bit subtractor : 1
+# Registers : 2
+ 1-bit register : 1
+ 27-bit register : 1
+
+=========================================================================
+
+=========================================================================
+* Advanced HDL Synthesis *
+=========================================================================
+
+
+Synthesizing (advanced) Unit <led>.
+The following registers are absorbed into counter <count>: 1 register on signal <count>.
+Unit <led> synthesized (advanced).
+
+=========================================================================
+Advanced HDL Synthesis Report
+
+Macro Statistics
+# Counters : 1
+ 27-bit down counter : 1
+# Registers : 1
+ Flip-Flops : 1
+
+=========================================================================
+
+=========================================================================
+* Low Level Synthesis *
+=========================================================================
+
+Optimizing unit <led> ...
+WARNING:Xst:1293 - FF/Latch <count_26> has a constant value of 0 in block <led>. This FF/Latch will be trimmed during the optimization process.
+WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch <count_24> has a constant value of 0 in block <led>. This FF/Latch will be trimmed during the optimization process.
+WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch <count_25> has a constant value of 0 in block <led>. This FF/Latch will be trimmed during the optimization process.
+
+Mapping all equations...
+Building and optimizing final netlist ...
+Found area constraint ratio of 100 (+ 5) on block led, actual ratio is 0.
+
+Final Macro Processing ...
+
+=========================================================================
+Final Register Report
+
+Macro Statistics
+# Registers : 25
+ Flip-Flops : 25
+
+=========================================================================
+
+=========================================================================
+* Partition Report *
=========================================================================
-Compiling verilog file "led.v" in library work
-Module <led> compiled
-No errors in compilation
-Analysis of file <"led.prj"> succeeded.
-
+
+Partition Implementation Status
+-------------------------------
+
+ No Partitions were found in this design.
+
+-------------------------------
=========================================================================
-* Design Hierarchy Analysis *
+* Design Summary *
+=========================================================================
+
+Top Level Output File Name : led.ngc
+
+Primitive and Black Box Usage:
+------------------------------
+# BELS : 106
+# GND : 1
+# INV : 23
+# LUT1 : 1
+# LUT5 : 25
+# LUT6 : 8
+# MUXCY : 23
+# VCC : 1
+# XORCY : 24
+# FlipFlops/Latches : 25
+# FD : 25
+# Clock Buffers : 1
+# BUFGP : 1
+# IO Buffers : 1
+# OBUF : 1
+
+Device utilization summary:
+---------------------------
+
+Selected Device : 6slx9tqg144-2
+
+
+Slice Logic Utilization:
+ Number of Slice Registers: 25 out of 11440 0%
+ Number of Slice LUTs: 57 out of 5720 0%
+ Number used as Logic: 57 out of 5720 0%
+
+Slice Logic Distribution:
+ Number of LUT Flip Flop pairs used: 57
+ Number with an unused Flip Flop: 32 out of 57 56%
+ Number with an unused LUT: 0 out of 57 0%
+ Number of fully used LUT-FF pairs: 25 out of 57 43%
+ Number of unique control sets: 1
+
+IO Utilization:
+ Number of IOs: 2
+ Number of bonded IOBs: 2 out of 102 1%
+
+Specific Feature Utilization:
+ Number of BUFG/BUFGCTRLs: 1 out of 16 6%
+
+---------------------------
+Partition Resource Summary:
+---------------------------
+
+ No Partitions were found in this design.
+
+---------------------------
+
+
=========================================================================
-ERROR:Xst - "led.v" line 21: Module <led> has no port.
+Timing Report
+
+NOTE: THESE TIMING NUMBERS ARE ONLY A SYNTHESIS ESTIMATE.
+ FOR ACCURATE TIMING INFORMATION PLEASE REFER TO THE TRACE REPORT
+ GENERATED AFTER PLACE-and-ROUTE.
+
+Clock Information:
+------------------
+-----------------------------------+------------------------+-------+
+Clock Signal | Clock buffer(FF name) | Load |
+-----------------------------------+------------------------+-------+
+CLK | BUFGP | 25 |
+-----------------------------------+------------------------+-------+
+
+Asynchronous Control Signals Information:
+----------------------------------------
+No asynchronous control signals found in this design
+
+Timing Summary:
+---------------
+Speed Grade: -2
+
+ Minimum period: 3.825ns (Maximum Frequency: 261.472MHz)
+ Minimum input arrival time before clock: No path found
+ Maximum output required time after clock: 4.162ns
+ Maximum combinational path delay: No path found
+
+Timing Details:
+---------------
+All values displayed in nanoseconds (ns)
+
+=========================================================================
+Timing constraint: Default period analysis for Clock 'CLK'
+ Clock period: 3.825ns (frequency: 261.472MHz)
+ Total number of paths / destination ports: 901 / 25
+-------------------------------------------------------------------------
+Delay: 3.825ns (Levels of Logic = 22)
+ Source: count_0 (FF)
+ Destination: count_19 (FF)
+ Source Clock: CLK rising
+ Destination Clock: CLK rising
+
+ Data Path: count_0 to count_19
+ Gate Net
+ Cell:in->out fanout Delay Delay Logical Name (Net Name)
+ ---------------------------------------- ------------
+ FD:C->Q 3 0.525 0.766 count_0 (count_0)
+ LUT1:I0->O 1 0.254 0.000 Mcount_count_cy<0>_rt (Mcount_count_cy<0>_rt)
+ MUXCY:S->O 1 0.215 0.000 Mcount_count_cy<0> (Mcount_count_cy<0>)
+ MUXCY:CI->O 1 0.023 0.000 Mcount_count_cy<1> (Mcount_count_cy<1>)
+ MUXCY:CI->O 1 0.023 0.000 Mcount_count_cy<2> (Mcount_count_cy<2>)
+ MUXCY:CI->O 1 0.023 0.000 Mcount_count_cy<3> (Mcount_count_cy<3>)
+ MUXCY:CI->O 1 0.023 0.000 Mcount_count_cy<4> (Mcount_count_cy<4>)
+ MUXCY:CI->O 1 0.023 0.000 Mcount_count_cy<5> (Mcount_count_cy<5>)
+ MUXCY:CI->O 1 0.023 0.000 Mcount_count_cy<6> (Mcount_count_cy<6>)
+ MUXCY:CI->O 1 0.023 0.000 Mcount_count_cy<7> (Mcount_count_cy<7>)
+ MUXCY:CI->O 1 0.023 0.000 Mcount_count_cy<8> (Mcount_count_cy<8>)
+ MUXCY:CI->O 1 0.023 0.000 Mcount_count_cy<9> (Mcount_count_cy<9>)
+ MUXCY:CI->O 1 0.023 0.000 Mcount_count_cy<10> (Mcount_count_cy<10>)
+ MUXCY:CI->O 1 0.023 0.000 Mcount_count_cy<11> (Mcount_count_cy<11>)
+ MUXCY:CI->O 1 0.023 0.000 Mcount_count_cy<12> (Mcount_count_cy<12>)
+ MUXCY:CI->O 1 0.023 0.000 Mcount_count_cy<13> (Mcount_count_cy<13>)
+ MUXCY:CI->O 1 0.023 0.000 Mcount_count_cy<14> (Mcount_count_cy<14>)
+ MUXCY:CI->O 1 0.023 0.000 Mcount_count_cy<15> (Mcount_count_cy<15>)
+ MUXCY:CI->O 1 0.023 0.000 Mcount_count_cy<16> (Mcount_count_cy<16>)
+ MUXCY:CI->O 1 0.023 0.000 Mcount_count_cy<17> (Mcount_count_cy<17>)
+ MUXCY:CI->O 1 0.023 0.000 Mcount_count_cy<18> (Mcount_count_cy<18>)
+ XORCY:CI->O 1 0.206 1.112 Mcount_count_xor<19> (Result<19>)
+ LUT5:I0->O 1 0.254 0.000 count_19_rstpot (count_19_rstpot)
+ FD:D 0.074 count_19
+ ----------------------------------------
+ Total 3.825ns (1.947ns logic, 1.878ns route)
+ (50.9% logic, 49.1% route)
+
+=========================================================================
+Timing constraint: Default OFFSET OUT AFTER for Clock 'CLK'
+ Total number of paths / destination ports: 1 / 1
+-------------------------------------------------------------------------
+Offset: 4.162ns (Levels of Logic = 1)
+ Source: LED1 (FF)
+ Destination: LED1 (PAD)
+ Source Clock: CLK rising
+
+ Data Path: LED1 to LED1
+ Gate Net
+ Cell:in->out fanout Delay Delay Logical Name (Net Name)
+ ---------------------------------------- ------------
+ FD:C->Q 2 0.525 0.725 LED1 (LED1_OBUF)
+ OBUF:I->O 2.912 LED1_OBUF (LED1)
+ ----------------------------------------
+ Total 4.162ns (3.437ns logic, 0.725ns route)
+ (82.6% logic, 17.4% route)
+
+=========================================================================
+
+Cross Clock Domains Report:
+--------------------------
+
+Clock to Setup on destination clock CLK
+---------------+---------+---------+---------+---------+
+ | Src:Rise| Src:Fall| Src:Rise| Src:Fall|
+Source Clock |Dest:Rise|Dest:Rise|Dest:Fall|Dest:Fall|
+---------------+---------+---------+---------+---------+
+CLK | 3.825| | | |
+---------------+---------+---------+---------+---------+
+
+=========================================================================
+
+
+Total REAL time to Xst completion: 4.00 secs
+Total CPU time to Xst completion: 4.11 secs
+
-->
-Total memory usage is 497212 kilobytes
+Total memory usage is 382892 kilobytes
-Number of errors : 1 ( 0 filtered)
-Number of warnings : 0 ( 0 filtered)
+Number of errors : 0 ( 0 filtered)
+Number of warnings : 3 ( 0 filtered)
Number of infos : 0 ( 0 filtered)
diff --git a/led.twr b/led.twr
new file mode 100644
index 0000000..e2916b0
--- /dev/null
+++ b/led.twr
@@ -0,0 +1,670 @@
+--------------------------------------------------------------------------------
+Release 14.7 Trace (lin64)
+Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved.
+
+/opt/Xilinx/14.7/ISE_DS/ISE/bin/lin64/unwrapped/trce -intstyle ise -v 3 -s 2 -n
+3 -fastpaths -xml led.twx led.ncd -o led.twr led.pcf -ucf
+BPC3011-Papilio_Pro-general.ucf
+
+Design file: led.ncd
+Physical constraint file: led.pcf
+Device,package,speed: xc6slx9,tqg144,C,-2 (PRODUCTION 1.23 2013-10-13)
+Report level: verbose report
+
+Environment Variable Effect
+-------------------- ------
+NONE No environment variables were set
+--------------------------------------------------------------------------------
+
+INFO:Timing:3412 - To improve timing, see the Timing Closure User Guide (UG612).
+INFO:Timing:2752 - To get complete path coverage, use the unconstrained paths
+ option. All paths that are not constrained will be reported in the
+ unconstrained paths section(s) of the report.
+INFO:Timing:3339 - The clock-to-out numbers in this timing report are based on
+ a 50 Ohm transmission line loading model. For the details of this model,
+ and for more information on accounting for different loading conditions,
+ please see the device datasheet.
+
+================================================================================
+Timing constraint: NET "CLK_BUFGP/IBUFG" PERIOD = 31.25 ns HIGH 50%;
+For more information, see Period Analysis in the Timing Closure User Guide (UG612).
+
+ 901 paths analyzed, 125 endpoints analyzed, 0 failing endpoints
+ 0 timing errors detected. (0 setup errors, 0 hold errors, 0 component switching limit errors)
+ Minimum period is 3.695ns.
+--------------------------------------------------------------------------------
+
+Paths for end point count_1 (SLICE_X13Y38.B1), 6 paths
+--------------------------------------------------------------------------------
+Slack (setup path): 27.555ns (requirement - (data path - clock path skew + uncertainty))
+ Source: count_9 (FF)
+ Destination: count_1 (FF)
+ Requirement: 31.250ns
+ Data Path Delay: 3.645ns (Levels of Logic = 2)
+ Clock Path Skew: -0.015ns (0.285 - 0.300)
+ Source Clock: CLK_BUFGP rising at 0.000ns
+ Destination Clock: CLK_BUFGP rising at 31.250ns
+ Clock Uncertainty: 0.035ns
+
+ Clock Uncertainty: 0.035ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE
+ Total System Jitter (TSJ): 0.070ns
+ Total Input Jitter (TIJ): 0.000ns
+ Discrete Jitter (DJ): 0.000ns
+ Phase Error (PE): 0.000ns
+
+ Maximum Data Path at Slow Process Corner: count_9 to count_1
+ Location Delay type Delay(ns) Physical Resource
+ Logical Resource(s)
+ ------------------------------------------------- -------------------
+ SLICE_X13Y40.BQ Tcko 0.430 count<11>
+ count_9
+ SLICE_X14Y41.B3 net (fanout=3) 1.341 count<9>
+ SLICE_X14Y41.B Tilo 0.235 LED1_OBUF
+ count[26]_GND_1_o_equal_2_o<26>4
+ SLICE_X13Y38.B1 net (fanout=19) 1.266 count[26]_GND_1_o_equal_2_o<26>3
+ SLICE_X13Y38.CLK Tas 0.373 count<3>
+ count_1_rstpot
+ count_1
+ ------------------------------------------------- ---------------------------
+ Total 3.645ns (1.038ns logic, 2.607ns route)
+ (28.5% logic, 71.5% route)
+
+--------------------------------------------------------------------------------
+Slack (setup path): 27.760ns (requirement - (data path - clock path skew + uncertainty))
+ Source: count_10 (FF)
+ Destination: count_1 (FF)
+ Requirement: 31.250ns
+ Data Path Delay: 3.440ns (Levels of Logic = 2)
+ Clock Path Skew: -0.015ns (0.285 - 0.300)
+ Source Clock: CLK_BUFGP rising at 0.000ns
+ Destination Clock: CLK_BUFGP rising at 31.250ns
+ Clock Uncertainty: 0.035ns
+
+ Clock Uncertainty: 0.035ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE
+ Total System Jitter (TSJ): 0.070ns
+ Total Input Jitter (TIJ): 0.000ns
+ Discrete Jitter (DJ): 0.000ns
+ Phase Error (PE): 0.000ns
+
+ Maximum Data Path at Slow Process Corner: count_10 to count_1
+ Location Delay type Delay(ns) Physical Resource
+ Logical Resource(s)
+ ------------------------------------------------- -------------------
+ SLICE_X13Y40.CQ Tcko 0.430 count<11>
+ count_10
+ SLICE_X14Y41.B1 net (fanout=3) 1.136 count<10>
+ SLICE_X14Y41.B Tilo 0.235 LED1_OBUF
+ count[26]_GND_1_o_equal_2_o<26>4
+ SLICE_X13Y38.B1 net (fanout=19) 1.266 count[26]_GND_1_o_equal_2_o<26>3
+ SLICE_X13Y38.CLK Tas 0.373 count<3>
+ count_1_rstpot
+ count_1
+ ------------------------------------------------- ---------------------------
+ Total 3.440ns (1.038ns logic, 2.402ns route)
+ (30.2% logic, 69.8% route)
+
+--------------------------------------------------------------------------------
+Slack (setup path): 27.948ns (requirement - (data path - clock path skew + uncertainty))
+ Source: count_11 (FF)
+ Destination: count_1 (FF)
+ Requirement: 31.250ns
+ Data Path Delay: 3.252ns (Levels of Logic = 2)
+ Clock Path Skew: -0.015ns (0.285 - 0.300)
+ Source Clock: CLK_BUFGP rising at 0.000ns
+ Destination Clock: CLK_BUFGP rising at 31.250ns
+ Clock Uncertainty: 0.035ns
+
+ Clock Uncertainty: 0.035ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE
+ Total System Jitter (TSJ): 0.070ns
+ Total Input Jitter (TIJ): 0.000ns
+ Discrete Jitter (DJ): 0.000ns
+ Phase Error (PE): 0.000ns
+
+ Maximum Data Path at Slow Process Corner: count_11 to count_1
+ Location Delay type Delay(ns) Physical Resource
+ Logical Resource(s)
+ ------------------------------------------------- -------------------
+ SLICE_X13Y40.DQ Tcko 0.430 count<11>
+ count_11
+ SLICE_X14Y41.B2 net (fanout=3) 0.948 count<11>
+ SLICE_X14Y41.B Tilo 0.235 LED1_OBUF
+ count[26]_GND_1_o_equal_2_o<26>4
+ SLICE_X13Y38.B1 net (fanout=19) 1.266 count[26]_GND_1_o_equal_2_o<26>3
+ SLICE_X13Y38.CLK Tas 0.373 count<3>
+ count_1_rstpot
+ count_1
+ ------------------------------------------------- ---------------------------
+ Total 3.252ns (1.038ns logic, 2.214ns route)
+ (31.9% logic, 68.1% route)
+
+--------------------------------------------------------------------------------
+
+Paths for end point count_7 (SLICE_X13Y39.D2), 6 paths
+--------------------------------------------------------------------------------
+Slack (setup path): 27.560ns (requirement - (data path - clock path skew + uncertainty))
+ Source: count_9 (FF)
+ Destination: count_7 (FF)
+ Requirement: 31.250ns
+ Data Path Delay: 3.638ns (Levels of Logic = 2)
+ Clock Path Skew: -0.017ns (0.283 - 0.300)
+ Source Clock: CLK_BUFGP rising at 0.000ns
+ Destination Clock: CLK_BUFGP rising at 31.250ns
+ Clock Uncertainty: 0.035ns
+
+ Clock Uncertainty: 0.035ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE
+ Total System Jitter (TSJ): 0.070ns
+ Total Input Jitter (TIJ): 0.000ns
+ Discrete Jitter (DJ): 0.000ns
+ Phase Error (PE): 0.000ns
+
+ Maximum Data Path at Slow Process Corner: count_9 to count_7
+ Location Delay type Delay(ns) Physical Resource
+ Logical Resource(s)
+ ------------------------------------------------- -------------------
+ SLICE_X13Y40.BQ Tcko 0.430 count<11>
+ count_9
+ SLICE_X14Y41.B3 net (fanout=3) 1.341 count<9>
+ SLICE_X14Y41.B Tilo 0.235 LED1_OBUF
+ count[26]_GND_1_o_equal_2_o<26>4
+ SLICE_X13Y39.D2 net (fanout=19) 1.259 count[26]_GND_1_o_equal_2_o<26>3
+ SLICE_X13Y39.CLK Tas 0.373 count<7>
+ count_7_rstpot
+ count_7
+ ------------------------------------------------- ---------------------------
+ Total 3.638ns (1.038ns logic, 2.600ns route)
+ (28.5% logic, 71.5% route)
+
+--------------------------------------------------------------------------------
+Slack (setup path): 27.765ns (requirement - (data path - clock path skew + uncertainty))
+ Source: count_10 (FF)
+ Destination: count_7 (FF)
+ Requirement: 31.250ns
+ Data Path Delay: 3.433ns (Levels of Logic = 2)
+ Clock Path Skew: -0.017ns (0.283 - 0.300)
+ Source Clock: CLK_BUFGP rising at 0.000ns
+ Destination Clock: CLK_BUFGP rising at 31.250ns
+ Clock Uncertainty: 0.035ns
+
+ Clock Uncertainty: 0.035ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE
+ Total System Jitter (TSJ): 0.070ns
+ Total Input Jitter (TIJ): 0.000ns
+ Discrete Jitter (DJ): 0.000ns
+ Phase Error (PE): 0.000ns
+
+ Maximum Data Path at Slow Process Corner: count_10 to count_7
+ Location Delay type Delay(ns) Physical Resource
+ Logical Resource(s)
+ ------------------------------------------------- -------------------
+ SLICE_X13Y40.CQ Tcko 0.430 count<11>
+ count_10
+ SLICE_X14Y41.B1 net (fanout=3) 1.136 count<10>
+ SLICE_X14Y41.B Tilo 0.235 LED1_OBUF
+ count[26]_GND_1_o_equal_2_o<26>4
+ SLICE_X13Y39.D2 net (fanout=19) 1.259 count[26]_GND_1_o_equal_2_o<26>3
+ SLICE_X13Y39.CLK Tas 0.373 count<7>
+ count_7_rstpot
+ count_7
+ ------------------------------------------------- ---------------------------
+ Total 3.433ns (1.038ns logic, 2.395ns route)
+ (30.2% logic, 69.8% route)
+
+--------------------------------------------------------------------------------
+Slack (setup path): 27.953ns (requirement - (data path - clock path skew + uncertainty))
+ Source: count_11 (FF)
+ Destination: count_7 (FF)
+ Requirement: 31.250ns
+ Data Path Delay: 3.245ns (Levels of Logic = 2)
+ Clock Path Skew: -0.017ns (0.283 - 0.300)
+ Source Clock: CLK_BUFGP rising at 0.000ns
+ Destination Clock: CLK_BUFGP rising at 31.250ns
+ Clock Uncertainty: 0.035ns
+
+ Clock Uncertainty: 0.035ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE
+ Total System Jitter (TSJ): 0.070ns
+ Total Input Jitter (TIJ): 0.000ns
+ Discrete Jitter (DJ): 0.000ns
+ Phase Error (PE): 0.000ns
+
+ Maximum Data Path at Slow Process Corner: count_11 to count_7
+ Location Delay type Delay(ns) Physical Resource
+ Logical Resource(s)
+ ------------------------------------------------- -------------------
+ SLICE_X13Y40.DQ Tcko 0.430 count<11>
+ count_11
+ SLICE_X14Y41.B2 net (fanout=3) 0.948 count<11>
+ SLICE_X14Y41.B Tilo 0.235 LED1_OBUF
+ count[26]_GND_1_o_equal_2_o<26>4
+ SLICE_X13Y39.D2 net (fanout=19) 1.259 count[26]_GND_1_o_equal_2_o<26>3
+ SLICE_X13Y39.CLK Tas 0.373 count<7>
+ count_7_rstpot
+ count_7
+ ------------------------------------------------- ---------------------------
+ Total 3.245ns (1.038ns logic, 2.207ns route)
+ (32.0% logic, 68.0% route)
+
+--------------------------------------------------------------------------------
+
+Paths for end point count_23 (SLICE_X13Y41.A1), 24 paths
+--------------------------------------------------------------------------------
+Slack (setup path): 27.618ns (requirement - (data path - clock path skew + uncertainty))
+ Source: count_1 (FF)
+ Destination: count_23 (FF)
+ Requirement: 31.250ns
+ Data Path Delay: 3.580ns (Levels of Logic = 7)
+ Clock Path Skew: -0.017ns (0.285 - 0.302)
+ Source Clock: CLK_BUFGP rising at 0.000ns
+ Destination Clock: CLK_BUFGP rising at 31.250ns
+ Clock Uncertainty: 0.035ns
+
+ Clock Uncertainty: 0.035ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE
+ Total System Jitter (TSJ): 0.070ns
+ Total Input Jitter (TIJ): 0.000ns
+ Discrete Jitter (DJ): 0.000ns
+ Phase Error (PE): 0.000ns
+
+ Maximum Data Path at Slow Process Corner: count_1 to count_23
+ Location Delay type Delay(ns) Physical Resource
+ Logical Resource(s)
+ ------------------------------------------------- -------------------
+ SLICE_X13Y38.BQ Tcko 0.430 count<3>
+ count_1
+ SLICE_X12Y38.B1 net (fanout=3) 0.730 count<1>
+ SLICE_X12Y38.COUT Topcyb 0.483 Mcount_count_cy<3>
+ Mcount_count_lut<1>_INV_0
+ Mcount_count_cy<3>
+ SLICE_X12Y39.CIN net (fanout=1) 0.003 Mcount_count_cy<3>
+ SLICE_X12Y39.COUT Tbyp 0.093 Mcount_count_cy<7>
+ Mcount_count_cy<7>
+ SLICE_X12Y40.CIN net (fanout=1) 0.082 Mcount_count_cy<7>
+ SLICE_X12Y40.COUT Tbyp 0.093 Mcount_count_cy<11>
+ Mcount_count_cy<11>
+ SLICE_X12Y41.CIN net (fanout=1) 0.003 Mcount_count_cy<11>
+ SLICE_X12Y41.COUT Tbyp 0.093 Mcount_count_cy<15>
+ Mcount_count_cy<15>
+ SLICE_X12Y42.CIN net (fanout=1) 0.003 Mcount_count_cy<15>
+ SLICE_X12Y42.COUT Tbyp 0.093 Mcount_count_cy<19>
+ Mcount_count_cy<19>
+ SLICE_X12Y43.CIN net (fanout=1) 0.003 Mcount_count_cy<19>
+ SLICE_X12Y43.DMUX Tcind 0.320 Result<23>
+ Mcount_count_xor<23>
+ SLICE_X13Y41.A1 net (fanout=1) 0.778 Result<23>
+ SLICE_X13Y41.CLK Tas 0.373 count<23>
+ count_23_rstpot
+ count_23
+ ------------------------------------------------- ---------------------------
+ Total 3.580ns (1.978ns logic, 1.602ns route)
+ (55.3% logic, 44.7% route)
+
+--------------------------------------------------------------------------------
+Slack (setup path): 27.628ns (requirement - (data path - clock path skew + uncertainty))
+ Source: count_0 (FF)
+ Destination: count_23 (FF)
+ Requirement: 31.250ns
+ Data Path Delay: 3.570ns (Levels of Logic = 7)
+ Clock Path Skew: -0.017ns (0.285 - 0.302)
+ Source Clock: CLK_BUFGP rising at 0.000ns
+ Destination Clock: CLK_BUFGP rising at 31.250ns
+ Clock Uncertainty: 0.035ns
+
+ Clock Uncertainty: 0.035ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE
+ Total System Jitter (TSJ): 0.070ns
+ Total Input Jitter (TIJ): 0.000ns
+ Discrete Jitter (DJ): 0.000ns
+ Phase Error (PE): 0.000ns
+
+ Maximum Data Path at Slow Process Corner: count_0 to count_23
+ Location Delay type Delay(ns) Physical Resource
+ Logical Resource(s)
+ ------------------------------------------------- -------------------
+ SLICE_X13Y38.AQ Tcko 0.430 count<3>
+ count_0
+ SLICE_X12Y38.A2 net (fanout=3) 0.729 count<0>
+ SLICE_X12Y38.COUT Topcya 0.474 Mcount_count_cy<3>
+ count<0>_rt
+ Mcount_count_cy<3>
+ SLICE_X12Y39.CIN net (fanout=1) 0.003 Mcount_count_cy<3>
+ SLICE_X12Y39.COUT Tbyp 0.093 Mcount_count_cy<7>
+ Mcount_count_cy<7>
+ SLICE_X12Y40.CIN net (fanout=1) 0.082 Mcount_count_cy<7>
+ SLICE_X12Y40.COUT Tbyp 0.093 Mcount_count_cy<11>
+ Mcount_count_cy<11>
+ SLICE_X12Y41.CIN net (fanout=1) 0.003 Mcount_count_cy<11>
+ SLICE_X12Y41.COUT Tbyp 0.093 Mcount_count_cy<15>
+ Mcount_count_cy<15>
+ SLICE_X12Y42.CIN net (fanout=1) 0.003 Mcount_count_cy<15>
+ SLICE_X12Y42.COUT Tbyp 0.093 Mcount_count_cy<19>
+ Mcount_count_cy<19>
+ SLICE_X12Y43.CIN net (fanout=1) 0.003 Mcount_count_cy<19>
+ SLICE_X12Y43.DMUX Tcind 0.320 Result<23>
+ Mcount_count_xor<23>
+ SLICE_X13Y41.A1 net (fanout=1) 0.778 Result<23>
+ SLICE_X13Y41.CLK Tas 0.373 count<23>
+ count_23_rstpot
+ count_23
+ ------------------------------------------------- ---------------------------
+ Total 3.570ns (1.969ns logic, 1.601ns route)
+ (55.2% logic, 44.8% route)
+
+--------------------------------------------------------------------------------
+Slack (setup path): 27.639ns (requirement - (data path - clock path skew + uncertainty))
+ Source: count_5 (FF)
+ Destination: count_23 (FF)
+ Requirement: 31.250ns
+ Data Path Delay: 3.561ns (Levels of Logic = 6)
+ Clock Path Skew: -0.015ns (0.285 - 0.300)
+ Source Clock: CLK_BUFGP rising at 0.000ns
+ Destination Clock: CLK_BUFGP rising at 31.250ns
+ Clock Uncertainty: 0.035ns
+
+ Clock Uncertainty: 0.035ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE
+ Total System Jitter (TSJ): 0.070ns
+ Total Input Jitter (TIJ): 0.000ns
+ Discrete Jitter (DJ): 0.000ns
+ Phase Error (PE): 0.000ns
+
+ Maximum Data Path at Slow Process Corner: count_5 to count_23
+ Location Delay type Delay(ns) Physical Resource
+ Logical Resource(s)
+ ------------------------------------------------- -------------------
+ SLICE_X13Y39.BQ Tcko 0.430 count<7>
+ count_5
+ SLICE_X12Y39.B1 net (fanout=3) 0.807 count<5>
+ SLICE_X12Y39.COUT Topcyb 0.483 Mcount_count_cy<7>
+ Mcount_count_lut<5>_INV_0
+ Mcount_count_cy<7>
+ SLICE_X12Y40.CIN net (fanout=1) 0.082 Mcount_count_cy<7>
+ SLICE_X12Y40.COUT Tbyp 0.093 Mcount_count_cy<11>
+ Mcount_count_cy<11>
+ SLICE_X12Y41.CIN net (fanout=1) 0.003 Mcount_count_cy<11>
+ SLICE_X12Y41.COUT Tbyp 0.093 Mcount_count_cy<15>
+ Mcount_count_cy<15>
+ SLICE_X12Y42.CIN net (fanout=1) 0.003 Mcount_count_cy<15>
+ SLICE_X12Y42.COUT Tbyp 0.093 Mcount_count_cy<19>
+ Mcount_count_cy<19>
+ SLICE_X12Y43.CIN net (fanout=1) 0.003 Mcount_count_cy<19>
+ SLICE_X12Y43.DMUX Tcind 0.320 Result<23>
+ Mcount_count_xor<23>
+ SLICE_X13Y41.A1 net (fanout=1) 0.778 Result<23>
+ SLICE_X13Y41.CLK Tas 0.373 count<23>
+ count_23_rstpot
+ count_23
+ ------------------------------------------------- ---------------------------
+ Total 3.561ns (1.885ns logic, 1.676ns route)
+ (52.9% logic, 47.1% route)
+
+--------------------------------------------------------------------------------
+
+Hold Paths: NET "CLK_BUFGP/IBUFG" PERIOD = 31.25 ns HIGH 50%;
+--------------------------------------------------------------------------------
+
+Paths for end point LED1 (SLICE_X14Y41.A6), 1 path
+--------------------------------------------------------------------------------
+Slack (hold path): 0.418ns (requirement - (clock path skew + uncertainty - data path))
+ Source: LED1 (FF)
+ Destination: LED1 (FF)
+ Requirement: 0.000ns
+ Data Path Delay: 0.418ns (Levels of Logic = 1)
+ Clock Path Skew: 0.000ns
+ Source Clock: CLK_BUFGP rising at 31.250ns
+ Destination Clock: CLK_BUFGP rising at 31.250ns
+ Clock Uncertainty: 0.000ns
+
+ Minimum Data Path at Fast Process Corner: LED1 to LED1
+ Location Delay type Delay(ns) Physical Resource
+ Logical Resource(s)
+ ------------------------------------------------- -------------------
+ SLICE_X14Y41.AQ Tcko 0.200 LED1_OBUF
+ LED1
+ SLICE_X14Y41.A6 net (fanout=2) 0.028 LED1_OBUF
+ SLICE_X14Y41.CLK Tah (-Th) -0.190 LED1_OBUF
+ LED1_rstpot
+ LED1
+ ------------------------------------------------- ---------------------------
+ Total 0.418ns (0.390ns logic, 0.028ns route)
+ (93.3% logic, 6.7% route)
+
+--------------------------------------------------------------------------------
+
+Paths for end point count_12 (SLICE_X15Y41.A6), 6 paths
+--------------------------------------------------------------------------------
+Slack (hold path): 0.689ns (requirement - (clock path skew + uncertainty - data path))
+ Source: count_14 (FF)
+ Destination: count_12 (FF)
+ Requirement: 0.000ns
+ Data Path Delay: 0.689ns (Levels of Logic = 2)
+ Clock Path Skew: 0.000ns
+ Source Clock: CLK_BUFGP rising at 31.250ns
+ Destination Clock: CLK_BUFGP rising at 31.250ns
+ Clock Uncertainty: 0.000ns
+
+ Minimum Data Path at Fast Process Corner: count_14 to count_12
+ Location Delay type Delay(ns) Physical Resource
+ Logical Resource(s)
+ ------------------------------------------------- -------------------
+ SLICE_X15Y41.DQ Tcko 0.198 count<14>
+ count_14
+ SLICE_X14Y41.C6 net (fanout=3) 0.032 count<14>
+ SLICE_X14Y41.C Tilo 0.142 LED1_OBUF
+ count[26]_GND_1_o_equal_2_o<26>1
+ SLICE_X15Y41.A6 net (fanout=13) 0.102 count[26]_GND_1_o_equal_2_o<26>
+ SLICE_X15Y41.CLK Tah (-Th) -0.215 count<14>
+ count_12_rstpot
+ count_12
+ ------------------------------------------------- ---------------------------
+ Total 0.689ns (0.555ns logic, 0.134ns route)
+ (80.6% logic, 19.4% route)
+
+--------------------------------------------------------------------------------
+Slack (hold path): 0.829ns (requirement - (clock path skew + uncertainty - data path))
+ Source: count_17 (FF)
+ Destination: count_12 (FF)
+ Requirement: 0.000ns
+ Data Path Delay: 0.829ns (Levels of Logic = 2)
+ Clock Path Skew: 0.000ns
+ Source Clock: CLK_BUFGP rising at 31.250ns
+ Destination Clock: CLK_BUFGP rising at 31.250ns
+ Clock Uncertainty: 0.000ns
+
+ Minimum Data Path at Fast Process Corner: count_17 to count_12
+ Location Delay type Delay(ns) Physical Resource
+ Logical Resource(s)
+ ------------------------------------------------- -------------------
+ SLICE_X15Y42.CQ Tcko 0.198 count<18>
+ count_17
+ SLICE_X14Y41.C5 net (fanout=3) 0.172 count<17>
+ SLICE_X14Y41.C Tilo 0.142 LED1_OBUF
+ count[26]_GND_1_o_equal_2_o<26>1
+ SLICE_X15Y41.A6 net (fanout=13) 0.102 count[26]_GND_1_o_equal_2_o<26>
+ SLICE_X15Y41.CLK Tah (-Th) -0.215 count<14>
+ count_12_rstpot
+ count_12
+ ------------------------------------------------- ---------------------------
+ Total 0.829ns (0.555ns logic, 0.274ns route)
+ (66.9% logic, 33.1% route)
+
+--------------------------------------------------------------------------------
+Slack (hold path): 0.881ns (requirement - (clock path skew + uncertainty - data path))
+ Source: count_15 (FF)
+ Destination: count_12 (FF)
+ Requirement: 0.000ns
+ Data Path Delay: 0.881ns (Levels of Logic = 2)
+ Clock Path Skew: 0.000ns
+ Source Clock: CLK_BUFGP rising at 31.250ns
+ Destination Clock: CLK_BUFGP rising at 31.250ns
+ Clock Uncertainty: 0.000ns
+
+ Minimum Data Path at Fast Process Corner: count_15 to count_12
+ Location Delay type Delay(ns) Physical Resource
+ Logical Resource(s)
+ ------------------------------------------------- -------------------
+ SLICE_X15Y42.AQ Tcko 0.198 count<18>
+ count_15
+ SLICE_X14Y41.C4 net (fanout=3) 0.224 count<15>
+ SLICE_X14Y41.C Tilo 0.142 LED1_OBUF
+ count[26]_GND_1_o_equal_2_o<26>1
+ SLICE_X15Y41.A6 net (fanout=13) 0.102 count[26]_GND_1_o_equal_2_o<26>
+ SLICE_X15Y41.CLK Tah (-Th) -0.215 count<14>
+ count_12_rstpot
+ count_12
+ ------------------------------------------------- ---------------------------
+ Total 0.881ns (0.555ns logic, 0.326ns route)
+ (63.0% logic, 37.0% route)
+
+--------------------------------------------------------------------------------
+
+Paths for end point LED1 (SLICE_X14Y41.A1), 6 paths
+--------------------------------------------------------------------------------
+Slack (hold path): 0.812ns (requirement - (clock path skew + uncertainty - data path))
+ Source: count_14 (FF)
+ Destination: LED1 (FF)
+ Requirement: 0.000ns
+ Data Path Delay: 0.814ns (Levels of Logic = 2)
+ Clock Path Skew: 0.002ns (0.033 - 0.031)
+ Source Clock: CLK_BUFGP rising at 31.250ns
+ Destination Clock: CLK_BUFGP rising at 31.250ns
+ Clock Uncertainty: 0.000ns
+
+ Minimum Data Path at Fast Process Corner: count_14 to LED1
+ Location Delay type Delay(ns) Physical Resource
+ Logical Resource(s)
+ ------------------------------------------------- -------------------
+ SLICE_X15Y41.DQ Tcko 0.198 count<14>
+ count_14
+ SLICE_X14Y41.C6 net (fanout=3) 0.032 count<14>
+ SLICE_X14Y41.C Tilo 0.142 LED1_OBUF
+ count[26]_GND_1_o_equal_2_o<26>1
+ SLICE_X14Y41.A1 net (fanout=13) 0.252 count[26]_GND_1_o_equal_2_o<26>
+ SLICE_X14Y41.CLK Tah (-Th) -0.190 LED1_OBUF
+ LED1_rstpot
+ LED1
+ ------------------------------------------------- ---------------------------
+ Total 0.814ns (0.530ns logic, 0.284ns route)
+ (65.1% logic, 34.9% route)
+
+--------------------------------------------------------------------------------
+Slack (hold path): 0.954ns (requirement - (clock path skew + uncertainty - data path))
+ Source: count_17 (FF)
+ Destination: LED1 (FF)
+ Requirement: 0.000ns
+ Data Path Delay: 0.954ns (Levels of Logic = 2)
+ Clock Path Skew: 0.000ns
+ Source Clock: CLK_BUFGP rising at 31.250ns
+ Destination Clock: CLK_BUFGP rising at 31.250ns
+ Clock Uncertainty: 0.000ns
+
+ Minimum Data Path at Fast Process Corner: count_17 to LED1
+ Location Delay type Delay(ns) Physical Resource
+ Logical Resource(s)
+ ------------------------------------------------- -------------------
+ SLICE_X15Y42.CQ Tcko 0.198 count<18>
+ count_17
+ SLICE_X14Y41.C5 net (fanout=3) 0.172 count<17>
+ SLICE_X14Y41.C Tilo 0.142 LED1_OBUF
+ count[26]_GND_1_o_equal_2_o<26>1
+ SLICE_X14Y41.A1 net (fanout=13) 0.252 count[26]_GND_1_o_equal_2_o<26>
+ SLICE_X14Y41.CLK Tah (-Th) -0.190 LED1_OBUF
+ LED1_rstpot
+ LED1
+ ------------------------------------------------- ---------------------------
+ Total 0.954ns (0.530ns logic, 0.424ns route)
+ (55.6% logic, 44.4% route)
+
+--------------------------------------------------------------------------------
+Slack (hold path): 1.006ns (requirement - (clock path skew + uncertainty - data path))
+ Source: count_15 (FF)
+ Destination: LED1 (FF)
+ Requirement: 0.000ns
+ Data Path Delay: 1.006ns (Levels of Logic = 2)
+ Clock Path Skew: 0.000ns
+ Source Clock: CLK_BUFGP rising at 31.250ns
+ Destination Clock: CLK_BUFGP rising at 31.250ns
+ Clock Uncertainty: 0.000ns
+
+ Minimum Data Path at Fast Process Corner: count_15 to LED1
+ Location Delay type Delay(ns) Physical Resource
+ Logical Resource(s)
+ ------------------------------------------------- -------------------
+ SLICE_X15Y42.AQ Tcko 0.198 count<18>
+ count_15
+ SLICE_X14Y41.C4 net (fanout=3) 0.224 count<15>
+ SLICE_X14Y41.C Tilo 0.142 LED1_OBUF
+ count[26]_GND_1_o_equal_2_o<26>1
+ SLICE_X14Y41.A1 net (fanout=13) 0.252 count[26]_GND_1_o_equal_2_o<26>
+ SLICE_X14Y41.CLK Tah (-Th) -0.190 LED1_OBUF
+ LED1_rstpot
+ LED1
+ ------------------------------------------------- ---------------------------
+ Total 1.006ns (0.530ns logic, 0.476ns route)
+ (52.7% logic, 47.3% route)
+
+--------------------------------------------------------------------------------
+
+Component Switching Limit Checks: NET "CLK_BUFGP/IBUFG" PERIOD = 31.25 ns HIGH 50%;
+--------------------------------------------------------------------------------
+Slack: 28.584ns (period - min period limit)
+ Period: 31.250ns
+ Min period limit: 2.666ns (375.094MHz) (Tbcper_I)
+ Physical resource: CLK_BUFGP/BUFG/I0
+ Logical resource: CLK_BUFGP/BUFG/I0
+ Location pin: BUFGMUX_X2Y2.I0
+ Clock network: CLK_BUFGP/IBUFG
+--------------------------------------------------------------------------------
+Slack: 30.775ns (period - min period limit)
+ Period: 31.250ns
+ Min period limit: 0.475ns (2105.263MHz) (Tcp)
+ Physical resource: LED1_OBUF/CLK
+ Logical resource: LED1/CK
+ Location pin: SLICE_X14Y41.CLK
+ Clock network: CLK_BUFGP
+--------------------------------------------------------------------------------
+Slack: 30.780ns (period - min period limit)
+ Period: 31.250ns
+ Min period limit: 0.470ns (2127.660MHz) (Tcp)
+ Physical resource: count<3>/CLK
+ Logical resource: count_0/CK
+ Location pin: SLICE_X13Y38.CLK
+ Clock network: CLK_BUFGP
+--------------------------------------------------------------------------------
+
+
+All constraints were met.
+
+
+Data Sheet report:
+-----------------
+All values displayed in nanoseconds (ns)
+
+Clock to Setup on destination clock CLK
+---------------+---------+---------+---------+---------+
+ | Src:Rise| Src:Fall| Src:Rise| Src:Fall|
+Source Clock |Dest:Rise|Dest:Rise|Dest:Fall|Dest:Fall|
+---------------+---------+---------+---------+---------+
+CLK | 3.695| | | |
+---------------+---------+---------+---------+---------+
+
+
+Timing summary:
+---------------
+
+Timing errors: 0 Score: 0 (Setup/Max: 0, Hold: 0)
+
+Constraints cover 901 paths, 0 nets, and 211 connections
+
+Design statistics:
+ Minimum period: 3.695ns{1} (Maximum frequency: 270.636MHz)
+
+
+------------------------------------Footnotes-----------------------------------
+1) The minimum period statistic assumes all single cycle delays.
+
+Analysis completed Tue Feb 21 22:17:03 2017
+--------------------------------------------------------------------------------
+
+Trace Settings:
+-------------------------
+Trace Settings
+
+Peak Memory Usage: 390 MB
+
+
+
diff --git a/led.twx b/led.twx
new file mode 100644
index 0000000..ddcae97
--- /dev/null
+++ b/led.twx
@@ -0,0 +1,346 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<!DOCTYPE twReport [
+<!ELEMENT twReport (twHead?, (twWarn | twDebug | twInfo)*, twBody, twSum?,
+ twDebug*, twFoot?, twClientInfo?)>
+<!ATTLIST twReport version CDATA "10,4">
+<!ELEMENT twHead (twExecVer?, twCopyright, twCmdLine?, twDesign?, twPCF?, twDevInfo, twRptInfo, twEnvVar*)>
+<!ELEMENT twExecVer (#PCDATA)>
+<!ELEMENT twCopyright (#PCDATA)>
+<!ELEMENT twCmdLine (#PCDATA)>
+<!ELEMENT twDesign (#PCDATA)>
+<!ELEMENT twPCF (#PCDATA)>
+<!ELEMENT twDevInfo (twDevName, twSpeedGrade, twSpeedVer?)>
+<!ELEMENT twDevName (#PCDATA)>
+<!ATTLIST twDevInfo arch CDATA #IMPLIED pkg CDATA #IMPLIED>
+<!ELEMENT twSpeedGrade (#PCDATA)>
+<!ELEMENT twSpeedVer (#PCDATA)>
+<!ELEMENT twRptInfo (twItemLimit?, (twUnconst, twUnconstLimit?)?)>
+<!ATTLIST twRptInfo twRptLvl (twErr | twVerbose | twTerseErr | twSum | twTimeGrp) #REQUIRED>
+<!ATTLIST twRptInfo twAdvRpt (TRUE | FALSE) "FALSE">
+<!ATTLIST twRptInfo twTimeUnits (twPsec | twNsec | twUsec | twMsec | twSec) "twNsec">
+<!ATTLIST twRptInfo twFreqUnits (twGHz | twMHz | twHz) "twMHz">
+<!ATTLIST twRptInfo twReportMinPaths CDATA #IMPLIED>
+<!ELEMENT twItemLimit (#PCDATA)>
+<!ELEMENT twUnconst EMPTY>
+<!ELEMENT twUnconstLimit (#PCDATA)>
+<!ELEMENT twEnvVar EMPTY>
+<!ATTLIST twEnvVar name CDATA #REQUIRED>
+<!ATTLIST twEnvVar description CDATA #REQUIRED>
+<!ELEMENT twWarn (#PCDATA)>
+<!ELEMENT twInfo (#PCDATA)>
+<!ELEMENT twDebug (#PCDATA)>
+<!ELEMENT twBody (twDerating?, (twSumRpt | twVerboseRpt | twErrRpt | twTerseErrRpt | twTimeGrpRpt), twNonDedClks?)>
+<!ATTLIST twBody twFastPaths CDATA #IMPLIED>
+<!ELEMENT twDerating (twProc?, twTemp?, twVolt?)>
+<!ELEMENT twProc (#PCDATA)>
+<!ELEMENT twTemp (#PCDATA)>
+<!ELEMENT twVolt (#PCDATA)>
+<!ELEMENT twSumRpt (twConstRollupTable*, twConstList?, twConstSummaryTable?, twUnmetConstCnt?, (twWarn | twInfo | twDebug)*, twDataSheet?)>
+<!ELEMENT twErrRpt (twCycles?, (twConst | twTIG | twConstRollupTable)*, twUnmetConstCnt?, (twWarn | twInfo | twDebug)*, twDataSheet?, twTimeGrp*)>
+<!ELEMENT twTerseErrRpt (twConstList, twUnmetConstCnt?, twDataSheet?)>
+<!ELEMENT twVerboseRpt (twCycles?, (twConst | twTIG | twConstRollupTable)*, twUnmetConstCnt?, (twWarn | twInfo | twDebug)*, twDataSheet?, twTimeGrp*)>
+<!ELEMENT twCycles (twSigConn+)>
+<!ATTLIST twCycles twNum CDATA #REQUIRED>
+<!ELEMENT twSigConn (twSig, twDriver, twLoad)>
+<!ELEMENT twSig (#PCDATA)>
+<!ELEMENT twDriver (#PCDATA)>
+<!ELEMENT twLoad (#PCDATA)>
+<!ELEMENT twConst (twConstHead, ((twPathRpt?,twRacePathRpt?, twPathRptBanner?)* | (twPathRpt*, twRacePathRpt?) | twNetRpt* | twClkSkewLimit*))>
+<!ATTLIST twConst twConstType (NET |
+ NETDELAY |
+ NETSKEW |
+ PATH |
+ DEFPERIOD |
+ UNCONSTPATH |
+ DEFPATH |
+ PATH2SETUP |
+ UNCONSTPATH2SETUP |
+ PATHCLASS |
+ PATHDELAY |
+ PERIOD |
+ FREQUENCY |
+ PATHBLOCK |
+ OFFSET |
+ OFFSETIN |
+ OFFSETINCLOCK |
+ UNCONSTOFFSETINCLOCK |
+ OFFSETINDELAY |
+ OFFSETINMOD |
+ OFFSETOUT |
+ OFFSETOUTCLOCK |
+ UNCONSTOFFSETOUTCLOCK |
+ OFFSETOUTDELAY |
+ OFFSETOUTMOD| CLOCK_SKEW_LIMITS) #IMPLIED>
+<!ELEMENT twConstHead (twConstName, twItemCnt, twErrCntSetup, twErrCntEndPt?, twErrCntHold,
+ twEndPtCnt?,
+ twPathErrCnt?, (twMinPer| twMaxDel| twMaxFreq| twMaxNetDel| twMaxNetSkew| twMinOff| twMaxOff)*)>
+<!ELEMENT twConstName (#PCDATA)>
+<!ATTLIST twConstName UCFConstName CDATA #IMPLIED>
+<!ATTLIST twConstHead uID CDATA #IMPLIED>
+<!ELEMENT twItemCnt (#PCDATA)>
+<!ELEMENT twErrCnt (#PCDATA)>
+<!ELEMENT twErrCntEndPt (#PCDATA)>
+<!ELEMENT twErrCntSetup (#PCDATA)>
+<!ELEMENT twErrCntHold (#PCDATA)>
+<!ATTLIST twErrCntHold twRaceChecked (TRUE | FALSE) "FALSE">
+<!ELEMENT twEndPtCnt (#PCDATA)>
+<!ELEMENT twPathErrCnt (#PCDATA)>
+<!ELEMENT twMinPer (#PCDATA) >
+<!ELEMENT twFootnote EMPTY>
+<!ATTLIST twFootnote number CDATA #REQUIRED>
+<!ELEMENT twMaxDel (#PCDATA)>
+<!ELEMENT twMaxFreq (#PCDATA)>
+<!ELEMENT twMinOff (#PCDATA)>
+<!ELEMENT twMaxOff (#PCDATA)>
+<!ELEMENT twTIG (twTIGHead, (twPathRpt*,twRacePathRpt?))>
+<!ELEMENT twTIGHead (twTIGName, twInstantiated, twBlocked)>
+<!ELEMENT twTIGName (#PCDATA)>
+<!ELEMENT twInstantiated (#PCDATA)>
+<!ELEMENT twBlocked (#PCDATA)>
+<!ELEMENT twRacePathRpt (twRacePath+)>
+<!ELEMENT twPathRpt (twUnconstPath | twConstPath | twUnconstOffIn | twConstOffIn | twUnconstOffOut | twConstOffOut | twModOffOut)>
+<!ELEMENT twUnconstPath (twTotDel, twSrc, twDest, (twDel, twSUTime)?, twTotPathDel?, twClkSkew?, tw2Phase?, twClkUncert?, twDetPath?)>
+<!ATTLIST twUnconstPath twDataPathType CDATA #IMPLIED
+ twSimpleMinPath CDATA #IMPLIED>
+<!ELEMENT twTotDel (#PCDATA)>
+<!ELEMENT twSrc (#PCDATA)>
+<!ATTLIST twSrc BELType CDATA #IMPLIED>
+<!ELEMENT twDest (#PCDATA)>
+<!ATTLIST twDest BELType CDATA #IMPLIED>
+<!ELEMENT twDel (#PCDATA)>
+<!ELEMENT twSUTime (#PCDATA)>
+<!ELEMENT twTotPathDel (#PCDATA)>
+<!ELEMENT twClkSkew (#PCDATA)>
+<!ATTLIST twClkSkew dest CDATA #IMPLIED src CDATA #IMPLIED>
+<!ELEMENT twConstPath (twSlack, twSrc, twDest, twTotPathDel?, twClkSkew?, twDelConst, tw2Phase?, twClkUncert?, twDetPath?)>
+<!ATTLIST twConstPath twDataPathType CDATA "twDataPathMaxDelay">
+<!ATTLIST twConstPath constType (period | fromto | unknown) "unknown">
+<!ELEMENT twSlack (#PCDATA)>
+<!ELEMENT twDelConst (#PCDATA)>
+<!ELEMENT tw2Phase EMPTY>
+<!ELEMENT twClkUncert (#PCDATA)>
+<!ATTLIST twClkUncert fSysJit CDATA #IMPLIED fInputJit CDATA #IMPLIED
+ fDCMJit CDATA #IMPLIED
+ fPhaseErr CDATA #IMPLIED
+ sEqu CDATA #IMPLIED>
+<!ELEMENT twRacePath (twSlack, twSrc, twDest, twClkSkew, twDelConst?, twClkUncert?, twDetPath)>
+<!ELEMENT twPathRptBanner (#PCDATA)>
+<!ATTLIST twPathRptBanner sType CDATA #IMPLIED iPaths CDATA #IMPLIED iCriticalPaths CDATA #IMPLIED>
+<!ELEMENT twUnconstOffIn (twOff, twSrc, twDest, twGuaranteed?, twClkUncert?, (twDataPath, twClkPath)?)>
+<!ATTLIST twUnconstOffIn twDataPathType CDATA #IMPLIED>
+<!ELEMENT twOff (#PCDATA)>
+<!ELEMENT twGuaranteed EMPTY>
+<!ELEMENT twConstOffIn (twSlack, twSrc, twDest, ((twClkDel, twClkSrc, twClkDest) | twGuarInSetup), twOff, twOffSrc, twOffDest, twClkUncert?, (twDataPath, twClkPath)?)>
+<!ATTLIST twConstOffIn twDataPathType CDATA "twDataPathMaxDelay">
+<!ATTLIST twConstOffIn twDurationNotSpecified CDATA #IMPLIED>
+<!ELEMENT twClkDel (#PCDATA)>
+<!ELEMENT twClkSrc (#PCDATA)>
+<!ELEMENT twClkDest (#PCDATA)>
+<!ELEMENT twGuarInSetup (#PCDATA)>
+<!ELEMENT twOffSrc (#PCDATA)>
+<!ELEMENT twOffDest (#PCDATA)>
+<!ELEMENT twUnconstOffOut (twOff, twSrc, twDest, twClkUncert?, (twClkPath, twDataPath)?)>
+<!ATTLIST twUnconstOffOut twDataPathType CDATA #IMPLIED>
+<!ELEMENT twConstOffOut (twSlack, twSrc, twDest, twClkDel, twClkSrc, twClkDest, twDataDel, twDataSrc, twDataDest, twOff, twOffSrc, twOffDest, twClkUncert?, (twClkPath, twDataPath)?)>
+<!ATTLIST twConstOffOut twDataPathType CDATA "twDataPathMaxDelay">
+<!ELEMENT twDataDel (#PCDATA)>
+<!ELEMENT twDataSrc (#PCDATA)>
+<!ELEMENT twDataDest (#PCDATA)>
+<!ELEMENT twModOffOut (twSlack, twDest, twDataDel, twDataSrc, twDataDest, twClkUncert?, twDataPath?)>
+<!ELEMENT twDetPath (twSrc, twDest, twLogLvls, twSrcSite, twSrcClk?, twPathDel*, (twLogDel, twRouteDel, twTotDel)?, twDestClk?, (twPctLog, twPctRoute)?)>
+<!ATTLIST twDetPath maxSiteLen CDATA #IMPLIED>
+<!ELEMENT twDataPath (twSrc, twDest, twLogLvls, twSrcSite, twSrcClk?, twPathDel*, (twLogDel, twRouteDel, twTotDel)?, twDestClk?, (twPctLog, twPctRoute)?)>
+<!ATTLIST twDataPath maxSiteLen CDATA #IMPLIED>
+<!ELEMENT twClkPath (twSrc, twDest, twLogLvls, twSrcSite, twSrcClk?, twPathDel*, (twLogDel, twRouteDel, twTotDel)?, twDestClk?, (twPctLog, twPctRoute)?)>
+<!ATTLIST twClkPath maxSiteLen CDATA #IMPLIED>
+<!ELEMENT twLogLvls (#PCDATA)>
+<!ELEMENT twSrcSite (#PCDATA)>
+<!ELEMENT twSrcClk (#PCDATA)>
+<!ATTLIST twSrcClk twEdge (twRising | twFalling) "twRising">
+<!ATTLIST twSrcClk twArriveTime CDATA #IMPLIED>
+<!ATTLIST twSrcClk twClkRes CDATA #IMPLIED>
+<!ELEMENT twPathDel (twSite, twDelType, twFanCnt?, twDelInfo?, twComp, twNet?, twBEL*)>
+<!ATTLIST twPathDel twHoldTime (TRUE | FALSE) "FALSE">
+<!ELEMENT twDelInfo (#PCDATA)>
+<!ATTLIST twDelInfo twEdge (twRising | twFalling | twIndet) #REQUIRED>
+<!ATTLIST twDelInfo twAcc (twRouted | twEst | twApprox) "twRouted">
+<!ELEMENT twSite (#PCDATA)>
+<!ELEMENT twDelType (#PCDATA)>
+<!ELEMENT twFanCnt (#PCDATA)>
+<!ELEMENT twComp (#PCDATA)>
+<!ELEMENT twNet (#PCDATA)>
+<!ELEMENT twBEL (#PCDATA)>
+<!ELEMENT twLogDel (#PCDATA)>
+<!ELEMENT twRouteDel (#PCDATA)>
+<!ELEMENT twDestClk (#PCDATA)>
+<!ATTLIST twDestClk twEdge (twRising | twFalling) "twRising">
+<!ATTLIST twDestClk twArriveTime CDATA #IMPLIED>
+<!ATTLIST twDestClk twClkRes CDATA #IMPLIED>
+<!ELEMENT twPctLog (#PCDATA)>
+<!ELEMENT twPctRoute (#PCDATA)>
+<!ELEMENT twNetRpt (twDelNet | twSlackNet | twSkewNet)>
+<!ELEMENT twDelNet (twDel, twNet, twDetNet?)>
+<!ELEMENT twSlackNet (twSlack, twNet, twDel, twNotMet?, twTimeConst, twAbsSlack, twDetNet?)>
+<!ELEMENT twTimeConst (#PCDATA)>
+<!ELEMENT twAbsSlack (#PCDATA)>
+<!ELEMENT twSkewNet (twSlack, twNet, twSkew, twNotMet?, twTimeConst, twAbsSlack, twDetSkewNet?)>
+<!ELEMENT twSkew (#PCDATA)>
+<!ELEMENT twDetNet (twNetDel*)>
+<!ELEMENT twNetDel (twSrc, twDest, twNetDelInfo)>
+<!ELEMENT twNetDelInfo (#PCDATA)>
+<!ATTLIST twNetDelInfo twAcc (twRouted | twEst | twApprox) "twRouted">
+<!ELEMENT twDetSkewNet (twNetSkew*)>
+<!ELEMENT twNetSkew (twSrc, twDest, twNetDelInfo, twSkew)>
+<!ELEMENT twClkSkewLimit EMPTY>
+<!ATTLIST twClkSkewLimit slack CDATA #IMPLIED skew CDATA #IMPLIED arrv1name CDATA #IMPLIED arrv1 CDATA #IMPLIED
+ arrv2name CDATA #IMPLIED arrv2 CDATA #IMPLIED uncert CDATA #IMPLIED>
+<!ELEMENT twConstRollupTable (twConstRollup*)>
+<!ATTLIST twConstRollupTable uID CDATA #IMPLIED>
+<!ELEMENT twConstRollup EMPTY>
+<!ATTLIST twConstRollup name CDATA #IMPLIED fullName CDATA #IMPLIED type CDATA #IMPLIED requirement CDATA #IMPLIED prefType CDATA #IMPLIED actual CDATA #IMPLIED>
+<!ATTLIST twConstRollup actualRollup CDATA #IMPLIED errors CDATA #IMPLIED errorRollup CDATA #IMPLIED items CDATA #IMPLIED itemsRollup CDATA #IMPLIED>
+<!ELEMENT twConstList (twConstListItem)*>
+<!ELEMENT twConstListItem (twConstName, twNotMet?, twReqVal?, twActVal?, twLogLvls?)>
+<!ATTLIST twConstListItem twUnits (twTime | twFreq) "twTime">
+<!ELEMENT twNotMet EMPTY>
+<!ELEMENT twReqVal (#PCDATA)>
+<!ELEMENT twActVal (#PCDATA)>
+<!ELEMENT twConstSummaryTable (twConstStats|twConstSummary)*>
+<!ATTLIST twConstSummaryTable twEmptyConstraints CDATA #IMPLIED>
+<!ELEMENT twConstStats (twConstName)>
+<!ATTLIST twConstStats twUnits (twTime | twFreq) "twTime">
+<!ATTLIST twConstStats twRequired CDATA #IMPLIED>
+<!ATTLIST twConstStats twActual CDATA #IMPLIED>
+<!ATTLIST twConstStats twSlack CDATA #IMPLIED>
+<!ATTLIST twConstStats twLogLvls CDATA #IMPLIED>
+<!ATTLIST twConstStats twErrors CDATA #IMPLIED>
+<!ATTLIST twConstStats twPCFIndex CDATA #IMPLIED>
+<!ATTLIST twConstStats twAbsSlackIndex CDATA #IMPLIED>
+<!ATTLIST twConstStats twTCType CDATA #IMPLIED>
+<!ELEMENT twConstSummary (twConstName, twConstData?, twConstData*)>
+<!ATTLIST twConstSummary PCFIndex CDATA #IMPLIED slackIndex CDATA #IMPLIED>
+<!ELEMENT twConstData EMPTY>
+<!ATTLIST twConstData type CDATA #IMPLIED units (MHz | ns) "ns" slack CDATA #IMPLIED
+ best CDATA #IMPLIED requested CDATA #IMPLIED
+ errors CDATA #IMPLIED
+ score CDATA #IMPLIED>
+<!ELEMENT twTimeGrpRpt (twTimeGrp)*>
+<!ELEMENT twTimeGrp (twTimeGrpName, twCompList?, twBELList?, twMacList?, twBlockList?, twSigList?, twPinList?)>
+<!ELEMENT twTimeGrpName (#PCDATA)>
+<!ELEMENT twCompList (twCompName+)>
+<!ELEMENT twCompName (#PCDATA)>
+<!ELEMENT twSigList (twSigName+)>
+<!ELEMENT twSigName (#PCDATA)>
+<!ELEMENT twBELList (twBELName+)>
+<!ELEMENT twBELName (#PCDATA)>
+<!ELEMENT twBlockList (twBlockName+)>
+<!ELEMENT twBlockName (#PCDATA)>
+<!ELEMENT twMacList (twMacName+)>
+<!ELEMENT twMacName (#PCDATA)>
+<!ELEMENT twPinList (twPinName+)>
+<!ELEMENT twPinName (#PCDATA)>
+<!ELEMENT twUnmetConstCnt (#PCDATA)>
+<!ELEMENT twDataSheet (twSUH2ClkList*, (twClk2PadList|twClk2OutList)*, twClk2SUList*, twPad2PadList?, twOffsetTables?)>
+<!ATTLIST twDataSheet twNameLen CDATA #REQUIRED>
+<!ELEMENT twSUH2ClkList (twDest, twSUH2Clk+)>
+<!ATTLIST twSUH2ClkList twDestWidth CDATA #IMPLIED>
+<!ATTLIST twSUH2ClkList twPhaseWidth CDATA #IMPLIED>
+<!ELEMENT twSUH2Clk (twSrc, twSUHTime, twSUHTime?)>
+<!ELEMENT twSUHTime (twSU2ClkTime?,twH2ClkTime?)>
+<!ATTLIST twSUHTime twInternalClk CDATA #IMPLIED>
+<!ATTLIST twSUHTime twClkPhase CDATA #IMPLIED>
+<!ELEMENT twSU2ClkTime (#PCDATA)>
+<!ATTLIST twSU2ClkTime twEdge (twRising | twFalling | twIndet) #REQUIRED>
+<!ELEMENT twH2ClkTime (#PCDATA)>
+<!ATTLIST twH2ClkTime twEdge (twRising | twFalling | twIndet) #REQUIRED>
+<!ELEMENT twClk2PadList (twSrc, twClk2Pad+)>
+<!ELEMENT twClk2Pad (twDest, twTime)>
+<!ELEMENT twTime (#PCDATA)>
+<!ATTLIST twTime twEdge (twRising | twFalling | twIndet) #REQUIRED>
+<!ELEMENT twClk2OutList (twSrc, twClk2Out+)>
+<!ATTLIST twClk2OutList twDestWidth CDATA #REQUIRED>
+<!ATTLIST twClk2OutList twPhaseWidth CDATA #REQUIRED>
+<!ELEMENT twClk2Out EMPTY>
+<!ATTLIST twClk2Out twOutPad CDATA #REQUIRED>
+<!ATTLIST twClk2Out twMinTime CDATA #REQUIRED>
+<!ATTLIST twClk2Out twMinEdge CDATA #REQUIRED>
+<!ATTLIST twClk2Out twMaxTime CDATA #REQUIRED>
+<!ATTLIST twClk2Out twMaxEdge CDATA #REQUIRED>
+<!ATTLIST twClk2Out twInternalClk CDATA #REQUIRED>
+<!ATTLIST twClk2Out twClkPhase CDATA #REQUIRED>
+<!ELEMENT twClk2SUList (twDest, twClk2SU+)>
+<!ATTLIST twClk2SUList twDestWidth CDATA #IMPLIED>
+<!ELEMENT twClk2SU (twSrc, twRiseRise?, twFallRise?, twRiseFall?, twFallFall?)>
+<!ELEMENT twRiseRise (#PCDATA)>
+<!ELEMENT twFallRise (#PCDATA)>
+<!ELEMENT twRiseFall (#PCDATA)>
+<!ELEMENT twFallFall (#PCDATA)>
+<!ELEMENT twPad2PadList (twPad2Pad+)>
+<!ATTLIST twPad2PadList twSrcWidth CDATA #IMPLIED>
+<!ATTLIST twPad2PadList twDestWidth CDATA #IMPLIED>
+<!ELEMENT twPad2Pad (twSrc, twDest, twDel)>
+<!ELEMENT twOffsetTables (twOffsetInTable*,twOffsetOutTable*)>
+<!ELEMENT twOffsetInTable (twConstName, twOffInTblRow*)>
+<!ATTLIST twOffsetInTable twDestWidth CDATA #IMPLIED>
+<!ATTLIST twOffsetInTable twWorstWindow CDATA #IMPLIED>
+<!ATTLIST twOffsetInTable twWorstSetup CDATA #IMPLIED>
+<!ATTLIST twOffsetInTable twWorstHold CDATA #IMPLIED>
+<!ATTLIST twOffsetInTable twWorstSetupSlack CDATA #IMPLIED>
+<!ATTLIST twOffsetInTable twWorstHoldSlack CDATA #IMPLIED>
+<!ELEMENT twOffsetOutTable (twConstName, twOffOutTblRow*)>
+<!ATTLIST twOffsetOutTable twDestWidth CDATA #IMPLIED>
+<!ATTLIST twOffsetOutTable twMinSlack CDATA #IMPLIED>
+<!ATTLIST twOffsetOutTable twMaxSlack CDATA #IMPLIED>
+<!ATTLIST twOffsetOutTable twRelSkew CDATA #IMPLIED>
+<!ELEMENT twOffInTblRow (twSrc, twSUHSlackTime*)>
+<!ELEMENT twSUHSlackTime (twSU2ClkTime?,twH2ClkTime?)>
+<!ATTLIST twSUHSlackTime twSetupSlack CDATA #IMPLIED twHoldSlack CDATA #IMPLIED>
+<!ELEMENT twOffOutTblRow EMPTY>
+<!ATTLIST twOffOutTblRow twOutPad CDATA #IMPLIED>
+<!ATTLIST twOffOutTblRow twSlack CDATA #IMPLIED>
+<!ATTLIST twOffOutTblRow twRelSkew CDATA #IMPLIED>
+<!ELEMENT twNonDedClks ((twWarn | twInfo), twNonDedClk+)>
+<!ELEMENT twNonDedClk (#PCDATA)>
+<!ELEMENT twSum ( twErrCnt, twScore, twConstCov, twStats)>
+<!ELEMENT twScore (#PCDATA)>
+<!ELEMENT twConstCov (twPathCnt, twNetCnt, twConnCnt, twPct?)>
+<!ELEMENT twPathCnt (#PCDATA)>
+<!ELEMENT twNetCnt (#PCDATA)>
+<!ELEMENT twConnCnt (#PCDATA)>
+<!ELEMENT twPct (#PCDATA)>
+<!ELEMENT twStats ( twMinPer?, twFootnote?, twMaxFreq?, twMaxCombDel?, twMaxFromToDel?, twMaxNetDel?, twMaxNetSkew?, twMaxInAfterClk?, twMinInBeforeClk?, twMaxOutBeforeClk?, twMinOutAfterClk?, (twInfo | twWarn)*)>
+<!ELEMENT twMaxCombDel (#PCDATA)>
+<!ELEMENT twMaxFromToDel (#PCDATA)>
+<!ELEMENT twMaxNetDel (#PCDATA)>
+<!ELEMENT twMaxNetSkew (#PCDATA)>
+<!ELEMENT twMaxInAfterClk (#PCDATA)>
+<!ELEMENT twMinInBeforeClk (#PCDATA)>
+<!ELEMENT twMaxOutBeforeClk (#PCDATA)>
+<!ELEMENT twMinOutAfterClk (#PCDATA)>
+<!ELEMENT twFoot (twFootnoteExplanation*, twTimestamp)>
+<!ELEMENT twTimestamp (#PCDATA)>
+<!ELEMENT twFootnoteExplanation EMPTY>
+<!ATTLIST twFootnoteExplanation number CDATA #REQUIRED>
+<!ATTLIST twFootnoteExplanation text CDATA #REQUIRED>
+<!ELEMENT twClientInfo (twClientName, twAttrList?)>
+<!ELEMENT twClientName (#PCDATA)>
+<!ELEMENT twAttrList (twAttrListItem)*>
+<!ELEMENT twAttrListItem (twName, twValue*)>
+<!ELEMENT twName (#PCDATA)>
+<!ELEMENT twValue (#PCDATA)>
+]>
+<twReport><twHead anchorID="1"><twExecVer>Release 14.7 Trace (lin64)</twExecVer><twCopyright>Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved.</twCopyright><twCmdLine>/opt/Xilinx/14.7/ISE_DS/ISE/bin/lin64/unwrapped/trce -intstyle ise -v 3 -s 2 -n
+3 -fastpaths -xml led.twx led.ncd -o led.twr led.pcf -ucf
+BPC3011-Papilio_Pro-general.ucf
+
+</twCmdLine><twDesign>led.ncd</twDesign><twDesignPath>led.ncd</twDesignPath><twPCF>led.pcf</twPCF><twPcfPath>led.pcf</twPcfPath><twDevInfo arch="spartan6" pkg="tqg144"><twDevName>xc6slx9</twDevName><twDevRange>C</twDevRange><twSpeedGrade>-2</twSpeedGrade><twSpeedVer>PRODUCTION 1.23 2013-10-13</twSpeedVer><twQuadDly>1</twQuadDly></twDevInfo><twRptInfo twRptLvl="twVerbose" twReportMinPaths="true" dlyHyperLnks="t" ><twEndptLimit>3</twEndptLimit></twRptInfo><twEnvVar name="NONE" description="No environment variables were set" /></twHead><twInfo anchorID="2">INFO:Timing:3412 - To improve timing, see the Timing Closure User Guide (UG612).</twInfo><twInfo anchorID="3">INFO:Timing:2752 - To get complete path coverage, use the unconstrained paths option. All paths that are not constrained will be reported in the unconstrained paths section(s) of the report.</twInfo><twInfo anchorID="4">INFO:Timing:3339 - The clock-to-out numbers in this timing report are based on a 50 Ohm transmission line loading model. For the details of this model, and for more information on accounting for different loading conditions, please see the device datasheet.</twInfo><twBody><twVerboseRpt><twConst anchorID="5" twConstType="PERIOD" ><twConstHead uID="1"><twConstName UCFConstName="PERIOD=31.25ns;" ScopeName="">NET &quot;CLK_BUFGP/IBUFG&quot; PERIOD = 31.25 ns HIGH 50%;</twConstName><twItemCnt>901</twItemCnt><twErrCntSetup>0</twErrCntSetup><twErrCntEndPt>0</twErrCntEndPt><twErrCntHold twRaceChecked="TRUE">0</twErrCntHold><twErrCntPinLimit>0</twErrCntPinLimit><twEndPtCnt>125</twEndPtCnt><twPathErrCnt>0</twPathErrCnt><twMinPer>3.695</twMinPer></twConstHead><twPathRptBanner iPaths="6" iCriticalPaths="0" sType="EndPoint">Paths for end point count_1 (SLICE_X13Y38.B1), 6 paths
+</twPathRptBanner><twPathRpt anchorID="6"><twConstPath anchorID="7" twDataPathType="twDataPathMaxDelay" constType="period"><twSlack>27.555</twSlack><twSrc BELType="FF">count_9</twSrc><twDest BELType="FF">count_1</twDest><twTotPathDel>3.645</twTotPathDel><twClkSkew dest = "0.285" src = "0.300">0.015</twClkSkew><twDelConst>31.250</twDelConst><twClkUncert fSysJit="0.070" fInputJit="0.000" fDCMJit="0.000" fPhaseErr="0.000" sEqu="((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE">0.035</twClkUncert><twDetPath maxSiteLen="16" twPathCritProcCorner=" at Slow Process Corner"><twSrc BELType='FF'>count_9</twSrc><twDest BELType='FF'>count_1</twDest><twLogLvls>2</twLogLvls><twSrcSite>SLICE_X13Y40.CLK</twSrcSite><twSrcClk twEdge ="twRising" twArriveTime ="0.000">CLK_BUFGP</twSrcClk><twPathDel><twSite>SLICE_X13Y40.BQ</twSite><twDelType>Tcko</twDelType><twDelInfo twEdge="twRising">0.430</twDelInfo><twComp>count&lt;11&gt;</twComp><twBEL>count_9</twBEL></twPathDel><twPathDel><twSite>SLICE_X14Y41.B3</twSite><twDelType>net</twDelType><twFanCnt>3</twFanCnt><twDelInfo twEdge="twRising">1.341</twDelInfo><twComp>count&lt;9&gt;</twComp></twPathDel><twPathDel><twSite>SLICE_X14Y41.B</twSite><twDelType>Tilo</twDelType><twDelInfo twEdge="twRising">0.235</twDelInfo><twComp>LED1_OBUF</twComp><twBEL>count[26]_GND_1_o_equal_2_o&lt;26&gt;4</twBEL></twPathDel><twPathDel><twSite>SLICE_X13Y38.B1</twSite><twDelType>net</twDelType><twFanCnt>19</twFanCnt><twDelInfo twEdge="twRising">1.266</twDelInfo><twComp>count[26]_GND_1_o_equal_2_o&lt;26&gt;3</twComp></twPathDel><twPathDel><twSite>SLICE_X13Y38.CLK</twSite><twDelType>Tas</twDelType><twDelInfo twEdge="twRising">0.373</twDelInfo><twComp>count&lt;3&gt;</twComp><twBEL>count_1_rstpot</twBEL><twBEL>count_1</twBEL></twPathDel><twLogDel>1.038</twLogDel><twRouteDel>2.607</twRouteDel><twTotDel>3.645</twTotDel><twDestClk twEdge ="twRising" twArriveTime ="31.250">CLK_BUFGP</twDestClk><twPctLog>28.5</twPctLog><twPctRoute>71.5</twPctRoute></twDetPath></twConstPath></twPathRpt><twPathRpt anchorID="8"><twConstPath anchorID="9" twDataPathType="twDataPathMaxDelay" constType="period"><twSlack>27.760</twSlack><twSrc BELType="FF">count_10</twSrc><twDest BELType="FF">count_1</twDest><twTotPathDel>3.440</twTotPathDel><twClkSkew dest = "0.285" src = "0.300">0.015</twClkSkew><twDelConst>31.250</twDelConst><twClkUncert fSysJit="0.070" fInputJit="0.000" fDCMJit="0.000" fPhaseErr="0.000" sEqu="((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE">0.035</twClkUncert><twDetPath maxSiteLen="16" twPathCritProcCorner=" at Slow Process Corner"><twSrc BELType='FF'>count_10</twSrc><twDest BELType='FF'>count_1</twDest><twLogLvls>2</twLogLvls><twSrcSite>SLICE_X13Y40.CLK</twSrcSite><twSrcClk twEdge ="twRising" twArriveTime ="0.000">CLK_BUFGP</twSrcClk><twPathDel><twSite>SLICE_X13Y40.CQ</twSite><twDelType>Tcko</twDelType><twDelInfo twEdge="twRising">0.430</twDelInfo><twComp>count&lt;11&gt;</twComp><twBEL>count_10</twBEL></twPathDel><twPathDel><twSite>SLICE_X14Y41.B1</twSite><twDelType>net</twDelType><twFanCnt>3</twFanCnt><twDelInfo twEdge="twRising">1.136</twDelInfo><twComp>count&lt;10&gt;</twComp></twPathDel><twPathDel><twSite>SLICE_X14Y41.B</twSite><twDelType>Tilo</twDelType><twDelInfo twEdge="twRising">0.235</twDelInfo><twComp>LED1_OBUF</twComp><twBEL>count[26]_GND_1_o_equal_2_o&lt;26&gt;4</twBEL></twPathDel><twPathDel><twSite>SLICE_X13Y38.B1</twSite><twDelType>net</twDelType><twFanCnt>19</twFanCnt><twDelInfo twEdge="twRising">1.266</twDelInfo><twComp>count[26]_GND_1_o_equal_2_o&lt;26&gt;3</twComp></twPathDel><twPathDel><twSite>SLICE_X13Y38.CLK</twSite><twDelType>Tas</twDelType><twDelInfo twEdge="twRising">0.373</twDelInfo><twComp>count&lt;3&gt;</twComp><twBEL>count_1_rstpot</twBEL><twBEL>count_1</twBEL></twPathDel><twLogDel>1.038</twLogDel><twRouteDel>2.402</twRouteDel><twTotDel>3.440</twTotDel><twDestClk twEdge ="twRising" twArriveTime ="31.250">CLK_BUFGP</twDestClk><twPctLog>30.2</twPctLog><twPctRoute>69.8</twPctRoute></twDetPath></twConstPath></twPathRpt><twPathRpt anchorID="10"><twConstPath anchorID="11" twDataPathType="twDataPathMaxDelay" constType="period"><twSlack>27.948</twSlack><twSrc BELType="FF">count_11</twSrc><twDest BELType="FF">count_1</twDest><twTotPathDel>3.252</twTotPathDel><twClkSkew dest = "0.285" src = "0.300">0.015</twClkSkew><twDelConst>31.250</twDelConst><twClkUncert fSysJit="0.070" fInputJit="0.000" fDCMJit="0.000" fPhaseErr="0.000" sEqu="((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE">0.035</twClkUncert><twDetPath maxSiteLen="16" twPathCritProcCorner=" at Slow Process Corner"><twSrc BELType='FF'>count_11</twSrc><twDest BELType='FF'>count_1</twDest><twLogLvls>2</twLogLvls><twSrcSite>SLICE_X13Y40.CLK</twSrcSite><twSrcClk twEdge ="twRising" twArriveTime ="0.000">CLK_BUFGP</twSrcClk><twPathDel><twSite>SLICE_X13Y40.DQ</twSite><twDelType>Tcko</twDelType><twDelInfo twEdge="twRising">0.430</twDelInfo><twComp>count&lt;11&gt;</twComp><twBEL>count_11</twBEL></twPathDel><twPathDel><twSite>SLICE_X14Y41.B2</twSite><twDelType>net</twDelType><twFanCnt>3</twFanCnt><twDelInfo twEdge="twRising">0.948</twDelInfo><twComp>count&lt;11&gt;</twComp></twPathDel><twPathDel><twSite>SLICE_X14Y41.B</twSite><twDelType>Tilo</twDelType><twDelInfo twEdge="twRising">0.235</twDelInfo><twComp>LED1_OBUF</twComp><twBEL>count[26]_GND_1_o_equal_2_o&lt;26&gt;4</twBEL></twPathDel><twPathDel><twSite>SLICE_X13Y38.B1</twSite><twDelType>net</twDelType><twFanCnt>19</twFanCnt><twDelInfo twEdge="twRising">1.266</twDelInfo><twComp>count[26]_GND_1_o_equal_2_o&lt;26&gt;3</twComp></twPathDel><twPathDel><twSite>SLICE_X13Y38.CLK</twSite><twDelType>Tas</twDelType><twDelInfo twEdge="twRising">0.373</twDelInfo><twComp>count&lt;3&gt;</twComp><twBEL>count_1_rstpot</twBEL><twBEL>count_1</twBEL></twPathDel><twLogDel>1.038</twLogDel><twRouteDel>2.214</twRouteDel><twTotDel>3.252</twTotDel><twDestClk twEdge ="twRising" twArriveTime ="31.250">CLK_BUFGP</twDestClk><twPctLog>31.9</twPctLog><twPctRoute>68.1</twPctRoute></twDetPath></twConstPath></twPathRpt><twPathRptBanner iPaths="6" iCriticalPaths="0" sType="EndPoint">Paths for end point count_7 (SLICE_X13Y39.D2), 6 paths
+</twPathRptBanner><twPathRpt anchorID="12"><twConstPath anchorID="13" twDataPathType="twDataPathMaxDelay" constType="period"><twSlack>27.560</twSlack><twSrc BELType="FF">count_9</twSrc><twDest BELType="FF">count_7</twDest><twTotPathDel>3.638</twTotPathDel><twClkSkew dest = "0.283" src = "0.300">0.017</twClkSkew><twDelConst>31.250</twDelConst><twClkUncert fSysJit="0.070" fInputJit="0.000" fDCMJit="0.000" fPhaseErr="0.000" sEqu="((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE">0.035</twClkUncert><twDetPath maxSiteLen="16" twPathCritProcCorner=" at Slow Process Corner"><twSrc BELType='FF'>count_9</twSrc><twDest BELType='FF'>count_7</twDest><twLogLvls>2</twLogLvls><twSrcSite>SLICE_X13Y40.CLK</twSrcSite><twSrcClk twEdge ="twRising" twArriveTime ="0.000">CLK_BUFGP</twSrcClk><twPathDel><twSite>SLICE_X13Y40.BQ</twSite><twDelType>Tcko</twDelType><twDelInfo twEdge="twRising">0.430</twDelInfo><twComp>count&lt;11&gt;</twComp><twBEL>count_9</twBEL></twPathDel><twPathDel><twSite>SLICE_X14Y41.B3</twSite><twDelType>net</twDelType><twFanCnt>3</twFanCnt><twDelInfo twEdge="twRising">1.341</twDelInfo><twComp>count&lt;9&gt;</twComp></twPathDel><twPathDel><twSite>SLICE_X14Y41.B</twSite><twDelType>Tilo</twDelType><twDelInfo twEdge="twRising">0.235</twDelInfo><twComp>LED1_OBUF</twComp><twBEL>count[26]_GND_1_o_equal_2_o&lt;26&gt;4</twBEL></twPathDel><twPathDel><twSite>SLICE_X13Y39.D2</twSite><twDelType>net</twDelType><twFanCnt>19</twFanCnt><twDelInfo twEdge="twRising">1.259</twDelInfo><twComp>count[26]_GND_1_o_equal_2_o&lt;26&gt;3</twComp></twPathDel><twPathDel><twSite>SLICE_X13Y39.CLK</twSite><twDelType>Tas</twDelType><twDelInfo twEdge="twRising">0.373</twDelInfo><twComp>count&lt;7&gt;</twComp><twBEL>count_7_rstpot</twBEL><twBEL>count_7</twBEL></twPathDel><twLogDel>1.038</twLogDel><twRouteDel>2.600</twRouteDel><twTotDel>3.638</twTotDel><twDestClk twEdge ="twRising" twArriveTime ="31.250">CLK_BUFGP</twDestClk><twPctLog>28.5</twPctLog><twPctRoute>71.5</twPctRoute></twDetPath></twConstPath></twPathRpt><twPathRpt anchorID="14"><twConstPath anchorID="15" twDataPathType="twDataPathMaxDelay" constType="period"><twSlack>27.765</twSlack><twSrc BELType="FF">count_10</twSrc><twDest BELType="FF">count_7</twDest><twTotPathDel>3.433</twTotPathDel><twClkSkew dest = "0.283" src = "0.300">0.017</twClkSkew><twDelConst>31.250</twDelConst><twClkUncert fSysJit="0.070" fInputJit="0.000" fDCMJit="0.000" fPhaseErr="0.000" sEqu="((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE">0.035</twClkUncert><twDetPath maxSiteLen="16" twPathCritProcCorner=" at Slow Process Corner"><twSrc BELType='FF'>count_10</twSrc><twDest BELType='FF'>count_7</twDest><twLogLvls>2</twLogLvls><twSrcSite>SLICE_X13Y40.CLK</twSrcSite><twSrcClk twEdge ="twRising" twArriveTime ="0.000">CLK_BUFGP</twSrcClk><twPathDel><twSite>SLICE_X13Y40.CQ</twSite><twDelType>Tcko</twDelType><twDelInfo twEdge="twRising">0.430</twDelInfo><twComp>count&lt;11&gt;</twComp><twBEL>count_10</twBEL></twPathDel><twPathDel><twSite>SLICE_X14Y41.B1</twSite><twDelType>net</twDelType><twFanCnt>3</twFanCnt><twDelInfo twEdge="twRising">1.136</twDelInfo><twComp>count&lt;10&gt;</twComp></twPathDel><twPathDel><twSite>SLICE_X14Y41.B</twSite><twDelType>Tilo</twDelType><twDelInfo twEdge="twRising">0.235</twDelInfo><twComp>LED1_OBUF</twComp><twBEL>count[26]_GND_1_o_equal_2_o&lt;26&gt;4</twBEL></twPathDel><twPathDel><twSite>SLICE_X13Y39.D2</twSite><twDelType>net</twDelType><twFanCnt>19</twFanCnt><twDelInfo twEdge="twRising">1.259</twDelInfo><twComp>count[26]_GND_1_o_equal_2_o&lt;26&gt;3</twComp></twPathDel><twPathDel><twSite>SLICE_X13Y39.CLK</twSite><twDelType>Tas</twDelType><twDelInfo twEdge="twRising">0.373</twDelInfo><twComp>count&lt;7&gt;</twComp><twBEL>count_7_rstpot</twBEL><twBEL>count_7</twBEL></twPathDel><twLogDel>1.038</twLogDel><twRouteDel>2.395</twRouteDel><twTotDel>3.433</twTotDel><twDestClk twEdge ="twRising" twArriveTime ="31.250">CLK_BUFGP</twDestClk><twPctLog>30.2</twPctLog><twPctRoute>69.8</twPctRoute></twDetPath></twConstPath></twPathRpt><twPathRpt anchorID="16"><twConstPath anchorID="17" twDataPathType="twDataPathMaxDelay" constType="period"><twSlack>27.953</twSlack><twSrc BELType="FF">count_11</twSrc><twDest BELType="FF">count_7</twDest><twTotPathDel>3.245</twTotPathDel><twClkSkew dest = "0.283" src = "0.300">0.017</twClkSkew><twDelConst>31.250</twDelConst><twClkUncert fSysJit="0.070" fInputJit="0.000" fDCMJit="0.000" fPhaseErr="0.000" sEqu="((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE">0.035</twClkUncert><twDetPath maxSiteLen="16" twPathCritProcCorner=" at Slow Process Corner"><twSrc BELType='FF'>count_11</twSrc><twDest BELType='FF'>count_7</twDest><twLogLvls>2</twLogLvls><twSrcSite>SLICE_X13Y40.CLK</twSrcSite><twSrcClk twEdge ="twRising" twArriveTime ="0.000">CLK_BUFGP</twSrcClk><twPathDel><twSite>SLICE_X13Y40.DQ</twSite><twDelType>Tcko</twDelType><twDelInfo twEdge="twRising">0.430</twDelInfo><twComp>count&lt;11&gt;</twComp><twBEL>count_11</twBEL></twPathDel><twPathDel><twSite>SLICE_X14Y41.B2</twSite><twDelType>net</twDelType><twFanCnt>3</twFanCnt><twDelInfo twEdge="twRising">0.948</twDelInfo><twComp>count&lt;11&gt;</twComp></twPathDel><twPathDel><twSite>SLICE_X14Y41.B</twSite><twDelType>Tilo</twDelType><twDelInfo twEdge="twRising">0.235</twDelInfo><twComp>LED1_OBUF</twComp><twBEL>count[26]_GND_1_o_equal_2_o&lt;26&gt;4</twBEL></twPathDel><twPathDel><twSite>SLICE_X13Y39.D2</twSite><twDelType>net</twDelType><twFanCnt>19</twFanCnt><twDelInfo twEdge="twRising">1.259</twDelInfo><twComp>count[26]_GND_1_o_equal_2_o&lt;26&gt;3</twComp></twPathDel><twPathDel><twSite>SLICE_X13Y39.CLK</twSite><twDelType>Tas</twDelType><twDelInfo twEdge="twRising">0.373</twDelInfo><twComp>count&lt;7&gt;</twComp><twBEL>count_7_rstpot</twBEL><twBEL>count_7</twBEL></twPathDel><twLogDel>1.038</twLogDel><twRouteDel>2.207</twRouteDel><twTotDel>3.245</twTotDel><twDestClk twEdge ="twRising" twArriveTime ="31.250">CLK_BUFGP</twDestClk><twPctLog>32.0</twPctLog><twPctRoute>68.0</twPctRoute></twDetPath></twConstPath></twPathRpt><twPathRptBanner iPaths="24" iCriticalPaths="0" sType="EndPoint">Paths for end point count_23 (SLICE_X13Y41.A1), 24 paths
+</twPathRptBanner><twPathRpt anchorID="18"><twConstPath anchorID="19" twDataPathType="twDataPathMaxDelay" constType="period"><twSlack>27.618</twSlack><twSrc BELType="FF">count_1</twSrc><twDest BELType="FF">count_23</twDest><twTotPathDel>3.580</twTotPathDel><twClkSkew dest = "0.285" src = "0.302">0.017</twClkSkew><twDelConst>31.250</twDelConst><twClkUncert fSysJit="0.070" fInputJit="0.000" fDCMJit="0.000" fPhaseErr="0.000" sEqu="((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE">0.035</twClkUncert><twDetPath maxSiteLen="17" twPathCritProcCorner=" at Slow Process Corner"><twSrc BELType='FF'>count_1</twSrc><twDest BELType='FF'>count_23</twDest><twLogLvls>7</twLogLvls><twSrcSite>SLICE_X13Y38.CLK</twSrcSite><twSrcClk twEdge ="twRising" twArriveTime ="0.000">CLK_BUFGP</twSrcClk><twPathDel><twSite>SLICE_X13Y38.BQ</twSite><twDelType>Tcko</twDelType><twDelInfo twEdge="twRising">0.430</twDelInfo><twComp>count&lt;3&gt;</twComp><twBEL>count_1</twBEL></twPathDel><twPathDel><twSite>SLICE_X12Y38.B1</twSite><twDelType>net</twDelType><twFanCnt>3</twFanCnt><twDelInfo twEdge="twRising">0.730</twDelInfo><twComp>count&lt;1&gt;</twComp></twPathDel><twPathDel><twSite>SLICE_X12Y38.COUT</twSite><twDelType>Topcyb</twDelType><twDelInfo twEdge="twRising">0.483</twDelInfo><twComp>Mcount_count_cy&lt;3&gt;</twComp><twBEL>Mcount_count_lut&lt;1&gt;_INV_0</twBEL><twBEL>Mcount_count_cy&lt;3&gt;</twBEL></twPathDel><twPathDel><twSite>SLICE_X12Y39.CIN</twSite><twDelType>net</twDelType><twFanCnt>1</twFanCnt><twDelInfo twEdge="twRising">0.003</twDelInfo><twComp>Mcount_count_cy&lt;3&gt;</twComp></twPathDel><twPathDel><twSite>SLICE_X12Y39.COUT</twSite><twDelType>Tbyp</twDelType><twDelInfo twEdge="twRising">0.093</twDelInfo><twComp>Mcount_count_cy&lt;7&gt;</twComp><twBEL>Mcount_count_cy&lt;7&gt;</twBEL></twPathDel><twPathDel><twSite>SLICE_X12Y40.CIN</twSite><twDelType>net</twDelType><twFanCnt>1</twFanCnt><twDelInfo twEdge="twRising">0.082</twDelInfo><twComp>Mcount_count_cy&lt;7&gt;</twComp></twPathDel><twPathDel><twSite>SLICE_X12Y40.COUT</twSite><twDelType>Tbyp</twDelType><twDelInfo twEdge="twRising">0.093</twDelInfo><twComp>Mcount_count_cy&lt;11&gt;</twComp><twBEL>Mcount_count_cy&lt;11&gt;</twBEL></twPathDel><twPathDel><twSite>SLICE_X12Y41.CIN</twSite><twDelType>net</twDelType><twFanCnt>1</twFanCnt><twDelInfo twEdge="twRising">0.003</twDelInfo><twComp>Mcount_count_cy&lt;11&gt;</twComp></twPathDel><twPathDel><twSite>SLICE_X12Y41.COUT</twSite><twDelType>Tbyp</twDelType><twDelInfo twEdge="twRising">0.093</twDelInfo><twComp>Mcount_count_cy&lt;15&gt;</twComp><twBEL>Mcount_count_cy&lt;15&gt;</twBEL></twPathDel><twPathDel><twSite>SLICE_X12Y42.CIN</twSite><twDelType>net</twDelType><twFanCnt>1</twFanCnt><twDelInfo twEdge="twRising">0.003</twDelInfo><twComp>Mcount_count_cy&lt;15&gt;</twComp></twPathDel><twPathDel><twSite>SLICE_X12Y42.COUT</twSite><twDelType>Tbyp</twDelType><twDelInfo twEdge="twRising">0.093</twDelInfo><twComp>Mcount_count_cy&lt;19&gt;</twComp><twBEL>Mcount_count_cy&lt;19&gt;</twBEL></twPathDel><twPathDel><twSite>SLICE_X12Y43.CIN</twSite><twDelType>net</twDelType><twFanCnt>1</twFanCnt><twDelInfo twEdge="twRising">0.003</twDelInfo><twComp>Mcount_count_cy&lt;19&gt;</twComp></twPathDel><twPathDel><twSite>SLICE_X12Y43.DMUX</twSite><twDelType>Tcind</twDelType><twDelInfo twEdge="twRising">0.320</twDelInfo><twComp>Result&lt;23&gt;</twComp><twBEL>Mcount_count_xor&lt;23&gt;</twBEL></twPathDel><twPathDel><twSite>SLICE_X13Y41.A1</twSite><twDelType>net</twDelType><twFanCnt>1</twFanCnt><twDelInfo twEdge="twRising">0.778</twDelInfo><twComp>Result&lt;23&gt;</twComp></twPathDel><twPathDel><twSite>SLICE_X13Y41.CLK</twSite><twDelType>Tas</twDelType><twDelInfo twEdge="twRising">0.373</twDelInfo><twComp>count&lt;23&gt;</twComp><twBEL>count_23_rstpot</twBEL><twBEL>count_23</twBEL></twPathDel><twLogDel>1.978</twLogDel><twRouteDel>1.602</twRouteDel><twTotDel>3.580</twTotDel><twDestClk twEdge ="twRising" twArriveTime ="31.250">CLK_BUFGP</twDestClk><twPctLog>55.3</twPctLog><twPctRoute>44.7</twPctRoute></twDetPath></twConstPath></twPathRpt><twPathRpt anchorID="20"><twConstPath anchorID="21" twDataPathType="twDataPathMaxDelay" constType="period"><twSlack>27.628</twSlack><twSrc BELType="FF">count_0</twSrc><twDest BELType="FF">count_23</twDest><twTotPathDel>3.570</twTotPathDel><twClkSkew dest = "0.285" src = "0.302">0.017</twClkSkew><twDelConst>31.250</twDelConst><twClkUncert fSysJit="0.070" fInputJit="0.000" fDCMJit="0.000" fPhaseErr="0.000" sEqu="((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE">0.035</twClkUncert><twDetPath maxSiteLen="17" twPathCritProcCorner=" at Slow Process Corner"><twSrc BELType='FF'>count_0</twSrc><twDest BELType='FF'>count_23</twDest><twLogLvls>7</twLogLvls><twSrcSite>SLICE_X13Y38.CLK</twSrcSite><twSrcClk twEdge ="twRising" twArriveTime ="0.000">CLK_BUFGP</twSrcClk><twPathDel><twSite>SLICE_X13Y38.AQ</twSite><twDelType>Tcko</twDelType><twDelInfo twEdge="twRising">0.430</twDelInfo><twComp>count&lt;3&gt;</twComp><twBEL>count_0</twBEL></twPathDel><twPathDel><twSite>SLICE_X12Y38.A2</twSite><twDelType>net</twDelType><twFanCnt>3</twFanCnt><twDelInfo twEdge="twRising">0.729</twDelInfo><twComp>count&lt;0&gt;</twComp></twPathDel><twPathDel><twSite>SLICE_X12Y38.COUT</twSite><twDelType>Topcya</twDelType><twDelInfo twEdge="twRising">0.474</twDelInfo><twComp>Mcount_count_cy&lt;3&gt;</twComp><twBEL>count&lt;0&gt;_rt</twBEL><twBEL>Mcount_count_cy&lt;3&gt;</twBEL></twPathDel><twPathDel><twSite>SLICE_X12Y39.CIN</twSite><twDelType>net</twDelType><twFanCnt>1</twFanCnt><twDelInfo twEdge="twRising">0.003</twDelInfo><twComp>Mcount_count_cy&lt;3&gt;</twComp></twPathDel><twPathDel><twSite>SLICE_X12Y39.COUT</twSite><twDelType>Tbyp</twDelType><twDelInfo twEdge="twRising">0.093</twDelInfo><twComp>Mcount_count_cy&lt;7&gt;</twComp><twBEL>Mcount_count_cy&lt;7&gt;</twBEL></twPathDel><twPathDel><twSite>SLICE_X12Y40.CIN</twSite><twDelType>net</twDelType><twFanCnt>1</twFanCnt><twDelInfo twEdge="twRising">0.082</twDelInfo><twComp>Mcount_count_cy&lt;7&gt;</twComp></twPathDel><twPathDel><twSite>SLICE_X12Y40.COUT</twSite><twDelType>Tbyp</twDelType><twDelInfo twEdge="twRising">0.093</twDelInfo><twComp>Mcount_count_cy&lt;11&gt;</twComp><twBEL>Mcount_count_cy&lt;11&gt;</twBEL></twPathDel><twPathDel><twSite>SLICE_X12Y41.CIN</twSite><twDelType>net</twDelType><twFanCnt>1</twFanCnt><twDelInfo twEdge="twRising">0.003</twDelInfo><twComp>Mcount_count_cy&lt;11&gt;</twComp></twPathDel><twPathDel><twSite>SLICE_X12Y41.COUT</twSite><twDelType>Tbyp</twDelType><twDelInfo twEdge="twRising">0.093</twDelInfo><twComp>Mcount_count_cy&lt;15&gt;</twComp><twBEL>Mcount_count_cy&lt;15&gt;</twBEL></twPathDel><twPathDel><twSite>SLICE_X12Y42.CIN</twSite><twDelType>net</twDelType><twFanCnt>1</twFanCnt><twDelInfo twEdge="twRising">0.003</twDelInfo><twComp>Mcount_count_cy&lt;15&gt;</twComp></twPathDel><twPathDel><twSite>SLICE_X12Y42.COUT</twSite><twDelType>Tbyp</twDelType><twDelInfo twEdge="twRising">0.093</twDelInfo><twComp>Mcount_count_cy&lt;19&gt;</twComp><twBEL>Mcount_count_cy&lt;19&gt;</twBEL></twPathDel><twPathDel><twSite>SLICE_X12Y43.CIN</twSite><twDelType>net</twDelType><twFanCnt>1</twFanCnt><twDelInfo twEdge="twRising">0.003</twDelInfo><twComp>Mcount_count_cy&lt;19&gt;</twComp></twPathDel><twPathDel><twSite>SLICE_X12Y43.DMUX</twSite><twDelType>Tcind</twDelType><twDelInfo twEdge="twRising">0.320</twDelInfo><twComp>Result&lt;23&gt;</twComp><twBEL>Mcount_count_xor&lt;23&gt;</twBEL></twPathDel><twPathDel><twSite>SLICE_X13Y41.A1</twSite><twDelType>net</twDelType><twFanCnt>1</twFanCnt><twDelInfo twEdge="twRising">0.778</twDelInfo><twComp>Result&lt;23&gt;</twComp></twPathDel><twPathDel><twSite>SLICE_X13Y41.CLK</twSite><twDelType>Tas</twDelType><twDelInfo twEdge="twRising">0.373</twDelInfo><twComp>count&lt;23&gt;</twComp><twBEL>count_23_rstpot</twBEL><twBEL>count_23</twBEL></twPathDel><twLogDel>1.969</twLogDel><twRouteDel>1.601</twRouteDel><twTotDel>3.570</twTotDel><twDestClk twEdge ="twRising" twArriveTime ="31.250">CLK_BUFGP</twDestClk><twPctLog>55.2</twPctLog><twPctRoute>44.8</twPctRoute></twDetPath></twConstPath></twPathRpt><twPathRpt anchorID="22"><twConstPath anchorID="23" twDataPathType="twDataPathMaxDelay" constType="period"><twSlack>27.639</twSlack><twSrc BELType="FF">count_5</twSrc><twDest BELType="FF">count_23</twDest><twTotPathDel>3.561</twTotPathDel><twClkSkew dest = "0.285" src = "0.300">0.015</twClkSkew><twDelConst>31.250</twDelConst><twClkUncert fSysJit="0.070" fInputJit="0.000" fDCMJit="0.000" fPhaseErr="0.000" sEqu="((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE">0.035</twClkUncert><twDetPath maxSiteLen="17" twPathCritProcCorner=" at Slow Process Corner"><twSrc BELType='FF'>count_5</twSrc><twDest BELType='FF'>count_23</twDest><twLogLvls>6</twLogLvls><twSrcSite>SLICE_X13Y39.CLK</twSrcSite><twSrcClk twEdge ="twRising" twArriveTime ="0.000">CLK_BUFGP</twSrcClk><twPathDel><twSite>SLICE_X13Y39.BQ</twSite><twDelType>Tcko</twDelType><twDelInfo twEdge="twRising">0.430</twDelInfo><twComp>count&lt;7&gt;</twComp><twBEL>count_5</twBEL></twPathDel><twPathDel><twSite>SLICE_X12Y39.B1</twSite><twDelType>net</twDelType><twFanCnt>3</twFanCnt><twDelInfo twEdge="twRising">0.807</twDelInfo><twComp>count&lt;5&gt;</twComp></twPathDel><twPathDel><twSite>SLICE_X12Y39.COUT</twSite><twDelType>Topcyb</twDelType><twDelInfo twEdge="twRising">0.483</twDelInfo><twComp>Mcount_count_cy&lt;7&gt;</twComp><twBEL>Mcount_count_lut&lt;5&gt;_INV_0</twBEL><twBEL>Mcount_count_cy&lt;7&gt;</twBEL></twPathDel><twPathDel><twSite>SLICE_X12Y40.CIN</twSite><twDelType>net</twDelType><twFanCnt>1</twFanCnt><twDelInfo twEdge="twRising">0.082</twDelInfo><twComp>Mcount_count_cy&lt;7&gt;</twComp></twPathDel><twPathDel><twSite>SLICE_X12Y40.COUT</twSite><twDelType>Tbyp</twDelType><twDelInfo twEdge="twRising">0.093</twDelInfo><twComp>Mcount_count_cy&lt;11&gt;</twComp><twBEL>Mcount_count_cy&lt;11&gt;</twBEL></twPathDel><twPathDel><twSite>SLICE_X12Y41.CIN</twSite><twDelType>net</twDelType><twFanCnt>1</twFanCnt><twDelInfo twEdge="twRising">0.003</twDelInfo><twComp>Mcount_count_cy&lt;11&gt;</twComp></twPathDel><twPathDel><twSite>SLICE_X12Y41.COUT</twSite><twDelType>Tbyp</twDelType><twDelInfo twEdge="twRising">0.093</twDelInfo><twComp>Mcount_count_cy&lt;15&gt;</twComp><twBEL>Mcount_count_cy&lt;15&gt;</twBEL></twPathDel><twPathDel><twSite>SLICE_X12Y42.CIN</twSite><twDelType>net</twDelType><twFanCnt>1</twFanCnt><twDelInfo twEdge="twRising">0.003</twDelInfo><twComp>Mcount_count_cy&lt;15&gt;</twComp></twPathDel><twPathDel><twSite>SLICE_X12Y42.COUT</twSite><twDelType>Tbyp</twDelType><twDelInfo twEdge="twRising">0.093</twDelInfo><twComp>Mcount_count_cy&lt;19&gt;</twComp><twBEL>Mcount_count_cy&lt;19&gt;</twBEL></twPathDel><twPathDel><twSite>SLICE_X12Y43.CIN</twSite><twDelType>net</twDelType><twFanCnt>1</twFanCnt><twDelInfo twEdge="twRising">0.003</twDelInfo><twComp>Mcount_count_cy&lt;19&gt;</twComp></twPathDel><twPathDel><twSite>SLICE_X12Y43.DMUX</twSite><twDelType>Tcind</twDelType><twDelInfo twEdge="twRising">0.320</twDelInfo><twComp>Result&lt;23&gt;</twComp><twBEL>Mcount_count_xor&lt;23&gt;</twBEL></twPathDel><twPathDel><twSite>SLICE_X13Y41.A1</twSite><twDelType>net</twDelType><twFanCnt>1</twFanCnt><twDelInfo twEdge="twRising">0.778</twDelInfo><twComp>Result&lt;23&gt;</twComp></twPathDel><twPathDel><twSite>SLICE_X13Y41.CLK</twSite><twDelType>Tas</twDelType><twDelInfo twEdge="twRising">0.373</twDelInfo><twComp>count&lt;23&gt;</twComp><twBEL>count_23_rstpot</twBEL><twBEL>count_23</twBEL></twPathDel><twLogDel>1.885</twLogDel><twRouteDel>1.676</twRouteDel><twTotDel>3.561</twTotDel><twDestClk twEdge ="twRising" twArriveTime ="31.250">CLK_BUFGP</twDestClk><twPctLog>52.9</twPctLog><twPctRoute>47.1</twPctRoute></twDetPath></twConstPath></twPathRpt><twPathRptBanner sType="PathClass">Hold Paths: NET &quot;CLK_BUFGP/IBUFG&quot; PERIOD = 31.25 ns HIGH 50%;
+</twPathRptBanner><twPathRptBanner iPaths="1" iCriticalPaths="0" sType="EndPoint">Paths for end point LED1 (SLICE_X14Y41.A6), 1 path
+</twPathRptBanner><twPathRpt anchorID="24"><twConstPath anchorID="25" twDataPathType="twDataPathMinDelay" constType="period"><twSlack>0.418</twSlack><twSrc BELType="FF">LED1</twSrc><twDest BELType="FF">LED1</twDest><twTotPathDel>0.418</twTotPathDel><twClkSkew>0.000</twClkSkew><twDelConst>0.000</twDelConst><twClkUncert fSysJit="0.000" fInputJit="0.000" fDCMJit="0.000" fPhaseErr="0.000" sEqu="">0.000</twClkUncert><twDetPath maxSiteLen="16" twPathCritProcCorner=" at Fast Process Corner"><twSrc BELType='FF'>LED1</twSrc><twDest BELType='FF'>LED1</twDest><twLogLvls>1</twLogLvls><twSrcSite>SLICE_X14Y41.CLK</twSrcSite><twSrcClk twEdge ="twRising" twArriveTime ="31.250">CLK_BUFGP</twSrcClk><twPathDel><twSite>SLICE_X14Y41.AQ</twSite><twDelType>Tcko</twDelType><twDelInfo twEdge="twFalling">0.200</twDelInfo><twComp>LED1_OBUF</twComp><twBEL>LED1</twBEL></twPathDel><twPathDel><twSite>SLICE_X14Y41.A6</twSite><twDelType>net</twDelType><twFanCnt>2</twFanCnt><twDelInfo twEdge="twFalling">0.028</twDelInfo><twComp>LED1_OBUF</twComp></twPathDel><twPathDel twHoldTime="TRUE"><twSite>SLICE_X14Y41.CLK</twSite><twDelType>Tah</twDelType><twDelInfo twEdge="twFalling">0.190</twDelInfo><twComp>LED1_OBUF</twComp><twBEL>LED1_rstpot</twBEL><twBEL>LED1</twBEL></twPathDel><twLogDel>0.390</twLogDel><twRouteDel>0.028</twRouteDel><twTotDel>0.418</twTotDel><twDestClk twEdge ="twRising" twArriveTime ="31.250">CLK_BUFGP</twDestClk><twPctLog>93.3</twPctLog><twPctRoute>6.7</twPctRoute></twDetPath></twConstPath></twPathRpt><twPathRptBanner iPaths="6" iCriticalPaths="0" sType="EndPoint">Paths for end point count_12 (SLICE_X15Y41.A6), 6 paths
+</twPathRptBanner><twPathRpt anchorID="26"><twConstPath anchorID="27" twDataPathType="twDataPathMinDelay" constType="period"><twSlack>0.689</twSlack><twSrc BELType="FF">count_14</twSrc><twDest BELType="FF">count_12</twDest><twTotPathDel>0.689</twTotPathDel><twClkSkew>0.000</twClkSkew><twDelConst>0.000</twDelConst><twClkUncert fSysJit="0.000" fInputJit="0.000" fDCMJit="0.000" fPhaseErr="0.000" sEqu="">0.000</twClkUncert><twDetPath maxSiteLen="16" twPathCritProcCorner=" at Fast Process Corner"><twSrc BELType='FF'>count_14</twSrc><twDest BELType='FF'>count_12</twDest><twLogLvls>2</twLogLvls><twSrcSite>SLICE_X15Y41.CLK</twSrcSite><twSrcClk twEdge ="twRising" twArriveTime ="31.250">CLK_BUFGP</twSrcClk><twPathDel><twSite>SLICE_X15Y41.DQ</twSite><twDelType>Tcko</twDelType><twDelInfo twEdge="twRising">0.198</twDelInfo><twComp>count&lt;14&gt;</twComp><twBEL>count_14</twBEL></twPathDel><twPathDel><twSite>SLICE_X14Y41.C6</twSite><twDelType>net</twDelType><twFanCnt>3</twFanCnt><twDelInfo twEdge="twRising">0.032</twDelInfo><twComp>count&lt;14&gt;</twComp></twPathDel><twPathDel><twSite>SLICE_X14Y41.C</twSite><twDelType>Tilo</twDelType><twDelInfo twEdge="twFalling">0.142</twDelInfo><twComp>LED1_OBUF</twComp><twBEL>count[26]_GND_1_o_equal_2_o&lt;26&gt;1</twBEL></twPathDel><twPathDel><twSite>SLICE_X15Y41.A6</twSite><twDelType>net</twDelType><twFanCnt>13</twFanCnt><twDelInfo twEdge="twFalling">0.102</twDelInfo><twComp>count[26]_GND_1_o_equal_2_o&lt;26&gt;</twComp></twPathDel><twPathDel twHoldTime="TRUE"><twSite>SLICE_X15Y41.CLK</twSite><twDelType>Tah</twDelType><twDelInfo twEdge="twFalling">0.215</twDelInfo><twComp>count&lt;14&gt;</twComp><twBEL>count_12_rstpot</twBEL><twBEL>count_12</twBEL></twPathDel><twLogDel>0.555</twLogDel><twRouteDel>0.134</twRouteDel><twTotDel>0.689</twTotDel><twDestClk twEdge ="twRising" twArriveTime ="31.250">CLK_BUFGP</twDestClk><twPctLog>80.6</twPctLog><twPctRoute>19.4</twPctRoute></twDetPath></twConstPath></twPathRpt><twPathRpt anchorID="28"><twConstPath anchorID="29" twDataPathType="twDataPathMinDelay" constType="period"><twSlack>0.829</twSlack><twSrc BELType="FF">count_17</twSrc><twDest BELType="FF">count_12</twDest><twTotPathDel>0.829</twTotPathDel><twClkSkew>0.000</twClkSkew><twDelConst>0.000</twDelConst><twClkUncert fSysJit="0.000" fInputJit="0.000" fDCMJit="0.000" fPhaseErr="0.000" sEqu="">0.000</twClkUncert><twDetPath maxSiteLen="16" twPathCritProcCorner=" at Fast Process Corner"><twSrc BELType='FF'>count_17</twSrc><twDest BELType='FF'>count_12</twDest><twLogLvls>2</twLogLvls><twSrcSite>SLICE_X15Y42.CLK</twSrcSite><twSrcClk twEdge ="twRising" twArriveTime ="31.250">CLK_BUFGP</twSrcClk><twPathDel><twSite>SLICE_X15Y42.CQ</twSite><twDelType>Tcko</twDelType><twDelInfo twEdge="twRising">0.198</twDelInfo><twComp>count&lt;18&gt;</twComp><twBEL>count_17</twBEL></twPathDel><twPathDel><twSite>SLICE_X14Y41.C5</twSite><twDelType>net</twDelType><twFanCnt>3</twFanCnt><twDelInfo twEdge="twRising">0.172</twDelInfo><twComp>count&lt;17&gt;</twComp></twPathDel><twPathDel><twSite>SLICE_X14Y41.C</twSite><twDelType>Tilo</twDelType><twDelInfo twEdge="twFalling">0.142</twDelInfo><twComp>LED1_OBUF</twComp><twBEL>count[26]_GND_1_o_equal_2_o&lt;26&gt;1</twBEL></twPathDel><twPathDel><twSite>SLICE_X15Y41.A6</twSite><twDelType>net</twDelType><twFanCnt>13</twFanCnt><twDelInfo twEdge="twFalling">0.102</twDelInfo><twComp>count[26]_GND_1_o_equal_2_o&lt;26&gt;</twComp></twPathDel><twPathDel twHoldTime="TRUE"><twSite>SLICE_X15Y41.CLK</twSite><twDelType>Tah</twDelType><twDelInfo twEdge="twFalling">0.215</twDelInfo><twComp>count&lt;14&gt;</twComp><twBEL>count_12_rstpot</twBEL><twBEL>count_12</twBEL></twPathDel><twLogDel>0.555</twLogDel><twRouteDel>0.274</twRouteDel><twTotDel>0.829</twTotDel><twDestClk twEdge ="twRising" twArriveTime ="31.250">CLK_BUFGP</twDestClk><twPctLog>66.9</twPctLog><twPctRoute>33.1</twPctRoute></twDetPath></twConstPath></twPathRpt><twPathRpt anchorID="30"><twConstPath anchorID="31" twDataPathType="twDataPathMinDelay" constType="period"><twSlack>0.881</twSlack><twSrc BELType="FF">count_15</twSrc><twDest BELType="FF">count_12</twDest><twTotPathDel>0.881</twTotPathDel><twClkSkew>0.000</twClkSkew><twDelConst>0.000</twDelConst><twClkUncert fSysJit="0.000" fInputJit="0.000" fDCMJit="0.000" fPhaseErr="0.000" sEqu="">0.000</twClkUncert><twDetPath maxSiteLen="16" twPathCritProcCorner=" at Fast Process Corner"><twSrc BELType='FF'>count_15</twSrc><twDest BELType='FF'>count_12</twDest><twLogLvls>2</twLogLvls><twSrcSite>SLICE_X15Y42.CLK</twSrcSite><twSrcClk twEdge ="twRising" twArriveTime ="31.250">CLK_BUFGP</twSrcClk><twPathDel><twSite>SLICE_X15Y42.AQ</twSite><twDelType>Tcko</twDelType><twDelInfo twEdge="twRising">0.198</twDelInfo><twComp>count&lt;18&gt;</twComp><twBEL>count_15</twBEL></twPathDel><twPathDel><twSite>SLICE_X14Y41.C4</twSite><twDelType>net</twDelType><twFanCnt>3</twFanCnt><twDelInfo twEdge="twRising">0.224</twDelInfo><twComp>count&lt;15&gt;</twComp></twPathDel><twPathDel><twSite>SLICE_X14Y41.C</twSite><twDelType>Tilo</twDelType><twDelInfo twEdge="twFalling">0.142</twDelInfo><twComp>LED1_OBUF</twComp><twBEL>count[26]_GND_1_o_equal_2_o&lt;26&gt;1</twBEL></twPathDel><twPathDel><twSite>SLICE_X15Y41.A6</twSite><twDelType>net</twDelType><twFanCnt>13</twFanCnt><twDelInfo twEdge="twFalling">0.102</twDelInfo><twComp>count[26]_GND_1_o_equal_2_o&lt;26&gt;</twComp></twPathDel><twPathDel twHoldTime="TRUE"><twSite>SLICE_X15Y41.CLK</twSite><twDelType>Tah</twDelType><twDelInfo twEdge="twFalling">0.215</twDelInfo><twComp>count&lt;14&gt;</twComp><twBEL>count_12_rstpot</twBEL><twBEL>count_12</twBEL></twPathDel><twLogDel>0.555</twLogDel><twRouteDel>0.326</twRouteDel><twTotDel>0.881</twTotDel><twDestClk twEdge ="twRising" twArriveTime ="31.250">CLK_BUFGP</twDestClk><twPctLog>63.0</twPctLog><twPctRoute>37.0</twPctRoute></twDetPath></twConstPath></twPathRpt><twPathRptBanner iPaths="6" iCriticalPaths="0" sType="EndPoint">Paths for end point LED1 (SLICE_X14Y41.A1), 6 paths
+</twPathRptBanner><twPathRpt anchorID="32"><twConstPath anchorID="33" twDataPathType="twDataPathMinDelay" constType="period"><twSlack>0.812</twSlack><twSrc BELType="FF">count_14</twSrc><twDest BELType="FF">LED1</twDest><twTotPathDel>0.814</twTotPathDel><twClkSkew dest = "0.033" src = "0.031">-0.002</twClkSkew><twDelConst>0.000</twDelConst><twClkUncert fSysJit="0.000" fInputJit="0.000" fDCMJit="0.000" fPhaseErr="0.000" sEqu="">0.000</twClkUncert><twDetPath maxSiteLen="16" twPathCritProcCorner=" at Fast Process Corner"><twSrc BELType='FF'>count_14</twSrc><twDest BELType='FF'>LED1</twDest><twLogLvls>2</twLogLvls><twSrcSite>SLICE_X15Y41.CLK</twSrcSite><twSrcClk twEdge ="twRising" twArriveTime ="31.250">CLK_BUFGP</twSrcClk><twPathDel><twSite>SLICE_X15Y41.DQ</twSite><twDelType>Tcko</twDelType><twDelInfo twEdge="twRising">0.198</twDelInfo><twComp>count&lt;14&gt;</twComp><twBEL>count_14</twBEL></twPathDel><twPathDel><twSite>SLICE_X14Y41.C6</twSite><twDelType>net</twDelType><twFanCnt>3</twFanCnt><twDelInfo twEdge="twRising">0.032</twDelInfo><twComp>count&lt;14&gt;</twComp></twPathDel><twPathDel><twSite>SLICE_X14Y41.C</twSite><twDelType>Tilo</twDelType><twDelInfo twEdge="twFalling">0.142</twDelInfo><twComp>LED1_OBUF</twComp><twBEL>count[26]_GND_1_o_equal_2_o&lt;26&gt;1</twBEL></twPathDel><twPathDel><twSite>SLICE_X14Y41.A1</twSite><twDelType>net</twDelType><twFanCnt>13</twFanCnt><twDelInfo twEdge="twFalling">0.252</twDelInfo><twComp>count[26]_GND_1_o_equal_2_o&lt;26&gt;</twComp></twPathDel><twPathDel twHoldTime="TRUE"><twSite>SLICE_X14Y41.CLK</twSite><twDelType>Tah</twDelType><twDelInfo twEdge="twFalling">0.190</twDelInfo><twComp>LED1_OBUF</twComp><twBEL>LED1_rstpot</twBEL><twBEL>LED1</twBEL></twPathDel><twLogDel>0.530</twLogDel><twRouteDel>0.284</twRouteDel><twTotDel>0.814</twTotDel><twDestClk twEdge ="twRising" twArriveTime ="31.250">CLK_BUFGP</twDestClk><twPctLog>65.1</twPctLog><twPctRoute>34.9</twPctRoute></twDetPath></twConstPath></twPathRpt><twPathRpt anchorID="34"><twConstPath anchorID="35" twDataPathType="twDataPathMinDelay" constType="period"><twSlack>0.954</twSlack><twSrc BELType="FF">count_17</twSrc><twDest BELType="FF">LED1</twDest><twTotPathDel>0.954</twTotPathDel><twClkSkew>0.000</twClkSkew><twDelConst>0.000</twDelConst><twClkUncert fSysJit="0.000" fInputJit="0.000" fDCMJit="0.000" fPhaseErr="0.000" sEqu="">0.000</twClkUncert><twDetPath maxSiteLen="16" twPathCritProcCorner=" at Fast Process Corner"><twSrc BELType='FF'>count_17</twSrc><twDest BELType='FF'>LED1</twDest><twLogLvls>2</twLogLvls><twSrcSite>SLICE_X15Y42.CLK</twSrcSite><twSrcClk twEdge ="twRising" twArriveTime ="31.250">CLK_BUFGP</twSrcClk><twPathDel><twSite>SLICE_X15Y42.CQ</twSite><twDelType>Tcko</twDelType><twDelInfo twEdge="twRising">0.198</twDelInfo><twComp>count&lt;18&gt;</twComp><twBEL>count_17</twBEL></twPathDel><twPathDel><twSite>SLICE_X14Y41.C5</twSite><twDelType>net</twDelType><twFanCnt>3</twFanCnt><twDelInfo twEdge="twRising">0.172</twDelInfo><twComp>count&lt;17&gt;</twComp></twPathDel><twPathDel><twSite>SLICE_X14Y41.C</twSite><twDelType>Tilo</twDelType><twDelInfo twEdge="twFalling">0.142</twDelInfo><twComp>LED1_OBUF</twComp><twBEL>count[26]_GND_1_o_equal_2_o&lt;26&gt;1</twBEL></twPathDel><twPathDel><twSite>SLICE_X14Y41.A1</twSite><twDelType>net</twDelType><twFanCnt>13</twFanCnt><twDelInfo twEdge="twFalling">0.252</twDelInfo><twComp>count[26]_GND_1_o_equal_2_o&lt;26&gt;</twComp></twPathDel><twPathDel twHoldTime="TRUE"><twSite>SLICE_X14Y41.CLK</twSite><twDelType>Tah</twDelType><twDelInfo twEdge="twFalling">0.190</twDelInfo><twComp>LED1_OBUF</twComp><twBEL>LED1_rstpot</twBEL><twBEL>LED1</twBEL></twPathDel><twLogDel>0.530</twLogDel><twRouteDel>0.424</twRouteDel><twTotDel>0.954</twTotDel><twDestClk twEdge ="twRising" twArriveTime ="31.250">CLK_BUFGP</twDestClk><twPctLog>55.6</twPctLog><twPctRoute>44.4</twPctRoute></twDetPath></twConstPath></twPathRpt><twPathRpt anchorID="36"><twConstPath anchorID="37" twDataPathType="twDataPathMinDelay" constType="period"><twSlack>1.006</twSlack><twSrc BELType="FF">count_15</twSrc><twDest BELType="FF">LED1</twDest><twTotPathDel>1.006</twTotPathDel><twClkSkew>0.000</twClkSkew><twDelConst>0.000</twDelConst><twClkUncert fSysJit="0.000" fInputJit="0.000" fDCMJit="0.000" fPhaseErr="0.000" sEqu="">0.000</twClkUncert><twDetPath maxSiteLen="16" twPathCritProcCorner=" at Fast Process Corner"><twSrc BELType='FF'>count_15</twSrc><twDest BELType='FF'>LED1</twDest><twLogLvls>2</twLogLvls><twSrcSite>SLICE_X15Y42.CLK</twSrcSite><twSrcClk twEdge ="twRising" twArriveTime ="31.250">CLK_BUFGP</twSrcClk><twPathDel><twSite>SLICE_X15Y42.AQ</twSite><twDelType>Tcko</twDelType><twDelInfo twEdge="twRising">0.198</twDelInfo><twComp>count&lt;18&gt;</twComp><twBEL>count_15</twBEL></twPathDel><twPathDel><twSite>SLICE_X14Y41.C4</twSite><twDelType>net</twDelType><twFanCnt>3</twFanCnt><twDelInfo twEdge="twRising">0.224</twDelInfo><twComp>count&lt;15&gt;</twComp></twPathDel><twPathDel><twSite>SLICE_X14Y41.C</twSite><twDelType>Tilo</twDelType><twDelInfo twEdge="twFalling">0.142</twDelInfo><twComp>LED1_OBUF</twComp><twBEL>count[26]_GND_1_o_equal_2_o&lt;26&gt;1</twBEL></twPathDel><twPathDel><twSite>SLICE_X14Y41.A1</twSite><twDelType>net</twDelType><twFanCnt>13</twFanCnt><twDelInfo twEdge="twFalling">0.252</twDelInfo><twComp>count[26]_GND_1_o_equal_2_o&lt;26&gt;</twComp></twPathDel><twPathDel twHoldTime="TRUE"><twSite>SLICE_X14Y41.CLK</twSite><twDelType>Tah</twDelType><twDelInfo twEdge="twFalling">0.190</twDelInfo><twComp>LED1_OBUF</twComp><twBEL>LED1_rstpot</twBEL><twBEL>LED1</twBEL></twPathDel><twLogDel>0.530</twLogDel><twRouteDel>0.476</twRouteDel><twTotDel>1.006</twTotDel><twDestClk twEdge ="twRising" twArriveTime ="31.250">CLK_BUFGP</twDestClk><twPctLog>52.7</twPctLog><twPctRoute>47.3</twPctRoute></twDetPath></twConstPath></twPathRpt><twPinLimitRpt anchorID="38"><twPinLimitBanner>Component Switching Limit Checks: NET &quot;CLK_BUFGP/IBUFG&quot; PERIOD = 31.25 ns HIGH 50%;</twPinLimitBanner><twPinLimit anchorID="39" type="MINPERIOD" name="Tbcper_I" slack="28.584" period="31.250" constraintValue="31.250" deviceLimit="2.666" freqLimit="375.094" physResource="CLK_BUFGP/BUFG/I0" logResource="CLK_BUFGP/BUFG/I0" locationPin="BUFGMUX_X2Y2.I0" clockNet="CLK_BUFGP/IBUFG"/><twPinLimit anchorID="40" type="MINPERIOD" name="Tcp" slack="30.775" period="31.250" constraintValue="31.250" deviceLimit="0.475" freqLimit="2105.263" physResource="LED1_OBUF/CLK" logResource="LED1/CK" locationPin="SLICE_X14Y41.CLK" clockNet="CLK_BUFGP"/><twPinLimit anchorID="41" type="MINPERIOD" name="Tcp" slack="30.780" period="31.250" constraintValue="31.250" deviceLimit="0.470" freqLimit="2127.660" physResource="count&lt;3&gt;/CLK" logResource="count_0/CK" locationPin="SLICE_X13Y38.CLK" clockNet="CLK_BUFGP"/></twPinLimitRpt></twConst><twUnmetConstCnt anchorID="42">0</twUnmetConstCnt><twDataSheet anchorID="43" twNameLen="15"><twClk2SUList anchorID="44" twDestWidth="3"><twDest>CLK</twDest><twClk2SU><twSrc>CLK</twSrc><twRiseRise>3.695</twRiseRise></twClk2SU></twClk2SUList><twOffsetTables></twOffsetTables></twDataSheet></twVerboseRpt></twBody><twSum anchorID="45"><twErrCnt>0</twErrCnt><twScore>0</twScore><twSetupScore>0</twSetupScore><twHoldScore>0</twHoldScore><twConstCov><twPathCnt>901</twPathCnt><twNetCnt>0</twNetCnt><twConnCnt>211</twConnCnt></twConstCov><twStats anchorID="46"><twMinPer>3.695</twMinPer><twFootnote number="1" /><twMaxFreq>270.636</twMaxFreq></twStats></twSum><twFoot><twFootnoteExplanation number="1" text="The minimum period statistic assumes all single cycle delays."></twFootnoteExplanation><twTimestamp>Tue Feb 21 22:17:03 2017 </twTimestamp></twFoot><twClientInfo anchorID="47"><twClientName>Trace</twClientName><twAttrList><twAttrListItem><twName>Trace Settings</twName><twValue>
+
+Peak Memory Usage: 390 MB
+</twValue></twAttrListItem></twAttrList></twClientInfo></twReport>
diff --git a/led.unroutes b/led.unroutes
new file mode 100644
index 0000000..f9381ee
--- /dev/null
+++ b/led.unroutes
@@ -0,0 +1,9 @@
+Release 14.7 - par P.20131013 (lin64)
+Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved.
+
+Tue Feb 21 22:16:59 2017
+
+All signals are completely routed.
+
+
+
diff --git a/led.ut b/led.ut
new file mode 100644
index 0000000..d1824d0
--- /dev/null
+++ b/led.ut
@@ -0,0 +1,30 @@
+-w
+-g DebugBitstream:No
+-g Binary:no
+-g CRC:Enable
+-g Reset_on_err:No
+-g ConfigRate:2
+-g ProgPin:PullUp
+-g TckPin:PullUp
+-g TdiPin:PullUp
+-g TdoPin:PullUp
+-g TmsPin:PullUp
+-g UnusedPin:PullDown
+-g UserID:0xFFFFFFFF
+-g ExtMasterCclk_en:No
+-g SPI_buswidth:1
+-g TIMER_CFG:0xFFFF
+-g multipin_wakeup:No
+-g StartUpClk:CClk
+-g DONE_cycle:4
+-g GTS_cycle:5
+-g GWE_cycle:6
+-g LCK_cycle:NoWait
+-g Security:None
+-g DonePipe:Yes
+-g DriveDone:No
+-g en_sw_gsr:No
+-g drive_awake:No
+-g sw_clk:Startupclk
+-g sw_gwe_cycle:5
+-g sw_gts_cycle:4
diff --git a/led.v b/led.v
index 28d6363..c7657f2 100644
--- a/led.v
+++ b/led.v
@@ -18,8 +18,27 @@
// Additional Comments:
//
//////////////////////////////////////////////////////////////////////////////////
-module led(
- );
-
+module led(CLK, LED1);
+ input CLK;
+ output reg LED1;
+
+ reg [26:0] count;
+
+ initial begin
+ count = 26'd16000000;
+ LED1 = 1'b0;
+ end
+
+ always @ (posedge CLK)
+ begin
+ if(count == 1'b0)
+ begin
+ LED1 <= ~LED1;
+ count <= 26'd16000000;
+ end
+ else
+ count <= count - 1'b1;
+ end
+
endmodule
diff --git a/led.xdl b/led.xdl
new file mode 100644
index 0000000..761d70a
--- /dev/null
+++ b/led.xdl
Binary files differ
diff --git a/led.xpi b/led.xpi
new file mode 100644
index 0000000..a50c444
--- /dev/null
+++ b/led.xpi
@@ -0,0 +1,3 @@
+PROGRAM=PAR
+STATE=ROUTED
+TIMESPECS_MET=YES
diff --git a/led.xst b/led.xst
index 0a62f03..0cd81d2 100644
--- a/led.xst
+++ b/led.xst
@@ -2,13 +2,13 @@ set -tmpdir "xst/projnav.tmp"
set -xsthdpdir "xst"
run
-ifn led.prj
--ifmt mixed
-ofn led
-ofmt NGC
--p xc3s250e-4-vq100
+-p xc6slx9-2-tqg144
-top led
-opt_mode Speed
-opt_level 1
+-power NO
-iuc NO
-keep_hierarchy No
-netlist_hierarchy As_Optimized
@@ -22,35 +22,31 @@ run
-case Maintain
-slice_utilization_ratio 100
-bram_utilization_ratio 100
--verilog2001 YES
+-dsp_utilization_ratio 100
+-lc Auto
+-reduce_control_sets Auto
-fsm_extract YES -fsm_encoding Auto
-safe_implementation No
-fsm_style LUT
-ram_extract Yes
-ram_style Auto
-rom_extract Yes
--mux_style Auto
--decoder_extract YES
--priority_extract Yes
-shreg_extract YES
--shift_extract YES
--xor_collapse YES
-rom_style Auto
-auto_bram_packing NO
--mux_extract Yes
-resource_sharing YES
-async_to_sync NO
--mult_style Auto
+-shreg_min_size 2
+-use_dsp48 Auto
-iobuf YES
--max_fanout 500
--bufg 24
+-max_fanout 100000
+-bufg 16
-register_duplication YES
-register_balancing No
--slice_packing YES
-optimize_primitives NO
--use_clock_enable Yes
--use_sync_set Yes
--use_sync_reset Yes
+-use_clock_enable Auto
+-use_sync_set Auto
+-use_sync_reset Auto
-iob Auto
-equivalent_register_removal YES
-slice_utilization_ratio_maxmargin 5
diff --git a/led_bitgen.xwbt b/led_bitgen.xwbt
new file mode 100644
index 0000000..e907caf
--- /dev/null
+++ b/led_bitgen.xwbt
@@ -0,0 +1,8 @@
+INTSTYLE=ise
+INFILE=/home/yannherklotz/Github/FPGA-led-lights/led.ncd
+OUTFILE=/home/yannherklotz/Github/FPGA-led-lights/led.bit
+FAMILY=Spartan6
+PART=xc6slx9-2tqg144
+WORKINGDIR=/home/yannherklotz/Github/FPGA-led-lights
+LICENSE=WebPack
+USER_INFO=211291888_0_0_214
diff --git a/led_envsettings.html b/led_envsettings.html
index 215f634..11d7231 100644
--- a/led_envsettings.html
+++ b/led_envsettings.html
@@ -16,44 +16,51 @@
<tr>
<td>LD_LIBRARY_PATH</td>
<td>/opt/Xilinx/14.7/ISE_DS/ISE//lib/lin64:<br>/opt/Xilinx/14.7/ISE_DS/ISE/lib/lin64:<br>/opt/Xilinx/14.7/ISE_DS/EDK/lib/lin64:<br>/opt/Xilinx/14.7/ISE_DS/common/lib/lin64</td>
-<td><font color=gray>&lt;&nbsp;data not available&nbsp;&gt;</font></td>
-<td><font color=gray>&lt;&nbsp;data not available&nbsp;&gt;</font></td>
-<td><font color=gray>&lt;&nbsp;data not available&nbsp;&gt;</font></td>
+<td>/opt/Xilinx/14.7/ISE_DS/ISE//lib/lin64:<br>/opt/Xilinx/14.7/ISE_DS/ISE/lib/lin64:<br>/opt/Xilinx/14.7/ISE_DS/EDK/lib/lin64:<br>/opt/Xilinx/14.7/ISE_DS/common/lib/lin64</td>
+<td>/opt/Xilinx/14.7/ISE_DS/ISE//lib/lin64:<br>/opt/Xilinx/14.7/ISE_DS/ISE/lib/lin64:<br>/opt/Xilinx/14.7/ISE_DS/EDK/lib/lin64:<br>/opt/Xilinx/14.7/ISE_DS/common/lib/lin64</td>
+<td>/opt/Xilinx/14.7/ISE_DS/ISE//lib/lin64:<br>/opt/Xilinx/14.7/ISE_DS/ISE/lib/lin64:<br>/opt/Xilinx/14.7/ISE_DS/EDK/lib/lin64:<br>/opt/Xilinx/14.7/ISE_DS/common/lib/lin64</td>
</tr>
<tr>
<td>PATH</td>
<td>/opt/Xilinx/14.7/ISE_DS/ISE//bin/lin64:<br>/opt/Xilinx/14.7/ISE_DS/ISE/bin/lin64:<br>/opt/Xilinx/14.7/ISE_DS/ISE/sysgen/util:<br>/opt/Xilinx/14.7/ISE_DS/ISE/../../../DocNav:<br>/opt/Xilinx/14.7/ISE_DS/PlanAhead/bin:<br>/opt/Xilinx/14.7/ISE_DS/EDK/bin/lin64:<br>/opt/Xilinx/14.7/ISE_DS/EDK/gnu/microblaze/lin/bin:<br>/opt/Xilinx/14.7/ISE_DS/EDK/gnu/powerpc-eabi/lin/bin:<br>/opt/Xilinx/14.7/ISE_DS/EDK/gnu/arm/lin/bin:<br>/opt/Xilinx/14.7/ISE_DS/EDK/gnu/microblaze/linux_toolchain/lin64_be/bin:<br>/opt/Xilinx/14.7/ISE_DS/EDK/gnu/microblaze/linux_toolchain/lin64_le/bin:<br>/opt/Xilinx/14.7/ISE_DS/common/bin/lin64:<br>/usr/local/sbin:<br>/usr/local/bin:<br>/usr/bin:<br>/usr/lib/jvm/default/bin:<br>/usr/bin/site_perl:<br>/usr/bin/vendor_perl:<br>/usr/bin/core_perl</td>
-<td><font color=gray>&lt;&nbsp;data not available&nbsp;&gt;</font></td>
-<td><font color=gray>&lt;&nbsp;data not available&nbsp;&gt;</font></td>
-<td><font color=gray>&lt;&nbsp;data not available&nbsp;&gt;</font></td>
+<td>/opt/Xilinx/14.7/ISE_DS/ISE//bin/lin64:<br>/opt/Xilinx/14.7/ISE_DS/ISE/bin/lin64:<br>/opt/Xilinx/14.7/ISE_DS/ISE/sysgen/util:<br>/opt/Xilinx/14.7/ISE_DS/ISE/../../../DocNav:<br>/opt/Xilinx/14.7/ISE_DS/PlanAhead/bin:<br>/opt/Xilinx/14.7/ISE_DS/EDK/bin/lin64:<br>/opt/Xilinx/14.7/ISE_DS/EDK/gnu/microblaze/lin/bin:<br>/opt/Xilinx/14.7/ISE_DS/EDK/gnu/powerpc-eabi/lin/bin:<br>/opt/Xilinx/14.7/ISE_DS/EDK/gnu/arm/lin/bin:<br>/opt/Xilinx/14.7/ISE_DS/EDK/gnu/microblaze/linux_toolchain/lin64_be/bin:<br>/opt/Xilinx/14.7/ISE_DS/EDK/gnu/microblaze/linux_toolchain/lin64_le/bin:<br>/opt/Xilinx/14.7/ISE_DS/common/bin/lin64:<br>/usr/local/sbin:<br>/usr/local/bin:<br>/usr/bin:<br>/usr/lib/jvm/default/bin:<br>/usr/bin/site_perl:<br>/usr/bin/vendor_perl:<br>/usr/bin/core_perl</td>
+<td>/opt/Xilinx/14.7/ISE_DS/ISE//bin/lin64:<br>/opt/Xilinx/14.7/ISE_DS/ISE/bin/lin64:<br>/opt/Xilinx/14.7/ISE_DS/ISE/sysgen/util:<br>/opt/Xilinx/14.7/ISE_DS/ISE/../../../DocNav:<br>/opt/Xilinx/14.7/ISE_DS/PlanAhead/bin:<br>/opt/Xilinx/14.7/ISE_DS/EDK/bin/lin64:<br>/opt/Xilinx/14.7/ISE_DS/EDK/gnu/microblaze/lin/bin:<br>/opt/Xilinx/14.7/ISE_DS/EDK/gnu/powerpc-eabi/lin/bin:<br>/opt/Xilinx/14.7/ISE_DS/EDK/gnu/arm/lin/bin:<br>/opt/Xilinx/14.7/ISE_DS/EDK/gnu/microblaze/linux_toolchain/lin64_be/bin:<br>/opt/Xilinx/14.7/ISE_DS/EDK/gnu/microblaze/linux_toolchain/lin64_le/bin:<br>/opt/Xilinx/14.7/ISE_DS/common/bin/lin64:<br>/usr/local/sbin:<br>/usr/local/bin:<br>/usr/bin:<br>/usr/lib/jvm/default/bin:<br>/usr/bin/site_perl:<br>/usr/bin/vendor_perl:<br>/usr/bin/core_perl</td>
+<td>/opt/Xilinx/14.7/ISE_DS/ISE//bin/lin64:<br>/opt/Xilinx/14.7/ISE_DS/ISE/bin/lin64:<br>/opt/Xilinx/14.7/ISE_DS/ISE/sysgen/util:<br>/opt/Xilinx/14.7/ISE_DS/ISE/../../../DocNav:<br>/opt/Xilinx/14.7/ISE_DS/PlanAhead/bin:<br>/opt/Xilinx/14.7/ISE_DS/EDK/bin/lin64:<br>/opt/Xilinx/14.7/ISE_DS/EDK/gnu/microblaze/lin/bin:<br>/opt/Xilinx/14.7/ISE_DS/EDK/gnu/powerpc-eabi/lin/bin:<br>/opt/Xilinx/14.7/ISE_DS/EDK/gnu/arm/lin/bin:<br>/opt/Xilinx/14.7/ISE_DS/EDK/gnu/microblaze/linux_toolchain/lin64_be/bin:<br>/opt/Xilinx/14.7/ISE_DS/EDK/gnu/microblaze/linux_toolchain/lin64_le/bin:<br>/opt/Xilinx/14.7/ISE_DS/common/bin/lin64:<br>/usr/local/sbin:<br>/usr/local/bin:<br>/usr/bin:<br>/usr/lib/jvm/default/bin:<br>/usr/bin/site_perl:<br>/usr/bin/vendor_perl:<br>/usr/bin/core_perl</td>
</tr>
<tr>
<td>XILINX</td>
<td>/opt/Xilinx/14.7/ISE_DS/ISE/</td>
-<td><font color=gray>&lt;&nbsp;data not available&nbsp;&gt;</font></td>
-<td><font color=gray>&lt;&nbsp;data not available&nbsp;&gt;</font></td>
-<td><font color=gray>&lt;&nbsp;data not available&nbsp;&gt;</font></td>
+<td>/opt/Xilinx/14.7/ISE_DS/ISE/</td>
+<td>/opt/Xilinx/14.7/ISE_DS/ISE/</td>
+<td>/opt/Xilinx/14.7/ISE_DS/ISE/</td>
</tr>
<tr>
<td>XILINX_DSP</td>
<td>/opt/Xilinx/14.7/ISE_DS/ISE</td>
-<td><font color=gray>&lt;&nbsp;data not available&nbsp;&gt;</font></td>
-<td><font color=gray>&lt;&nbsp;data not available&nbsp;&gt;</font></td>
-<td><font color=gray>&lt;&nbsp;data not available&nbsp;&gt;</font></td>
+<td>/opt/Xilinx/14.7/ISE_DS/ISE</td>
+<td>/opt/Xilinx/14.7/ISE_DS/ISE</td>
+<td>/opt/Xilinx/14.7/ISE_DS/ISE</td>
</tr>
<tr>
<td>XILINX_EDK</td>
<td>/opt/Xilinx/14.7/ISE_DS/EDK</td>
-<td><font color=gray>&lt;&nbsp;data not available&nbsp;&gt;</font></td>
-<td><font color=gray>&lt;&nbsp;data not available&nbsp;&gt;</font></td>
-<td><font color=gray>&lt;&nbsp;data not available&nbsp;&gt;</font></td>
+<td>/opt/Xilinx/14.7/ISE_DS/EDK</td>
+<td>/opt/Xilinx/14.7/ISE_DS/EDK</td>
+<td>/opt/Xilinx/14.7/ISE_DS/EDK</td>
</tr>
<tr>
<td>XILINX_PLANAHEAD</td>
<td>/opt/Xilinx/14.7/ISE_DS/PlanAhead</td>
-<td><font color=gray>&lt;&nbsp;data not available&nbsp;&gt;</font></td>
-<td><font color=gray>&lt;&nbsp;data not available&nbsp;&gt;</font></td>
-<td><font color=gray>&lt;&nbsp;data not available&nbsp;&gt;</font></td>
+<td>/opt/Xilinx/14.7/ISE_DS/PlanAhead</td>
+<td>/opt/Xilinx/14.7/ISE_DS/PlanAhead</td>
+<td>/opt/Xilinx/14.7/ISE_DS/PlanAhead</td>
+</tr>
+<tr>
+<td>XIL_MAP_LOCWARN</td>
+<td>1</td>
+<td>1</td>
+<td>1</td>
+<td>1</td>
</tr>
</TABLE>
<A NAME="Synthesis Property Settings"></A>
@@ -74,12 +81,6 @@
<td>&nbsp;</td>
</tr>
<tr>
-<td>-ifmt</td>
-<td>&nbsp;</td>
-<td>mixed</td>
-<td>MIXED</td>
-</tr>
-<tr>
<td>-ofn</td>
<td>&nbsp;</td>
<td>led</td>
@@ -94,7 +95,7 @@
<tr>
<td>-p</td>
<td>&nbsp;</td>
-<td>xc3s250e-4-vq100</td>
+<td>xc6slx9-2-tqg144</td>
<td>&nbsp;</td>
</tr>
<tr>
@@ -107,7 +108,7 @@
<td>-opt_mode</td>
<td>Optimization Goal</td>
<td>Speed</td>
-<td>SPEED</td>
+<td>Speed</td>
</tr>
<tr>
<td>-opt_level</td>
@@ -116,52 +117,58 @@
<td>1</td>
</tr>
<tr>
+<td>-power</td>
+<td>Power Reduction</td>
+<td>NO</td>
+<td>No</td>
+</tr>
+<tr>
<td>-iuc</td>
<td>Use synthesis Constraints File</td>
<td>NO</td>
-<td>NO</td>
+<td>No</td>
</tr>
<tr>
<td>-keep_hierarchy</td>
<td>Keep Hierarchy</td>
<td>No</td>
-<td>NO</td>
+<td>No</td>
</tr>
<tr>
<td>-netlist_hierarchy</td>
<td>Netlist Hierarchy</td>
<td>As_Optimized</td>
-<td>as_optimized</td>
+<td>As_Optimized</td>
</tr>
<tr>
<td>-rtlview</td>
<td>Generate RTL Schematic</td>
<td>Yes</td>
-<td>NO</td>
+<td>No</td>
</tr>
<tr>
<td>-glob_opt</td>
<td>Global Optimization Goal</td>
<td>AllClockNets</td>
-<td>ALLCLOCKNETS</td>
+<td>AllClockNets</td>
</tr>
<tr>
<td>-read_cores</td>
<td>Read Cores</td>
<td>YES</td>
-<td>YES</td>
+<td>Yes</td>
</tr>
<tr>
<td>-write_timing_constraints</td>
<td>Write Timing Constraints</td>
<td>NO</td>
-<td>NO</td>
+<td>No</td>
</tr>
<tr>
<td>-cross_clock_analysis</td>
<td>Cross Clock Analysis</td>
<td>NO</td>
-<td>NO</td>
+<td>No</td>
</tr>
<tr>
<td>-bus_delimiter</td>
@@ -173,37 +180,43 @@
<td>-slice_utilization_ratio</td>
<td>Slice Utilization Ratio</td>
<td>100</td>
-<td>100%</td>
+<td>100</td>
</tr>
<tr>
<td>-bram_utilization_ratio</td>
<td>BRAM Utilization Ratio</td>
<td>100</td>
-<td>100%</td>
+<td>100</td>
</tr>
<tr>
-<td>-verilog2001</td>
-<td>Verilog 2001</td>
-<td>YES</td>
-<td>YES</td>
+<td>-dsp_utilization_ratio</td>
+<td>DSP Utilization Ratio</td>
+<td>100</td>
+<td>100</td>
+</tr>
+<tr>
+<td>-reduce_control_sets</td>
+<td>&nbsp;</td>
+<td>Auto</td>
+<td>Auto</td>
</tr>
<tr>
<td>-fsm_extract</td>
<td>&nbsp;</td>
<td>YES</td>
-<td>YES</td>
+<td>Yes</td>
</tr>
<tr>
<td>-fsm_encoding</td>
<td>&nbsp;</td>
<td>Auto</td>
-<td>AUTO</td>
+<td>Auto</td>
</tr>
<tr>
<td>-safe_implementation</td>
<td>&nbsp;</td>
<td>No</td>
-<td>NO</td>
+<td>No</td>
</tr>
<tr>
<td>-fsm_style</td>
@@ -215,127 +228,283 @@
<td>-ram_extract</td>
<td>&nbsp;</td>
<td>Yes</td>
-<td>YES</td>
+<td>Yes</td>
</tr>
<tr>
<td>-ram_style</td>
<td>&nbsp;</td>
<td>Auto</td>
-<td>AUTO</td>
+<td>Auto</td>
</tr>
<tr>
<td>-rom_extract</td>
<td>&nbsp;</td>
<td>Yes</td>
-<td>YES</td>
+<td>Yes</td>
</tr>
<tr>
<td>-shreg_extract</td>
<td>&nbsp;</td>
<td>YES</td>
-<td>YES</td>
+<td>Yes</td>
</tr>
<tr>
<td>-rom_style</td>
<td>&nbsp;</td>
<td>Auto</td>
-<td>AUTO</td>
+<td>Auto</td>
</tr>
<tr>
<td>-auto_bram_packing</td>
<td>&nbsp;</td>
<td>NO</td>
-<td>NO</td>
+<td>No</td>
</tr>
<tr>
<td>-resource_sharing</td>
<td>&nbsp;</td>
<td>YES</td>
-<td>YES</td>
+<td>Yes</td>
</tr>
<tr>
<td>-async_to_sync</td>
<td>&nbsp;</td>
<td>NO</td>
-<td>NO</td>
+<td>No</td>
</tr>
<tr>
-<td>-mult_style</td>
+<td>-use_dsp48</td>
<td>&nbsp;</td>
<td>Auto</td>
-<td>AUTO</td>
+<td>Auto</td>
</tr>
<tr>
<td>-iobuf</td>
<td>&nbsp;</td>
<td>YES</td>
-<td>YES</td>
+<td>Yes</td>
</tr>
<tr>
<td>-max_fanout</td>
<td>&nbsp;</td>
-<td>500</td>
-<td>500</td>
+<td>100000</td>
+<td>100000</td>
</tr>
<tr>
<td>-bufg</td>
<td>&nbsp;</td>
-<td>24</td>
-<td>24</td>
+<td>16</td>
+<td>16</td>
</tr>
<tr>
<td>-register_duplication</td>
<td>&nbsp;</td>
<td>YES</td>
-<td>YES</td>
+<td>Yes</td>
</tr>
<tr>
<td>-register_balancing</td>
<td>&nbsp;</td>
<td>No</td>
-<td>NO</td>
+<td>No</td>
</tr>
<tr>
<td>-optimize_primitives</td>
<td>&nbsp;</td>
<td>NO</td>
-<td>NO</td>
+<td>No</td>
</tr>
<tr>
<td>-use_clock_enable</td>
<td>&nbsp;</td>
-<td>Yes</td>
-<td>YES</td>
+<td>Auto</td>
+<td>Auto</td>
</tr>
<tr>
<td>-use_sync_set</td>
<td>&nbsp;</td>
-<td>Yes</td>
-<td>YES</td>
+<td>Auto</td>
+<td>Auto</td>
</tr>
<tr>
<td>-use_sync_reset</td>
<td>&nbsp;</td>
-<td>Yes</td>
-<td>YES</td>
+<td>Auto</td>
+<td>Auto</td>
</tr>
<tr>
<td>-iob</td>
<td>&nbsp;</td>
<td>Auto</td>
-<td>AUTO</td>
+<td>Auto</td>
</tr>
<tr>
<td>-equivalent_register_removal</td>
<td>&nbsp;</td>
<td>YES</td>
-<td>YES</td>
+<td>Yes</td>
</tr>
<tr>
<td>-slice_utilization_ratio_maxmargin</td>
<td>&nbsp;</td>
<td>5</td>
-<td>0%</td>
+<td>0</td>
+</tr>
+</TABLE>
+<A NAME="Translation Property Settings"></A>
+&nbsp;<BR><TABLE BORDER CELLSPACING=0 CELLPADDING=3 WIDTH='100%'>
+<TR ALIGN=CENTER BGCOLOR='#99CCFF'>
+<TD ALIGN=CENTER COLSPAN='4'><B>Translation Property Settings </B></TD>
+</tr>
+<tr bgcolor='#ffff99'>
+<td><b>Switch Name</b></td>
+<td><b>Property Name</b></td>
+<td><b>Value</b></td>
+<td><b>Default Value</b></td>
+</tr>
+<tr>
+<td>-aul</td>
+<td>Allow Unmatched LOC Constraints</td>
+<td>true</td>
+<td>false</td>
+</tr>
+<tr>
+<td>-intstyle</td>
+<td>&nbsp;</td>
+<td>ise</td>
+<td>None</td>
+</tr>
+<tr>
+<td>-dd</td>
+<td>&nbsp;</td>
+<td>_ngo</td>
+<td>None</td>
+</tr>
+<tr>
+<td>-p</td>
+<td>&nbsp;</td>
+<td>xc6slx9-tqg144-2</td>
+<td>None</td>
+</tr>
+<tr>
+<td>-uc</td>
+<td>&nbsp;</td>
+<td>BPC3011-Papilio_Pro-general.ucf</td>
+<td>None</td>
+</tr>
+</TABLE>
+<A NAME="Map Property Settings"></A>
+&nbsp;<BR><TABLE BORDER CELLSPACING=0 CELLPADDING=3 WIDTH='100%'>
+<TR ALIGN=CENTER BGCOLOR='#99CCFF'>
+<TD ALIGN=CENTER COLSPAN='4'><B>Map Property Settings </B></TD>
+</tr>
+<tr bgcolor='#ffff99'>
+<td><b>Switch Name</b></td>
+<td><b>Property Name</b></td>
+<td><b>Value</b></td>
+<td><b>Default Value</b></td>
+</tr>
+<tr>
+<td>-ol</td>
+<td>Place & Route Effort Level (Overall)</td>
+<td>high</td>
+<td>high</td>
+</tr>
+<tr>
+<td>-xt</td>
+<td>Extra Cost Tables</td>
+<td>0</td>
+<td>0</td>
+</tr>
+<tr>
+<td>-ir</td>
+<td>Use RLOC Constraints</td>
+<td>OFF</td>
+<td>OFF</td>
+</tr>
+<tr>
+<td>-t</td>
+<td>Starting Placer Cost Table (1-100) Map</td>
+<td>1</td>
+<td>0</td>
+</tr>
+<tr>
+<td>-r</td>
+<td>Register Ordering</td>
+<td>4</td>
+<td>4</td>
+</tr>
+<tr>
+<td>-intstyle</td>
+<td>&nbsp;</td>
+<td>ise</td>
+<td>None</td>
+</tr>
+<tr>
+<td>-lc</td>
+<td>LUT Combining</td>
+<td>off</td>
+<td>off</td>
+</tr>
+<tr>
+<td>-o</td>
+<td>&nbsp;</td>
+<td>led_map.ncd</td>
+<td>None</td>
+</tr>
+<tr>
+<td>-w</td>
+<td>&nbsp;</td>
+<td>true</td>
+<td>false</td>
+</tr>
+<tr>
+<td>-pr</td>
+<td>Pack I/O Registers/Latches into IOBs</td>
+<td>off</td>
+<td>off</td>
+</tr>
+<tr>
+<td>-p</td>
+<td>&nbsp;</td>
+<td>xc6slx9-tqg144-2</td>
+<td>None</td>
+</tr>
+</TABLE>
+<A NAME="Place and Route Property Settings"></A>
+&nbsp;<BR><TABLE BORDER CELLSPACING=0 CELLPADDING=3 WIDTH='100%'>
+<TR ALIGN=CENTER BGCOLOR='#99CCFF'>
+<TD ALIGN=CENTER COLSPAN='4'><B>Place and Route Property Settings </B></TD>
+</tr>
+<tr bgcolor='#ffff99'>
+<td><b>Switch Name</b></td>
+<td><b>Property Name</b></td>
+<td><b>Value</b></td>
+<td><b>Default Value</b></td>
+</tr>
+<tr>
+<td>-intstyle</td>
+<td>&nbsp;</td>
+<td>ise</td>
+<td>&nbsp;</td>
+</tr>
+<tr>
+<td>-mt</td>
+<td>Enable Multi-Threading</td>
+<td>off</td>
+<td>off</td>
+</tr>
+<tr>
+<td>-ol</td>
+<td>Place & Route Effort Level (Overall)</td>
+<td>high</td>
+<td>std</td>
+</tr>
+<tr>
+<td>-w</td>
+<td>&nbsp;</td>
+<td>true</td>
+<td>false</td>
</tr>
</TABLE>
<A NAME="Operating System Information"></A>
@@ -353,30 +522,30 @@
<tr>
<td>CPU Architecture/Speed</td>
<td>Intel(R) Core(TM) i5-7200U CPU @ 2.50GHz/3099.957 MHz</td>
-<td><font color=gray>&lt;&nbsp; data not available &nbsp;&gt;</font></td>
-<td><font color=gray>&lt;&nbsp; data not available &nbsp;&gt;</font></td>
-<td><font color=gray>&lt;&nbsp; data not available &nbsp;&gt;</font></td>
+<td>Intel(R) Core(TM) i5-7200U CPU @ 2.50GHz/3099.957 MHz</td>
+<td>Intel(R) Core(TM) i5-7200U CPU @ 2.50GHz/3100.451 MHz</td>
+<td>Intel(R) Core(TM) i5-7200U CPU @ 2.50GHz/3100.122 MHz</td>
</tr>
<tr>
<td>Host</td>
<td>yann-arch</td>
-<td><font color=gray>&lt;&nbsp; data not available &nbsp;&gt;</font></td>
-<td><font color=gray>&lt;&nbsp; data not available &nbsp;&gt;</font></td>
-<td><font color=gray>&lt;&nbsp; data not available &nbsp;&gt;</font></td>
+<td>yann-arch</td>
+<td>yann-arch</td>
+<td>yann-arch</td>
</tr>
<tr>
<td>OS Name</td>
<td>unknown</td>
-<td><font color=gray>&lt;&nbsp; data not available &nbsp;&gt;</font></td>
-<td><font color=gray>&lt;&nbsp; data not available &nbsp;&gt;</font></td>
-<td><font color=gray>&lt;&nbsp; data not available &nbsp;&gt;</font></td>
+<td>unknown</td>
+<td>unknown</td>
+<td>unknown</td>
</tr>
<tr>
<td>OS Release</td>
<td>unknown</td>
-<td><font color=gray>&lt;&nbsp; data not available &nbsp;&gt;</font></td>
-<td><font color=gray>&lt;&nbsp; data not available &nbsp;&gt;</font></td>
-<td><font color=gray>&lt;&nbsp; data not available &nbsp;&gt;</font></td>
+<td>unknown</td>
+<td>unknown</td>
+<td>unknown</td>
</tr>
</TABLE>
</BODY> </HTML> \ No newline at end of file
diff --git a/led_guide.ncd b/led_guide.ncd
new file mode 100644
index 0000000..bc25375
--- /dev/null
+++ b/led_guide.ncd
@@ -0,0 +1,3 @@
+XILINX-XDB 0.1 STUB 0.1 ASCII
+XILINX-XDM V1.6
+###5788:XlxV32DM 3fff 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###4532:XlxV32DM 3fff 119ceNq1W8uS5CgS/Jm97YU3eljf9xv2kGaSQGZ92TnMsa3/fSOAgJASZaWyemasW12OhCIEcg8HlR4mv87/klpOyuI/lnUyfv6pwiQmgJdpMTP8GOHH+S+pHB5//71hs/r1Y1WT9Hb+scUJ2qBBWlVbvJh/SCmgxWLLtuUWOFeF+eFWAJdYz7Z6fsAfONGJ1rky88PL1PHOUDx3TGhkqJofek0oC0/J+aF2QNcWmV3g+oB5rCWmLcWf8zGpC5lbpNhTAtjk9pTHUq/BPAyC21iwhfWTkrGC+omtn5j6Geo12I/Gx7f5gg2sH4Vnm536Ca2fkPpx9RrsRyHoczwPFeeE4w19jvuh/ZzumbCc/8PCUGE+Ccvj9HBbGlfEfq+rm+QUp3GS7tf/pJGTNG7+j4SpAn8NOIFgnF2eP87w+SO9axNIYRbzT+3ywSdQr+mnlGG+ZBBwSXpycNDpJDvmQ0igW9u52L3bUqvHQZtXKfGIf8SvHJlPcSqa6KZMdIdT2qYpvQa8nebXDPP/pIb3Y3v9fqjn9wMiUOcItq8jUOcIQokgXEXQu7M+3XmQX9/ZnO48qHLn+Dp33cl9xWPknen5v9KYafi1D9Oqp32cVjPty7TaaV8nOP93utme/4vlTrKN/ZIHvYx9zIc8lbwod5flqMpRl6NJp8FbVI66HAm39NzM+bkNXz83d35uY3lu++3n9newhZ9c5lTo/MyprnCqIU4NhaXg3MqpazubcappnTdOdZqhlVOdYmjlVCcZWjnVtXsRp4bSaTCFw9wTp8IczRzmiFP3ek3l1JqbYv0cOVWq1k/m1FivaZxKfQvWz5FTgS9qP5lTQ72mcWponIp44srYOBXvmbC9cSrmg9ggGqfiWCVOlQ7odNom4HpNpOozqZb3/xafltZ1fkGsMC/7xJoZtDFqo1L7AZUuF1QKvP4BlboPqHS7oFIgw7vFDkTgP6DUeEGpg/rgGQgcsq3Dqf7XHqYVuDNO6wgMOq0wgHD2a0btUun3OTSmWd6hQ4i25BxYzpHTIePBWumITIRREBGGUnrF9Prh29QoCE9nfDeyThjhDRxujOc53CjPcZg4LxA35Jq3xJkJzhKh+Ewo2JYZLgz1qsZwpfoMG+8pU5yhnhzrKXFccPWqynGhpBsW3lMiOaupJ8t6SiwXTL2qstygGMuVKnHQjOVKlTgYxnJUJY4pIfyf+IxKQ1GoTMN0UYl//i1VKtrWMRxnzSjzpNGGJk252Nj550Bvhs9TbtyOr+ZIb5kt1wz1Rr//TuHpXz9GLKEhlxGf+zY/8C9oLeMKxyEiKDClPKTwJleKHktKsqb017AdMpLWHVMa9hINpJ/PbRnY4ZS+yBm4asvo9TX1qp+UUg6vl7/p5J9OhvztkPL+YS3P39K7AdEP2Dqkp4RTyLcWhB7QjI8mwXB3Gu00UBBEydYpyDaUbNOY0yU1XF1o2W0lXHG+pMUF1w4wS4c0dRmIA0WjJYE8IJARNTWUqDzNwaGEBdNILU3tNiRc5idQQBVTw2U+yC/cn3OXhLkCSpslVesKj6gk2rSfLf5s289YAnIfVGCFvW1Zt1sQIwZpWhAjBmlaECPqusnFQJL3AmOsadRB5wvXD7V1wZASUZafk5NqTyaJIXNaSZpYnZBoO2vCqiT26xj30zw2tRQeGPcvx1J4Pcpfvcci57485x8t0w0IwZ8ktzKJpxDKOwvv8E+bxStxPPSSyyDoJXV/SKQUL1bcSsQcE1Hz0bLV9HgC4zmBop96uJGAPo/EUkbCyu+MhOsmYA4JpHKUJ7DQCIx3EljOCdAIqNcJmGMC9piAPk4leZxK6pCIPo/EQiOxvp0I1A+lXMEhUWCPzJZUtwgyDjecCwqOcJ53CKtSV2C7Q3FXYmlNWPfoxMr7xlAqBOQuGQrcrtEnKqkYugKayhVnGQrx6VR6bHQuFjEpPpG6GAgeKD5P8YnWhPGlAkHuhqFUXsjoGQrxJemR0TEU4lN5GW9hKMQHf/D57fRUy/NLdU6eNQkuNRC2l/iG1lTrRrmvDCXzLHfBUIjPLSl5x1CIz+WykfcA8bkB5QpPtfnxgTNIw5iO8HKko04/P2w28HXU95yMjSmZoc2cnAy0l2Q2NqkgGStS2JGhVF/KXTEUkjGpc2kYCsmYkKQz6mfppFpn7EtneFc6j4q5faaYbj443dHPR+nU70lnPEnnMh8sctbMYpE/lk5/kk7zQjpTzD3ptPoW311J56XVgluvV5K53SHsS8k035FMeyRs95Kww5V0LjcSMeJKOu2tROx7RcwhgUvpDHcSUFfS6b4jnW7ur172RsLIK+mMd6ST+AyGpElnJHGD4WbSqSv5kXRC+0k6dVc6dVc6dVc69UE6A0OrdMYqHiuXTl1vt1F861k69daTTr31pBPRZ+lEtEqnY7ds0hmp9EhurUqnoRRNWWrF9pN0GtWTTqN60onos3Qi2qRzZ+iTdMLjyVIZyrFIJ4TVpDPWgTlIpxFt5pSH/SSdRvSk04iedCL6LJ2INunc7LN0LkU6l29KJ3epf9B1Nrt50FD3mf281FCmue+LqTqJqTuJqX8hpsZcian/I2LaZcCjDzX6SlT3O1TurkR1+CM+tOuCTonYC1E14kYicr0S1WoKx396ZWC5ENe2hq/eSCRcietya2rJz8scuV2Iq9Hvi2uTNJhjTdK8ZGiTtMp4mkuaqbAhydBnSTOmJ2nG9CQN0WdJQ7RKmuf9VknbyMPCo2GSJkmfZSC3ulF8rIlJ2s7QJmmaoU3SLEOrpNmNoU+SBo8nS5gtrnApx41J2lYt88IlTZLcwj9KMgslw5qapMWBoU3SLEOrpAnJ0CJpkAzvt9Vf1ANkweov49rMKZPBnusv43r1l3G9+gvRUn/hku6C4gqPiYnrWsR17YtrpBfuqKnrFyu5S9XUv7TM0qpO9vOG1PovpBZVy+QvG8x6ktbtJK3htNIbT3Z2P0r1xRJwJoKfzp+kdzhJ7QspfsPXgpfxFxrsqguxXaK0vXE7S9aJ6Y9LqNpdaK+J7zN9Jivf0V5dl1A3lsB4TOBdKXbvL0jKfTlFRCLqhtcRqWNE8hiReylFpyrnuNYr/Dmi8ozc8joiM/fHvCuO7ztP5r4gtEbhdbkQUaLwtu6GaKXwuvSJKFF4W7BFFEjPeSL25D4cI/Cdtp33vAj5MPlua4MbSwd2cmPp4BlKLC3p46CEVpYOgqFtgVkxFOI1K5danNwpNC35ljrCjaBptzqhlaBp5zmhZJDrLnJCazVBX90ktFYT9E1PQlE/0hZ12Nqz5La5+l1PTs5lWanb+9jUaowqe9qzGqPKnvatxmiyp32rMZSIDKUaQwnXJkB6dDmIuhohfC0kUK+GZzO4Fb3a+nq1XJnBjzygOgmTJmHqbjiak+O7UJvu9uL20tb5k5boL2zdeXvRMlunwpWkfLEnJC9MxPt2Tm1XknJrV05e2DmnX2viFwm8WtwVV/ZtveF60szq2TdnrgLvS8wbAasrm7bdCdhe2DRnXz9p99Jv2pdqfdRGba5sWng7kUbC2B3f6Kp8ZokWDdHi0JqatYkLQ5u1cQyt1kZwlHRRScnQJ2ujtrJKJ8pRlaPhG121Y3XY6CJt1ZqSUZSMb03M2kSGNmszMLRZG8NQEk0lBUObaNZzxWFpuWYuKT5B8bnW1JQzrgxt1sYztC4tC8VQUk6mQbKjnPi0mUYqMrOKfK7azj5chaaRTfdU6GmkCtyHDwxtS8uGoUUjf+NXXE8uLRTVC++pXjgtge5vL4H27Jo92TV3UkV/smvmH7Zrr11avOXSzM3dR31SVshtHfcLRdXVpPl3BMncKdgPyjpebj7aG8KaXpeuV9Pf8SHu449HcqXd82pa/BGv9n7pkiig59G0uvVs9J3dQXXtz9kKl+A7b3VdSbCdt7b0KPjOW932EYedN81QMhZjYdKR78aNxZiMeybM8bwVBw3Py5YIElvWD0V3zpW+gZUqaSETwbpiuRNlC9F3E4Jrt2Box9OKrqcVXU8rup5WPHvacW3CrSR9Pxw9t7T0mxYIN3Wm35tIaFVn+s2/hFZLS79Hl9Bqaem32RJa1Zl+Vy6hZGmVGNugM0u78dlUhBk36dI64gLvQ1OoWBQqvvdp6N73ZctJmNaXwqTnC7t272sXexKe8O4XokyoPt+i0zc/FXX8U9Er5bHrrZ2tPiG+/K5PxisvJ9+XHBn3C8lx/jOCv7EfdPrgUl7trVXH5D7Y7XQ3NgmVuDJt5oZpU/pqby18Z9tW30lEXZk2e+PDFfqNYuyOmTZFfKvI5yj19HWnbsSvBLuAmTbP0Er8cWRo24/aGXowbQb3dWL5KrGYNqX4PlTtUHCzpqp3IDOkyAw1qyD5pxWWoSQHzIJIJgc2MrSaNcHRtg9VZWY/EH9scHNkGzu5lRxNk3ZWcjRN2vlapmNo+052YGhdy9zq963x8PVs3V7caQsvPn09u3e/nt27X8/u3a9nefFhA0OrT8OF2bY8+X8yr1lz###3940:XlxV32DM 3fff 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###2680:XlxV32DM 3fff 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###2332:XlxV32DM 3fff 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###1456:XlxV32DM 12d2 598eNqtV8uS2zgM/Jn9AIEgRFG6pHYvOeSwqWQ/QM/jVPmQvaj879uUZKklWzPOeGdqhhLRbIJAA7QHH3z06gcz86pZhufWmxW+s8EKjRbVq9vP42lY1kXf492paJFlVuBZ1V5gkYXF7VjaiUU0u+NpH/K4dBLwJKY7/G8xifqVSZN3n2YqVh73kkfZyiPv+CP/W4zkiRgVFKPPZ3+Ky+KPfF5DC4ccsSuHfMihK4c/4cie0HJYKyKxpHm/Z7DgWxVvE9sJA627ZSSt1GWlrCyTMh6gZce91vnCMMXrw1U3j+YOcaKA5/c+Y75e38zyMiuL8Q2UZaHVLwShdNU/onnpQj5q2qx+vJUFc7egwB7U3R1vE9Fst5ODyqmMPxaPX8Xz+VIIaxuUF8oprOWUv1Tegcrbv9SWHbWcF0o8RXbxx50Jb6eGZ4pLTiU7tZKF71FGeG3y+FwjxHenytnnWZWPG4L/bY/nGnjcGLgK3MP93F1039tRqercxzHCf1g/jJQ+Fe0948N6f7fVhify0T9ss+sOq970JJbhmSysKpvboW/QDWXuhs2tGWK6LQXT07uk936CrXbz27JiW2a2X2ZhgxnBigOs3mCOYM0Gy/AeiU3ChosHujojnN9wtRxwSjghnD/g8g3XEyzsYT3ROd1w/YGubwmXEa474AZyr9twQ7bHDY5wNeF0jxNHhG7YgFDKAQnAhmwZGY9I0o+LjGyPyJ6QOSOHAzIQp5IoJRw5C5Khkg6lsCOStKPGyOKIJDGqY2RzQLakM096lJY2854Nx81a2swLI+8268itnpH9AdlHqk06quNc5ZQr9FMyFGwgqpx04EzIQJpzkbKcU+5cbWTo2EDlkJMqXUPJzenEmpG7IWMDuRso7irkblA2kNADxV8DryCvlJMe2KuWqTo2UNkFCqJ21FcC5cM7zgeVig8U3cCGgqko57gPyEBq9tytQs0GkmQgMfiBQxLYwCffcn7992ec3MJvNv6woawhaocSUG0N3U5z6fLqawzVJdZVk9SWtsDhx68xVn/Ad8V8007T2TqN/mE367WR1t3b89Wefr58+Ws0nEu6pu7brsPEn2MY8Rlmdi+UlrvScG8Y9GuxwXONP1xa6P2G+8Aa2BvYa9ihWGtgb2BvYIdQDU4YpGEN7HDY2og/8LZWXfAlr7qO4volGFa7dbIpphO7EiOcFvA6xG6MU3owb30oBwTJ9pPTzbabhUOofEHXd/iK45pYqrSlBoxdW3p0bh/r0g/dskzqlGhfomv4Msf6rhyw3qNzRsTTJWdwiGlEPovqm+Cw+fgNTDpe0G7fpEFRV3MjrK6PDXJmcGcGPTP4M4NVc5b/Hr/jTFn1HY1OEOIsvVxS8ePN+hwfVS5JB0MamnnoUyAvhks1TyBcpnWXRo9opDFPIUkPRYpLhV3+A1Nesdw= \ No newline at end of file
diff --git a/led_map.map b/led_map.map
new file mode 100644
index 0000000..050a07f
--- /dev/null
+++ b/led_map.map
@@ -0,0 +1,146 @@
+Release 14.7 Map P.20131013 (lin64)
+Xilinx Map Application Log File for Design 'led'
+
+Design Information
+------------------
+Command Line : map -intstyle ise -p xc6slx9-tqg144-2 -w -logic_opt off -ol
+high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off
+-pr off -lc off -power off -o led_map.ncd led.ngd led.pcf
+Target Device : xc6slx9
+Target Package : tqg144
+Target Speed : -2
+Mapper Version : spartan6 -- $Revision: 1.55 $
+Mapped Date : Tue Feb 21 22:16:49 2017
+
+Mapping design into LUTs...
+Running directed packing...
+Running delay-based LUT packing...
+Updating timing models...
+INFO:Map:215 - The Interim Design Summary has been generated in the MAP Report
+ (.mrp).
+Running timing-driven placement...
+Total REAL time at the beginning of Placer: 3 secs
+Total CPU time at the beginning of Placer: 3 secs
+
+Phase 1.1 Initial Placement Analysis
+Phase 1.1 Initial Placement Analysis (Checksum:184813e3) REAL time: 3 secs
+
+Phase 2.7 Design Feasibility Check
+Phase 2.7 Design Feasibility Check (Checksum:184813e3) REAL time: 3 secs
+
+Phase 3.31 Local Placement Optimization
+Phase 3.31 Local Placement Optimization (Checksum:184813e3) REAL time: 3 secs
+
+Phase 4.2 Initial Placement for Architecture Specific Features
+
+Phase 4.2 Initial Placement for Architecture Specific Features
+(Checksum:e8c062d1) REAL time: 4 secs
+
+Phase 5.36 Local Placement Optimization
+Phase 5.36 Local Placement Optimization (Checksum:e8c062d1) REAL time: 4 secs
+
+Phase 6.30 Global Clock Region Assignment
+Phase 6.30 Global Clock Region Assignment (Checksum:e8c062d1) REAL time: 4 secs
+
+Phase 7.3 Local Placement Optimization
+Phase 7.3 Local Placement Optimization (Checksum:e8c062d1) REAL time: 4 secs
+
+Phase 8.5 Local Placement Optimization
+Phase 8.5 Local Placement Optimization (Checksum:e8c062d1) REAL time: 4 secs
+
+Phase 9.8 Global Placement
+..
+..
+Phase 9.8 Global Placement (Checksum:973ccb49) REAL time: 4 secs
+
+Phase 10.5 Local Placement Optimization
+Phase 10.5 Local Placement Optimization (Checksum:973ccb49) REAL time: 4 secs
+
+Phase 11.18 Placement Optimization
+Phase 11.18 Placement Optimization (Checksum:ed34dee1) REAL time: 4 secs
+
+Phase 12.5 Local Placement Optimization
+Phase 12.5 Local Placement Optimization (Checksum:ed34dee1) REAL time: 4 secs
+
+Phase 13.34 Placement Validation
+Phase 13.34 Placement Validation (Checksum:ed34dee1) REAL time: 4 secs
+
+Total REAL time to Placer completion: 4 secs
+Total CPU time to Placer completion: 4 secs
+Running post-placement packing...
+Writing output files...
+
+Design Summary
+--------------
+
+Design Summary:
+Number of errors: 0
+Number of warnings: 0
+Slice Logic Utilization:
+ Number of Slice Registers: 25 out of 11,440 1%
+ Number used as Flip Flops: 25
+ Number used as Latches: 0
+ Number used as Latch-thrus: 0
+ Number used as AND/OR logics: 0
+ Number of Slice LUTs: 57 out of 5,720 1%
+ Number used as logic: 57 out of 5,720 1%
+ Number using O6 output only: 34
+ Number using O5 output only: 1
+ Number using O5 and O6: 22
+ Number used as ROM: 0
+ Number used as Memory: 0 out of 1,440 0%
+
+Slice Logic Distribution:
+ Number of occupied Slices: 15 out of 1,430 1%
+ Number of MUXCYs used: 24 out of 2,860 1%
+ Number of LUT Flip Flop pairs used: 57
+ Number with an unused Flip Flop: 32 out of 57 56%
+ Number with an unused LUT: 0 out of 57 0%
+ Number of fully used LUT-FF pairs: 25 out of 57 43%
+ Number of unique control sets: 1
+ Number of slice register sites lost
+ to control set restrictions: 7 out of 11,440 1%
+
+ A LUT Flip Flop pair for this architecture represents one LUT paired with
+ one Flip Flop within a slice. A control set is a unique combination of
+ clock, reset, set, and enable signals for a registered element.
+ The Slice Logic Distribution report is not meaningful if the design is
+ over-mapped for a non-slice resource or if Placement fails.
+
+IO Utilization:
+ Number of bonded IOBs: 2 out of 102 1%
+ Number of LOCed IOBs: 2 out of 2 100%
+
+Specific Feature Utilization:
+ Number of RAMB16BWERs: 0 out of 32 0%
+ Number of RAMB8BWERs: 0 out of 64 0%
+ Number of BUFIO2/BUFIO2_2CLKs: 0 out of 32 0%
+ Number of BUFIO2FB/BUFIO2FB_2CLKs: 0 out of 32 0%
+ Number of BUFG/BUFGMUXs: 1 out of 16 6%
+ Number used as BUFGs: 1
+ Number used as BUFGMUX: 0
+ Number of DCM/DCM_CLKGENs: 0 out of 4 0%
+ Number of ILOGIC2/ISERDES2s: 0 out of 200 0%
+ Number of IODELAY2/IODRP2/IODRP2_MCBs: 0 out of 200 0%
+ Number of OLOGIC2/OSERDES2s: 0 out of 200 0%
+ Number of BSCANs: 0 out of 4 0%
+ Number of BUFHs: 0 out of 128 0%
+ Number of BUFPLLs: 0 out of 8 0%
+ Number of BUFPLL_MCBs: 0 out of 4 0%
+ Number of DSP48A1s: 0 out of 16 0%
+ Number of ICAPs: 0 out of 1 0%
+ Number of MCBs: 0 out of 2 0%
+ Number of PCILOGICSEs: 0 out of 2 0%
+ Number of PLL_ADVs: 0 out of 2 0%
+ Number of PMVs: 0 out of 1 0%
+ Number of STARTUPs: 0 out of 1 0%
+ Number of SUSPEND_SYNCs: 0 out of 1 0%
+
+Average Fanout of Non-Clock Nets: 3.24
+
+Peak Memory Usage: 654 MB
+Total REAL time to MAP completion: 4 secs
+Total CPU time to MAP completion: 4 secs
+
+Mapping completed.
+See MAP report file "led_map.mrp" for details.
diff --git a/led_map.mrp b/led_map.mrp
new file mode 100644
index 0000000..ee48480
--- /dev/null
+++ b/led_map.mrp
@@ -0,0 +1,196 @@
+Release 14.7 Map P.20131013 (lin64)
+Xilinx Mapping Report File for Design 'led'
+
+Design Information
+------------------
+Command Line : map -intstyle ise -p xc6slx9-tqg144-2 -w -logic_opt off -ol
+high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off
+-pr off -lc off -power off -o led_map.ncd led.ngd led.pcf
+Target Device : xc6slx9
+Target Package : tqg144
+Target Speed : -2
+Mapper Version : spartan6 -- $Revision: 1.55 $
+Mapped Date : Tue Feb 21 22:16:49 2017
+
+Design Summary
+--------------
+Number of errors: 0
+Number of warnings: 0
+Slice Logic Utilization:
+ Number of Slice Registers: 25 out of 11,440 1%
+ Number used as Flip Flops: 25
+ Number used as Latches: 0
+ Number used as Latch-thrus: 0
+ Number used as AND/OR logics: 0
+ Number of Slice LUTs: 57 out of 5,720 1%
+ Number used as logic: 57 out of 5,720 1%
+ Number using O6 output only: 34
+ Number using O5 output only: 1
+ Number using O5 and O6: 22
+ Number used as ROM: 0
+ Number used as Memory: 0 out of 1,440 0%
+
+Slice Logic Distribution:
+ Number of occupied Slices: 15 out of 1,430 1%
+ Number of MUXCYs used: 24 out of 2,860 1%
+ Number of LUT Flip Flop pairs used: 57
+ Number with an unused Flip Flop: 32 out of 57 56%
+ Number with an unused LUT: 0 out of 57 0%
+ Number of fully used LUT-FF pairs: 25 out of 57 43%
+ Number of unique control sets: 1
+ Number of slice register sites lost
+ to control set restrictions: 7 out of 11,440 1%
+
+ A LUT Flip Flop pair for this architecture represents one LUT paired with
+ one Flip Flop within a slice. A control set is a unique combination of
+ clock, reset, set, and enable signals for a registered element.
+ The Slice Logic Distribution report is not meaningful if the design is
+ over-mapped for a non-slice resource or if Placement fails.
+
+IO Utilization:
+ Number of bonded IOBs: 2 out of 102 1%
+ Number of LOCed IOBs: 2 out of 2 100%
+
+Specific Feature Utilization:
+ Number of RAMB16BWERs: 0 out of 32 0%
+ Number of RAMB8BWERs: 0 out of 64 0%
+ Number of BUFIO2/BUFIO2_2CLKs: 0 out of 32 0%
+ Number of BUFIO2FB/BUFIO2FB_2CLKs: 0 out of 32 0%
+ Number of BUFG/BUFGMUXs: 1 out of 16 6%
+ Number used as BUFGs: 1
+ Number used as BUFGMUX: 0
+ Number of DCM/DCM_CLKGENs: 0 out of 4 0%
+ Number of ILOGIC2/ISERDES2s: 0 out of 200 0%
+ Number of IODELAY2/IODRP2/IODRP2_MCBs: 0 out of 200 0%
+ Number of OLOGIC2/OSERDES2s: 0 out of 200 0%
+ Number of BSCANs: 0 out of 4 0%
+ Number of BUFHs: 0 out of 128 0%
+ Number of BUFPLLs: 0 out of 8 0%
+ Number of BUFPLL_MCBs: 0 out of 4 0%
+ Number of DSP48A1s: 0 out of 16 0%
+ Number of ICAPs: 0 out of 1 0%
+ Number of MCBs: 0 out of 2 0%
+ Number of PCILOGICSEs: 0 out of 2 0%
+ Number of PLL_ADVs: 0 out of 2 0%
+ Number of PMVs: 0 out of 1 0%
+ Number of STARTUPs: 0 out of 1 0%
+ Number of SUSPEND_SYNCs: 0 out of 1 0%
+
+Average Fanout of Non-Clock Nets: 3.24
+
+Peak Memory Usage: 654 MB
+Total REAL time to MAP completion: 4 secs
+Total CPU time to MAP completion: 4 secs
+
+Table of Contents
+-----------------
+Section 1 - Errors
+Section 2 - Warnings
+Section 3 - Informational
+Section 4 - Removed Logic Summary
+Section 5 - Removed Logic
+Section 6 - IOB Properties
+Section 7 - RPMs
+Section 8 - Guide Report
+Section 9 - Area Group and Partition Summary
+Section 10 - Timing Report
+Section 11 - Configuration String Information
+Section 12 - Control Set Information
+Section 13 - Utilization by Hierarchy
+
+Section 1 - Errors
+------------------
+
+Section 2 - Warnings
+--------------------
+
+Section 3 - Informational
+-------------------------
+INFO:MapLib:564 - The following environment variables are currently set:
+INFO:MapLib:591 - XIL_MAP_LOCWARN Value: 1
+INFO:MapLib:159 - Net Timing constraints on signal CLK are pushed forward
+ through input buffer.
+INFO:LIT:244 - All of the single ended outputs in this design are using slew
+ rate limited output drivers. The delay on speed critical single ended outputs
+ can be dramatically reduced by designating them as fast outputs.
+INFO:Pack:1716 - Initializing temperature to 85.000 Celsius. (default - Range:
+ 0.000 to 85.000 Celsius)
+INFO:Pack:1720 - Initializing voltage to 1.140 Volts. (default - Range: 1.140 to
+ 1.260 Volts)
+INFO:Map:215 - The Interim Design Summary has been generated in the MAP Report
+ (.mrp).
+INFO:Pack:1650 - Map created a placed design.
+
+Section 4 - Removed Logic Summary
+---------------------------------
+ 2 block(s) optimized away
+
+Section 5 - Removed Logic
+-------------------------
+
+Optimized Block(s):
+TYPE BLOCK
+GND XST_GND
+VCC XST_VCC
+
+To enable printing of redundant blocks removed and signals merged, set the
+detailed map report option and rerun map.
+
+Section 6 - IOB Properties
+--------------------------
+
++---------------------------------------------------------------------------------------------------------------------------------------------------------+
+| IOB Name | Type | Direction | IO Standard | Diff | Drive | Slew | Reg (s) | Resistor | IOB |
+| | | | | Term | Strength | Rate | | | Delay |
++---------------------------------------------------------------------------------------------------------------------------------------------------------+
+| CLK | IOB | INPUT | LVTTL | | | | | | |
+| LED1 | IOB | OUTPUT | LVTTL | | 8 | SLOW | | | |
++---------------------------------------------------------------------------------------------------------------------------------------------------------+
+
+Section 7 - RPMs
+----------------
+
+Section 8 - Guide Report
+------------------------
+Guide not run on this design.
+
+Section 9 - Area Group and Partition Summary
+--------------------------------------------
+
+Partition Implementation Status
+-------------------------------
+
+ No Partitions were found in this design.
+
+-------------------------------
+
+Area Group Information
+----------------------
+
+ No area groups were found in this design.
+
+----------------------
+
+Section 10 - Timing Report
+--------------------------
+A logic-level (pre-route) timing report can be generated by using Xilinx static
+timing analysis tools, Timing Analyzer (GUI) or TRCE (command line), with the
+mapped NCD and PCF files. Please note that this timing report will be generated
+using estimated delay information. For accurate numbers, please generate a
+timing report with the post Place and Route NCD file.
+
+For more information about the Timing Analyzer, consult the Xilinx Timing
+Analyzer Reference Manual; for more information about TRCE, consult the Xilinx
+Command Line Tools User Guide "TRACE" chapter.
+
+Section 11 - Configuration String Details
+-----------------------------------------
+Use the "-detail" map option to print out Configuration Strings
+
+Section 12 - Control Set Information
+------------------------------------
+Use the "-detail" map option to print out Control Set Information.
+
+Section 13 - Utilization by Hierarchy
+-------------------------------------
+Use the "-detail" map option to print out the Utilization by Hierarchy section.
diff --git a/led_map.ncd b/led_map.ncd
new file mode 100644
index 0000000..c3a8323
--- /dev/null
+++ b/led_map.ncd
@@ -0,0 +1,3 @@
+XILINX-XDB 0.1 STUB 0.1 ASCII
+XILINX-XDM V1.6
+###5660:XlxV32DM 3fff 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###4676:XlxV32DM 3fff 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###2108:XlxV32DM 1556 824eNp1WMuO3TYM/Zl8gCVRLxsBinaTRRYN2h+Q/FgGGBTtxph/L0nxjo+NTAKDYx2KFKlDSr7LV1ePOaXl/Z+2ztPsz68sY1u+utjnOPG4a44BUiAVBlqb/TEk6XuZ/SaKMX1YSLsBWS3QsCA2fRtTgzMTbWiGqibKMKGaqyGkNtJlIwSz4c1GH5qxq4162Qi2QNIFtnLZoNVsmC0yb+lQG+2yEZMhusLWLhs8MtKxmS0yWyMd/bIx8sGassIqJsL5tZbZOTbO774OIRNjHPOinwsPR5pLk+HAw/WUd53l2jFHSUAPQ9Y4JLsZsqis9vohdRqvr0tG91MN+TIMjl05bFeUGepAcZaKs1ScpeLsWHGWirNMFlZWQXn4Zy3xm8FvNb/e/Hbzm81vNb8WqOLiN5vfan4tAToued6H/2KOdetWAscW4Nj+w7b/GAwXxxag4uJ4NccWqOLiOJjjMhw2FSmYX9k4t03s15E5tggHVw7hynBskXqLUHFxvJlji1RxcUxDKi4LqFZxfSzExG4L6UMOK7aKaquohzF2k0rfzmHX6tRbyWtIYv9Vr84qy94HE6wWxVMxT808BfO0Dpm0M6wRPHbzaFWlrBGPFlkwUr+qPRmrhsVm78apapyqxqmqWyvsK+DResArJm/dIlisHz3hRSPL5sh5s5iteqpVT7XqqYd1jG1nj/kcniymMJkHiy1Fs2xr13ee6Ln3vf+MbtKW8NP5Phda/nWeTS0CuAvgOQB4BuIAPI4H7T06fjNEl37MCMRrwm08wYSCQL4mNBwv1/iK4xUMVQTaNWG7xknsuzHcYZibKQ/ru5P3pmqXuemadlvufZp2WVPDfNJDLV1qmN58qU1qvctAgYluhXBv+dmucN2EwA4zOgIHzAgA+Alm7Ag4mJEQ8ABgenwAUxsCBDNg2yok0AFh6iODdQU9YGLdHnoH6LlLr013veYvPQi5hcfG+QgBHRhQgoCQoD5fMxJujAdGu5spoHRyCAClPfLGdwCwLj3QJd1mAF08MHWDfHkgxf7I115AD6La60OvQ/6BAPv60NtBD3i9H88NADoTFoAHOnvkYAA6E3aIAHT2WBkBuh/dAGh/HisjAJ0DbnIAvhDSIgBfAmyy85AxfyDwSJlTpy9NsO3Y6UMTmp6vqFmemg00E2r2Z6cDXhOWdgBeByRjAF7TLXvA64CnROhQbVgJYYUZyIIAvA63fGMbvO0Q8CZAHC5D0kJH4Jm0AodDAOq54p6a0N5CRE16asIRETxq5sdGELZrrHECfhOGTMDviJ2f8Hi/AXi+454SnO+ERwLhAX87CuGAJ0xWh5ZOwAK3QtKIEHgmbYWkERbVmp+aFdK7o2Z7pnd89Y0Wegu9XoEU3Ms9wl3hFrrwvJkp5DkJzw9bNx4FtF5AvKV3AwB5TtpIXy3/toeHfr+MZSEd9G7zArDKogMAmRWFQMUA8OGx0aSKAPT3BLvuCZKVVgQ2AKDEfYUWlaAuvf7S8AI2BOCwSpBe36FwE7DAH7DcDLkKEyw3EwKw3AwpCQ66dIbshoQzYFUBCyHDqsKKpjYE4MzIkMSwwbUmw36Qw/1IeD2G7GYECpoCVlOFJGZgCeFdIsMO0g5lmgsCmBIoEDow8mvP3//7e1wY+f90/hXXmTngODYXwhrZWkhuS8u3SstbzUv3Tq4n/MnD2t9qWr5UJwdO716Hp4/hKPcrQ9+7q7/Awwcu/3777Y8zcly1+5x7IB74/cyn09sfrylz+cpD/HhuiAd/JhV+Ej88lnmsVH5Yp0R+An/MNH5Yp7IOX39jkxs/6zTWaazTGe/yq1Va3pz8RMHeXsmI6Ros+qMY/2fq8tpdaXLdfD/Hvsn4HvhT6Fv190GS74jbKDvk3ub4Tua5A/guP9jwx2hmufHHKF87iBdLR7VpTslEM+eAE8jHwTZzAAebIPms2WQxVRreFznV+Br6XY69dH7ny1E43/iA5OOOV81CVrC8/xpwnwH+MyB8BtBnQFzGLv95/uBopuUHJ9Utb6v8/bbp33HnrIlInE0WeYgmaWS5ygcQy51zwPLg9i3ah5dsyB+kKWEf/wMxvMny \ No newline at end of file
diff --git a/led_map.ngm b/led_map.ngm
new file mode 100644
index 0000000..074fadc
--- /dev/null
+++ b/led_map.ngm
@@ -0,0 +1,3 @@
+XILINX-XDB 0.1 STUB 0.1 ASCII
+XILINX-XDM V1.6e
+$0c35=6:2.Yi{g|inl9$4(5=0*/=<5+Rdtjwlii2);%98.+1:224>6789:;<=>?0123447<981946<NA@CBED413:;99= ?01234567(yY+DDIG 42-456789:;<-+>1:12606=xZ0CEJF551';?3GFIHKJMi59smz:pta6<='9=6O<;@FQ1>GTQGI87O[I4:@VBB3<J\LL\<>4BTKO@ZRFZNO_M_MG1:A1?FC53JC>7NEMUG58GIOWXLN:<6MCIQRF@ZRUIZBHMC=4CMI7?FJL8?1H@F?7079@HN408<1H@F<W8:AOO7^609?0OAE6049@HNG6?2IGGL?K269@HNG6L==0OAEN1E:6?FJLJ8?0OAEL149@HNB6=2IGGIXl;BNH@SYCA_COI85LLJD[<>EKCOR:4=74CMI\FP@@Xl1H@FQMUGES+GSAOo1H@FQMUGES+LDRNN90OA\6;BMNILRSMM<0OB@IIF:8GJHT\HD_=<5LOOQWEKRX\[KXDNOA7:AQADRBL81O>6JFe:FQGZURKJOX_K[C5:FQMSC?3LKJMLONA99FGFEDKJI:=6KWP@LGAAYEKMUMEAK>4:G[TDHCMMUHCC][R@KMPV763LR[MCJJD^KPAZ@NDL80JI;4FEASA7=AN11MJKH70127?CTFOk1M^LILOWGQAAg<N[KLSOO\EE`8BWG@WJEEJDIn;GPBCZEH]G^37K\@M^W@B4=@9=1LICK]AUGG$UIT\$LGECZ>2:EFJ@TF\LN+\B][-F137>AIL81B>6G?2:K26>O5:2C8>6G;2:K60>OE]O?0EO[IG39JJ6=NFH90ECL7;HLEAWTBL01BBDZ\T@VF7>OI^11BB[K]TDPf?LHXFNNTMCJPBBF5?LIDGDZj7DA\T@LGEWB13@E_U]K;;HRB@1=KMM;37AKK1/RB@<=KMM;TCO[Ib:NF@4Yu{}zdx?5CI69OMGTFZP<0@BOKEE18HJE13EEHGHJ8;MMDMFGK;2F^X95CUU37?ISS==1GYY8:;MTWPId<EHZNT]OADDF5?HGWXLNj7@O__LMGQICc3DK[SYGBERVBIUd<EHZTZH\\INL2=>KKWNOEI_O[EE]SKVR*O[KEEYK<;LW[1>KRPJSh7@oeosTfvvohf880Anaznu]`kphsWjs7<3?:;LalqkrXkfexRmv<1<\vq743DidyczPcnwmpZe~48:5=>5BcnwmpZeh}g~Tot2>1?30?Heh}g~Tob{at^az84499:1Fob{at^alqkrXkp6:?3?<;LalqkrXkfexRmv<06=56=JkfexRm`uov\g|:6=7;87@m`uov\gjsi|Vir0<81129Ngjsi|VidyczPcx>23;743DidyczPcnwmpZe~4825=>5BcnwmpZeh}g~Tot2>9?31?Heh}g~Tob{at^az8486;2Ghcx`{_bmvjqYdq58;2<=4MbmvjqYdg|dSnw320<27>Kdg|dSnaznu]`}9456880Anaznu]`kphsWjs7>3?=;LalqkrXkfexRmv<2<26>Kdg|dSnaznu]`}9299;1Fob{at^alqkrXkp6>2<<4MbmvjqYdg|dSnw36?31?Heh}g~Tob{at^az8286:2Ghcx`{_bmvjqYdq525=?5BcnwmpZeh}g~Tot26>068Ifirf}Uhcx`{_mww84699h1Fob{at^alqkrXd|~7==0PIOT\442<Eje~byQlotlw[iss48;5=l5BcnwmpZeh}g~T`xz310<\MKPX88>0Anaznu]`kphsWe0<<11`9Ngjsi|VidyczPltv?578XAG\T<<:4MbmvjqYdg|dSa{{<01=5d=JkfexRm`uov\hpr;9:4TECXP0068Ifirf}Uhcx`{_mww84299h1Fob{at^alqkrXd|~7=90PIOT\442<Eje~byQlotlw[iss48?5=l5BcnwmpZeh}g~T`xz314<\MKPX88>0Anaznu]`kphsWe0<811`9Ngjsi|VidyczPltv?538XAG\T<<:4MbmvjqYdg|dSa{{<05=5d=JkfexRm`uov\hpr;9>4TECXP0068Ifirf}Uhcx`{_mww84>99h1Fob{at^alqkrXd|~7=50PIOT\442<Eje~byQlotlw[iss4835=l5BcnwmpZeh}g~T`xz318<\MKPX8890Anaznu]`kphsWe0<0>9:O`kphsWje~byQcuu>2:ZOI^V::86Clotlw[firf}Ugyy2=0?3b?Heh}g~Tob{at^nvp9476VCEZR>>4:O`kphsWje~byQcuu>15;7f3DidyczPcnwmpZjr|58:2RGAV^220>Kdg|dSnaznu]oqq:5:7;j7@m`uov\gjsi|Vf~x1<=>^KMRZ66<2Ghcx`{_bmvjqYk}}69?3?n;LalqkrXkfexRbzt=00:ZOI^V::?6Clotlw[firf}Ugyy2=>0;8Ifirf}Uhcx`{_mww878XAG\T<<=4MbmvjqYdg|dSa{{<2<2=>Kdg|dSnaznu]oqq:46VCEZR>>3:O`kphsWje~byQcuu>7:4?<Eje~byQlotlw[iss4=4TECXP0018Ifirf}Uhcx`{_mww808612Ghcx`{_bmvjqYk}}6>2RGAV^227>Kdg|dSnaznu]oqq:16830Anaznu]`kphsWe0;0PIOT\445<Eje~byQlotlw[iss4>4:56Clotlw[firf}Ugyy28>^KMRZ66;2Ghcx`{_bmvjqYk}}632<74MbmvjqYdg|dSa{{<9<\MKPX8890Anaznu]`kphsWe040>9:O`kphsWje~byQcuu>::ZOI^V::?6Clotlw[firf}Usc2?>068Ifirf}Uhcx`{_ymq84699=1Fob{at^alqkrXpfx7=<0>4:O`kphsWje~byQwos>26;733DidyczPcnwmpZ~hz5;82<:4MbmvjqYdg|dSua}<06=51=JkfexRm`uov\|jt;9<4:86Clotlw[firf}Usc2>6?37?Heh}g~Tob{at^zlv97068>0Anaznu]`kphsWqey0<61159Ngjsi|VidyczPxnp?5<86;2Ghcx`{_bmvjqYg{6:2<:4MbmvjqYdg|dSua}<32=51=JkfexRm`uov\|jt;:84:86Clotlw[firf}Usc2=2?37?Heh}g~Tob{at^zlv9446890Anaznu]`kphsWqey0?0>3:O`kphsWje~byQwos>0:45<Eje~byQlotlw[}iu4=4:?6Clotlw[firf}Usc2:>018Ifirf}Uhcx`{_ymq8386;2Ghcx`{_bmvjqYg{6<2<=4MbmvjqYdg|dSua}<9<27>Kdg|dSnaznu]{kw:>6j1Feca}Vdppmjh53G:<7CK[WNPH<>HH\VZCU^?4O0:8K8./A9 #E<$'I3(J7,/.#@>"=55@=)*J4/.N:#"B?'G;)(+(M4/4>2E6$%pF0+*J5/.N:#"B?'G;)(+*/-O7"!tB=&&qI3)+zL5,v@>"%$'&1b9L9-O7"!tB=&&qI3)+zL5,v@>"%$'>8:M>,L6, @;!$D<%(H1)M1/.! 887B3'~H2),{O6"!tB>'&qI2(+zL2-v@?"%$'&5:M>zL633FH^J>5@ND68KUGC;2E^X<5_a:R-657499;8o6^!21305574WE>0\<?=4:R2015<X?:87]863:R:06=WIM>0\L\[6:RFVLIC02ZCU^GLAM78TJQBZ:1[^H64PSMKMGOS>2ZXHB@J1:S4?WCJG_OO==5]ELMUAAYSZHYCOL@>0:PFV@UHD_OT\L\NMR:8VKTXNEE[?6\@M29QWQ?<Zly~`y2?>`9Qavsk|5;;2l5]erwop9766h1Yi~{ct=31:d=Umzgx1?<>`9Qavsk|5;?2l5]erwop9726h1Yi~{ct=35:d=Umzgx1?8>`9Qavsk|5;32l5]erwop97>601Yi~{ct=3=e>Tb{|f0?>1a:Pfwpjs4;;5m6\jstnw8749i2Xnxb{<31==>Tb{|f0?06;Sgpqir;;730^h}zlu>7:<=Umzgx1;19:Pfwpjs4?427_k|umv?3;?<Zly~`y27>89Qavsk|535=6]<;RGO7>UB\8;0_E\JG^G[PWGD\VDLOh5\HSGD[HOIWZCQI45\IF]@KPHS<2YGIZ=4SOI0?VTDl2YYORFFESCQGM_13Z^JXX]7;RVVGNYF\01XUCMPAUVQb>RFZNO_S]O]TU[SA==SM[GBBLB9;UKFKC@>3]YT\H\FOE68P\VB;2_HJn5ZSDP\RLUNJEO87[ML9:TJARYSQYO:h6VFLHL[)]BE(9$:,^ZZB!2-5%GTK@C87UA]5:ZLVF_13QY_@DL>f:ZPPZGC^HDHIIQBABPL[DED]VGxyoQIF69[WQY@FM=0T^ZPVBA5?]beW@nn7Ujg_BmqvGjhkby:<6Vkh^AlvwHb|~eyg~?8;Yfk[HgwKfxyOagpdfWvduo911SheQBaqAlvwEhfz~jbyZ}arj27>^c`VGj|Na}rLgqc`bSg8=0TifPM`r@kwtUmde}iiZ}arja?]boWYxbaDjwb:ZglZVuadCezn5Wdi]SvlkSqyom7Ujg_QpjiScu{`ee;6Vkh^Vbcg=_ldUIecjfnf33?]bjWDkacXjrrklj46<PmgTAd``rWgqwlii;2Rxx>5VER68^@TH02idycz30?;8gjsi|5;;245lotlw847912idycz313<:?firf}6:?374cnwmp973601hcx`{<07==>eh}g~7=;06;bmvjq:6?730ob{at=3;:<=dg|d0<718:alqkr;9730ob{at=03:<=dg|d0??19:alqkr;:;427naznu>17;?<kfex1<;>99`kphs4;437naznu>0:==dg|d0907;bmvjq:2611hcx`{<7<;?firf}6<255lotlw8=8?3je~by26>0d8gjsi|R8=QRIAD^3\kZcv}hfT>Ra327<14>eh}g~P>;SPGOF\5ZiXmxj`R<Po=05:4463je~byU=6\]DJAY6WfUn}xoc_3]l870998897naznuY12XY@FMU:SbQjqtco[7Yh4;<5=R?=0:alqkr\:?WTKCJP1^m\atsfdV8Tc1<9>302?firf}Q9:PQHNE]2[jYby|kgS?Q`<34=64453je~byU=6\]DJAY6WfUn}xoc_3]l8709:V;9<6m`uovX63[XOGNT=RaPepwbhZ4Xg58=2><>;bmvjq]5>TULBIQ>_n]fupgkW;Ud0?813001?firf}Q9:PQHNE]2[jYby|kgS?Q`<34=7Z7582idyczT27_\CKBX9VeTi|{nl^0\k9416=897naznuY12XY@FMU:SbQjqtco[7Yh4;<58R?8;bmvjqY7m2idyczP0^pppuis?2idyczP199`kphsW8:m7naznu]24Ztt|ye46m`uov\54`<kfexR?>_sqwtjr?3je~byQ>2g9`kphsW88T~~zou:8gjsi|V;8j6m`uov\56Yu{}zdx55lotlw[42a3je~byQ>4^pppuis02idyczP14d8gjsi|V;>S}{pnv;?firf}U::k5lotlw[40Xzz~{cy64cnwmpZ70n2idyczP16]qwqvh|11hcx`{_0:e?firf}U:4R||tqmw<>eh}g~T=4h4cnwmpZ7>W{y|bzj;bmvjqY6W{y|bz8;bmvjqY502idyczP21d8gjsi|V8;S}{pnv;?firf}U9=k5lotlw[77Xzz~{cy64cnwmpZ45n2idyczP23]qwqvh|11hcx`{_31e?firf}U9?R||tqmwa>eh}g~T>R||tqmw3>eh}g~T?h5lotlw[6Yu{}zdx:5lotlw[1c<kfexR:Prrvskq1<kfexR;j;bmvjqY2W{y|bz8;bmvjqY1m2idyczP6^pppuis?2idyczP7d9`kphsW>Uyy~`t69`kphsW1o0ob{at^:\vvrwg}=0ob{at^;f?firf}U2S}{pnv0?iccm2fnhRM@NGKD[PEA;2gj|55age`vmib?3zzj~yoa699{mioip|d:46vcny]bqqiX8Vron"m|t/zaga{GHy=h:6NOx0;:>C<028qX?>4>408f<?74;j?nh7=:f4:xj45a281e=9>56:&277<6:k1v_?h51539a=<6;:i>ii4<5g7:?V01283h6<4>32a6aa<4>8ij7^<i:0;`>4<6;:i>ii4<60a`?V01283i6?4>32a6aa<4>8n:7^9?:0;a>`1=9:9h9hj5373g7>U5n3;2n7<5121`1`b=;?;o?6j>9883>4<5sZ986<:>:d:9565d=ln1?8h:8:&26?7>l2B8:6`82;7;efdd=81/;<4>9e9'36<b?2|_:k4?:082>=1|[:91=9?5e98276e2mm089k;7;%31e?0>3_;8o7<tu6595>sc>3:0q)ki:c9'7f<73-9j6<7i;%1a>0d<j8326=4=:9:9<3}O9;20(<<9:0;:?!7613<0(<?7:061?k74l3:0e<750;9l25<722h:?<4>:083>5}#9;<1=?74H00f?M7502.:?o4j3:&51?7>m2d:?i4>;%32a?7>12en:7>5$01a>`3<3th:?=4>:083>5}#9;<18l5G13g8L44?3-;8n7?;3:&51?7>m2d:?i4=;%32a?7>12e?;7>5$01a>`3<3th<47?54;294~"6:?0<:6F>2d9K57><,89i6:;4$7795<c<f89o6>5+10g95<?<a8?1<7*>3c862>=n;<0;6)?<b;76?>o69<0;6)?<b;322>=hlh0;6)?<b;g6?>{ejl0:6>4?:1y'570=9;n0D<<j;I31<>"6;k0:>n5+6482=`=i9:n186*>1d82=<=n9<0;6)?<b;77?>o1<3:1(<=m:468?jbf290/=>l5e498yg>3280?6=4?{%312?113A;9i6F>299'56d=?<1/:84>9d9m56b==2.:=h4>989j50<72-;8n7;9;:k01?6=,89i68;4;h321?6=,89i6<?9;:mge?6=,89i6h;4;|``=?7=;3:1<v*>27826a=O9;o0D<<7;%30f?75k2.=97?6e:l27a<13-;:i7?69:k21?6=,89i68:4;h47>5<#9:h19954oec94?"6;k0n965rb9:95?2=83:p(<<9:648L44b3A;946*>3c841>"1=3;2i6`>3e84?!76m3;256g>5;29 45e2<<07d=::18'56d==<10e<?::18'56d=98<07bjn:18'56d=m<10qomj:080>5<7s-;9:7?=d:J26`=O9;20(<=m:00`?!02283n7c?<d;:8 47b28327d?::18'56d===10e;:50;&27g<2<21dhl4?:%30f?c232wi444>:583>5}#9;<1;;5G13g8L44?3-;8n79:;%46>4?b3g;8h774$03f>4?>3`;>6=4+12`913=<a:?1<7*>3c861>=n98?1<7*>3c8253=<gmk1<7*>3c8f1>=zjjl1=7=50;2x 441288o7E?=e:J26==#9:h1=?m4$7795<c<f89o6l5+10g95<?<a8?1<7*>3c860>=n>=0;6)?<b;77?>ici3:1(<=m:d78?xd?i3;187>50z&263<0>2B:>h5G13:8 45e2>?0(;;518g8j45c2k1/=<k518;8m43=83.:?o4:6:9j70<72-;8n7;:;:k250<72-;8n7?>6:9l`d<72-;8n7k:;:a`5<62:0;6=u+134957b<@88n7E?=8:&27g<6:j1/:84>9d9m56b=k2.:=h4>989j50<72-;8n7;;;:k50?6=,89i68:4;nfb>5<#9:h1i854}c:a>4<3290;w)?=6;55?M75m2B:>55+12`930=#><0:5h5a12f9`>"69l0:545f1483>!74j3?=76g<5;29 45e2<?07d?>5;29 45e28;=76aka;29 45e2l?07plk1;397?6=8r.:>;4>2e9K57c<@8837)?<b;31g>"1=3;2i6`>3e8f?!76m3;256g>5;29 45e2<>07d8;:18'56d===10cio50;&27g<b=21vn5m51;694?6|,88=6:84H00f?M7502.:?o485:&51?7>m2d:?i4i;%32a?7>12c:97>5$01a>00<3`9>6=4+12`910=<a8;>6=4+12`9540<3fnj6=4+12`9a0=<ukn96<4<:183!75>3;9h6F>2d9K57><,89i6<<l;%46>4?b3g;8h7??;%32a?7>12c:97>5$01a>02<3`<?6=4+12`911=<gmk1<7*>3c8f1>=zj1n1=7:50;2x 4412><0D<<j;I31<>"6;k0<96*95;3:a>h6;m0:=6*>1d82=<=n9<0;6)?<b;75?>o4=3:1(<=m:478?l76=3:1(<=m:035?>ici3:1(<=m:d78?xdc;3;1?7>50z&263<6:m1C=?k4H00;?!74j3;9o6*95;3:a>h6;m0:>6*>1d82=<=n9<0;6)?<b;77?>o1<3:1(<=m:468?jbf290/=>l5e498yg>b280?6=4?{%312?113A;9i6F>299'56d=?<1/:84>9d9m56b=9:1/=<k518;8m43=83.:?o4:6:9j70<72-;8n7;:;:k250<72-;8n7?>6:9l`d<72-;8n7k:;:a`1<62:0;6=u+134957b<@88n7E?=8:&27g<6:j1/:84>9d9m56b=9=1/=<k518;8m43=83.:?o4:4:9j21<72-;8n7;;;:mge?6=,89i6h;4;|`;b?7=<3:1<v*>27842>N6:l1C=?64$01a>23<,??1=4k4n01g>43<,8;n6<76;h36>5<#9:h19;54i2794?"6;k0>965f10794?"6;k0:=;54oec94?"6;k0n965rbe795?5=83:p(<<9:00g?M75m2B:>55+12`957e<,??1=4k4n01g>40<,8;n6<76;h36>5<#9:h19954i7694?"6;k0>865`d`83>!74j3o>76sm7`82>1<729q/=?85779K57c<@8837)?<b;56?!02283n7c?<d;34?!76m3;256g>5;29 45e2<<07d=::18'56d==<10e<?::18'56d=98<07bjn:18'56d=m<10qoli:080>5<7s-;9:7?=d:J26`=O9;20(<=m:00`?!02283n7c?<d;3;?!76m3;256g>5;29 45e2<>07d8;:18'56d===10cio50;&27g<b=21vn:l51;694?6|,88=6:84H00f?M7502.:?o485:&51?7>m2d:?i4>9:&25`<6101b=84?:%30f?3132c897>5$01a>03<3`;:97>5$01a>47132eom7>5$01a>`3<3thh<7?53;294~"6:?0:>i5G13g8L44?3-;8n7?=c:&51?7>m2d:?i4>a:&25`<6101b=84?:%30f?3332c=87>5$01a>02<3fnj6=4+12`9a0=<uk=h6<4;:183!75>3==7E?=e:J26==#9:h1;85+6482=`=i9:n1=o5+10g95<?<a8?1<7*>3c862>=n;<0;6)?<b;76?>o69<0;6)?<b;322>=hlh0;6)?<b;g6?>{ek80:6>4?:1y'570=9;n0D<<j;I31<>"6;k0:>n5+6482=`=i9:n1=n5+10g95<?<a8?1<7*>3c860>=n>=0;6)?<b;77?>ici3:1(<=m:d78?xd0l3;187>50z&263<0>2B:>h5G13:8 45e2>?0(;;518g8j45c28n0(<?j:0;:?l72290/=>l55798m63=83.:?o4:5:9j543=83.:?o4>1798kag=83.:?o4j5:9~ff4=9391<7>t$005>44c3A;9i6F>299'56d=9;i0(;;518g8j45c28o0(<?j:0;:?l72290/=>l55598m32=83.:?o4:4:9l`d<72-;8n7k:;:a3`<62=0;6=u+134933=O9;o0D<<7;%30f?123-<>6<7j;o30`?7a3-;:i7?69:k21?6=,89i6884;h16>5<#9:h19854i036>5<#9:h1=<84;nfb>5<#9:h1i854}ca0>4<4290;w)?=6;31`>N6:l1C=?64$01a>44d3-<>6<7j;o30`?473-;:i7?69:k21?6=,89i68:4;h47>5<#9:h19954oec94?"6;k0n965rb6d95?2=83:p(<<9:648L44b3A;946*>3c841>"1=3;2i6`>3e815>"69l0:545f1483>!74j3?=76g<5;29 45e2<?07d?>5;29 45e28;=76aka;29 45e2l?07pll4;397?6=8r.:>;4>2e9K57c<@8837)?<b;31g>"1=3;2i6`>3e816>"69l0:545f1483>!74j3??76g94;29 45e2<>07bjn:18'56d=m<10qo6?:087>5<7s-;9:799;I31a>N6:11/=>l5749'20<61l1e=>j5229'54c=9030e<;50;&27g<2>21b?84?:%30f?3232c:=84?:%30f?76>21dhl4?:%30f?c232wio84>:283>5}#9;<1=?j4H00f?M7502.:?o4>2b9'20<61l1e=>j5259'54c=9030e<;50;&27g<2<21b:94?:%30f?3332eom7>5$01a>`3<3th3=7?54;294~"6:?0<:6F>2d9K57><,89i6:;4$7795<c<f89o6?;4$03f>4?>3`;>6=4+12`913=<a:?1<7*>3c861>=n98?1<7*>3c8253=<gmk1<7*>3c8f1>=zjj<1=7=50;2x 441288o7E?=e:J26==#9:h1=?m4$7795<c<f89o6?84$03f>4?>3`;>6=4+12`911=<a?>1<7*>3c860>=hlh0;6)?<b;g6?>{e0;0:694?:1y'570=??1C=?k4H00;?!74j3=>7)8::0;f?k74l38<7)?>e;3:=>o6=3:1(<=m:448?l52290/=>l55498m472290/=>l51048?jbf290/=>l5e498yge028086=4?{%312?75l2B:>h5G13:8 45e288h7)8::0;f?k74l3837)?>e;3:=>o6=3:1(<=m:468?l03290/=>l55598kag=83.:?o4j5:9~f=5=93>1<7>t$005>20<@88n7E?=8:&27g<0=2.=97?6e:l27a<512.:=h4>989j50<72-;8n7;9;:k01?6=,89i68;4;h321?6=,89i6<?9;:mge?6=,89i6h;4;|``<?7=;3:1<v*>27826a=O9;o0D<<7;%30f?75k2.=97?6e:l27a<5i2.:=h4>989j50<72-;8n7;;;:k50?6=,89i68:4;nfb>5<#9:h1i854}c:6>4<3290;w)?=6;55?M75m2B:>55+12`930=#><0:5h5a12f96g=#98o1=474i0794?"6;k0>:65f3483>!74j3?>76g>1483>!74j3;::65`d`83>!74j3o>76smc`82>6<729q/=?8513f8L44b3A;946*>3c826f=#><0:5h5a12f96f=#98o1=474i0794?"6;k0>865f6583>!74j3??76aka;29 45e2l?07pl76;390?6=8r.:>;486:J26`=O9;20(<=m:678 33=90o0b<=k:3f8 47b28327d?::18'56d==?10e>;50;&27g<2=21b=<;50;&27g<69?10cio50;&27g<b=21vnnl51;194?6|,88=6<<k;I31a>N6:11/=>l513a8 33=90o0b<=k:3g8 47b28327d?::18'56d===10e;:50;&27g<2<21dhl4?:%30f?c232wi4:4>:583>5}#9;<1;;5G13g8L44?3-;8n79:;%46>4?b3g;8h7<i;%32a?7>12c:97>5$01a>00<3`9>6=4+12`910=<a8;>6=4+12`9540<3fnj6=4+12`9a0=<ukih6<4<:183!75>3;9h6F>2d9K57><,89i6<<l;%46>4?b3g;8h7=?;%32a?7>12c:97>5$01a>02<3`<?6=4+12`911=<gmk1<7*>3c8f1>=zjjn1=7=50;2x 441288o7E?=e:J26==#9:h1=?m4$7795<c<f89o6>?4$03f>4?>3`;>6=4+12`911=<a?>1<7*>3c860>=hlh0;6)?<b;g6?>{e9<o1=7950;2x 4412?n0D<<j;I31<>"6;k0mn6*95;3:a>"203>0b<=k:208 47b28327d:l:18'56d=l2d:?44?;:k7`?6=,89i6i5a12;95>=n<l0;6)?<b;f8j45>2;10e9h50;&27g<c3g;857=4;h73>5<#9:h1h6`>3887?>o293:1(<=m:e9m56?==21dhl4?:%30f?c232wi=;?51;594?6|,88=6;j4H00f?M7502.:?o4ib:&51?7>m2.>47:4n01g>65<,8;n6<76;h6`>5<#9:h1h6`>3883?>o3l3:1(<=m:e9m56?=921b8h4?:%30f?b<f8926?54i5d94?"6;k0o7c?<9;18?l37290/=>l5d:l27<<332c>=7>5$01a>a=i9:31965`d`83>!74j3o>76sm17695?1=83:p(<<9:7f8L44b3A;946*>3c8ef>"1=3;2i6*:8;68j45c2:>0(<?j:0;:?l2d290/=>l5d:l27<<732c?h7>5$01a>a=i9:31=65f4d83>!74j3n0b<=6:398m1`=83.:?o4k;o30=?5<3`?;6=4+12`9`>h6;00?76g:1;29 45e2m1e=>755:9l`d<72-;8n7k:;:a531=93=1<7>t$005>3b<@88n7E?=8:&27g<aj2.=97?6e:&6<?2<f89o6>;4$03f>4?>3`>h6=4+12`9`>h6;00;76g;d;29 45e2m1e=>751:9j0`<72-;8n7j4n01:>7=<a=l1<7*>3c8g?k7413907d;?:18'56d=l2d:?44;;:k65?6=,89i6i5a12;91>=hlh0;6)?<b;g6?>{e>;0:6?4?:1y'570=m;1C=?k4H00;?!74j3l0D8m4$4g9a0=#><0:5h5a12f973=#98o1=474i5`94?"6;k0>865`d`83>!74j3o>76sm7882>6<729q/=?856c9K57c<@8837)?<b;da?!02283n7);7:b9m56b=;>1/=<k518;8m1e=83.:?o4k;o30=?6<3fnj6=4+12`9a0=<a=n1<7*>3c8g?k7413;0b<<;:198yg07280=6=4?{%312?5c3A;9i6F>299'1=<43-;8n7=i;Id:?!02283n7c?<d;1;?!76m3;256g>3;29 45e28307d=;:18'56d===10ck850;&27g<b=21b=94?:%30f?7332cm?7>5$01a>47032c9i7>5$01a>ce<3th=?7?56;294~"6:?0=o6F>2d9K57><,89i6kl4$7795<c<,<21m6`>3e80=>"69l0:545f4b83>!74j3n0b<=6:198m1b=83.:?o4k;o30=?7<3`>n6=4+12`9`>h6;00976g;f;29 45e2m1e=>753:9j15<72-;8n7j4n01:>1=<gmk1<7*>3c8f1>=zj8<26<49:183!75>39o7E?=e:J26==#=1087)?<b;1e?M`>3-<>6<7j;o30`?5f3-;:i7?69:k27?6=,89i6<74;h17>5<#9:h19954og494?"6;k0n965f1583>!74j3;?76gi3;29 45e28;<76g=e;29 45e2oi07pl>6c82>3<729q/=?853e9K57c<@8837);7:29'56d=;o1Cj45+6482=`=i9:n1?o5+10g95<?<a891<7*>3c82=>=n;=0;6)?<b;77?>ia>3:1(<=m:d78?l73290/=>l51598mc5=83.:?o4>1698m7c=83.:?o4ic:9~f4>6280=6=4?{%312?5c3A;9i6F>299'1=<43-;8n7=i;Id:?!02283n7c?<d;1`?!76m3;256g>3;29 45e28307d=;:18'56d===10ck850;&27g<b=21b=94?:%30f?7332cm?7>5$01a>47032c9i7>5$01a>ce<3th:4k4>:783>5}#9;<1?i5G13g8L44?3-?36>5+12`97c=On01/:84>9d9m56b=;m1/=<k518;8m45=83.:?o4>9:9j71<72-;8n7;;;:me2?6=,89i6h;4;h37>5<#9:h1=954ig194?"6;k0:=:54i3g94?"6;k0mo65rb0:a>4<1290;w)?=6;1g?M75m2B:>55+5980?!74j39m7Eh6;%46>4?b3g;8h7=j;%32a?7>12c:?7>5$01a>4?<3`9?6=4+12`911=<go<1<7*>3c8f1>=n9=0;6)?<b;37?>oa;3:1(<=m:034?>o5m3:1(<=m:ga8?xd60m0:6;4?:1y'570=;m1C=?k4H00;?!3?2:1/=>l53g9Kb<=#><0:5h5a12f97c=#98o1=474i0194?"6;k0:565f3583>!74j3??76ai6;29 45e2l?07d?;:18'56d=9=10ek=50;&27g<69>10e?k50;&27g<ak21vn<7>:085>5<7s-;9:7=k;I31a>N6:11/954<;%30f?5a3Al27)8::0;f?k74l3>;7)?>e;3:=>o6;3:1(<=m:0;8?l53290/=>l55598kc0=83.:?o4j5:9j51<72-;8n7?;;:ke7?6=,89i6<?8;:k1a?6=,89i6km4;|`2=6<62?0;6=u+13497a=O9;o0D<<7;%7;>6=#9:h1?k5Gf89'20<61l1e=>j5409'54c=9030e<=50;&27g<6121b?94?:%30f?3332em:7>5$01a>`3<3`;?6=4+12`951=<ao91<7*>3c8252=<a;o1<7*>3c8eg>=zj83>6<49:183!75>39o7E?=e:J26==#=1087)?<b;1e?M`>3-<>6<7j;o30`?253-;:i7?69:k27?6=,89i6<74;h17>5<#9:h19954og494?"6;k0n965f1583>!74j3;?76gi3;29 45e28;<76g=e;29 45e2oi07pl>9682>3<729q/=?853e9K57c<@8837);7:29'56d=;o1Cj45+6482=`=i9:n18>5+10g95<?<a891<7*>3c82=>=n;=0;6)?<b;77?>ia>3:1(<=m:d78?l73290/=>l51598mc5=83.:?o4>1698m7c=83.:?o4ic:9~f417280=6=4?{%312?5c3A;9i6F>299'1=<43-;8n7=i;Id:?!02283n7c?<d;67?!76m3;256g>3;29 45e28307d=;:18'56d===10ck850;&27g<b=21b=94?:%30f?7332cm?7>5$01a>47032c9i7>5$01a>ce<3th::n4>:783>5}#9;<1?i5G13g8L44?3-?3685+12`97c=On01/:84>9d9m56b=<<1/=<k518;8m45=83.:?o4>9:9j71<72-;8n7;;;:me2?6=,89i6h;4;h37>5<#9:h1=954ig194?"6;k0:=:54i3g94?"6;k0mo65rb04f>4<1290;w)?=6;1g?M75m2B:>55+5980?!74j39m7Eh6;%46>4?b3g;8h7:9;%32a?7>12c:?7>5$01a>4?<3`9?6=4+12`911=<go<1<7*>3c8f1>=n9=0;6)?<b;37?>oa;3:1(<=m:034?>o5m3:1(<=m:ga8?xd6?;0:6;4?:1y'570=;m1C=?k4H00;?!3?2<1/=>l53g9Kb<=#><0:5h5a12f902=#98o1=474i0194?"6;k0:565f3583>!74j3??76ai6;29 45e2l?07d?;:18'56d=9=10ek=50;&27g<69>10e?k50;&27g<ak21vn<9;:085>5<7s-;9:7=k;I31a>N6:11/954<;%30f?5a3Al27)8::0;f?k74l3>37)?>e;3:=>o6;3:1(<=m:0;8?l53290/=>l55598kc0=83.:?o4j5:9j51<72-;8n7?;;:ke7?6=,89i6<?8;:k1a?6=,89i6km4;|`233<62?0;6=u+13497a=O9;o0D<<7;%7;>6=#9:h1?k5Gf89'20<61l1e=>j5489'54c=9030e<=50;&27g<6121b?94?:%30f?3332em:7>5$01a>`3<3`;?6=4+12`951=<ao91<7*>3c8252=<a;o1<7*>3c8eg>=zj8=36<49:183!75>39o7E?=e:J26==#=1087)?<b;1e?M`>3-<>6<7j;o30`?2f3-;:i7?69:k27?6=,89i6<74;h17>5<#9:h19954og494?"6;k0n965f1583>!74j3;?76gi3;29 45e28;<76g=e;29 45e2oi07pl>7d82>3<729q/=?853e9K57c<@8837);7:29'56d=;o1Cj45+6482=`=i9:n18o5+10g95<?<a891<7*>3c82=>=n;=0;6)?<b;77?>ia>3:1(<=m:d78?l73290/=>l51598mc5=83.:?o4>1698m7c=83.:?o4ic:9~f41f280=6=4?{%312?5c3A;9i6F>299'1=<43-;8n7=i;Id:?!02283n7c?<d;6`?!76m3;256g>3;29 45e28307d=;:18'56d===10ck850;&27g<b=21b=94?:%30f?7332cm?7>5$01a>47032c9i7>5$01a>ce<3th:;n4>:783>5}#9;<1?i5G13g8L44?3-?3685+12`97c=On01/:84>9d9m56b=<m1/=<k518;8m45=83.:?o4>9:9j71<72-;8n7;;;:me2?6=,89i6h;4;h37>5<#9:h1=954ig194?"6;k0:=:54i3g94?"6;k0mo65rb0:1>4<1290;w)?=6;1g?M75m2B:>55+5986?!74j39m7Eh6;%46>4?b3g;8h7:j;%32a?7>12c:?7>5$01a>4?<3`9?6=4+12`911=<go<1<7*>3c8f1>=n9=0;6)?<b;37?>oa;3:1(<=m:034?>o5m3:1(<=m:ga8?xd60=0:6;4?:1y'570=;m1C=?k4H00;?!3?2<1/=>l53g9Kb<=#><0:5h5a12f90c=#98o1=474i0194?"6;k0:565f3583>!74j3??76ai6;29 45e2l?07d?;:18'56d=9=10ek=50;&27g<69>10e?k50;&27g<ak21vn<69:085>5<7s-;9:7=k;I31a>N6:11/954:;%30f?5a3Al27)8::0;f?k74l3?;7)?>e;3:=>o6;3:1(<=m:0;8?l53290/=>l55598kc0=83.:?o4j5:9j51<72-;8n7?;;:ke7?6=,89i6<?8;:k1a?6=,89i6km4;|`2<=<62?0;6=u+13497a=O9;o0D<<7;%7;>0=#9:h1?k5Gf89'20<61l1e=>j5509'54c=9030e<=50;&27g<6121b?94?:%30f?3332em:7>5$01a>`3<3`;?6=4+12`951=<ao91<7*>3c8252=<a;o1<7*>3c8eg>=zj8<j6<49:183!75>3<h7E?=e:J26==#9:h1jo5+6482=`=#=10<7c?<d;71?!76m3;256g;c;29 45e2m1e=>750:9j0a<72-;8n7j4n01:>4=<a=o1<7*>3c8g?k7413807d:i:18'56d=l2d:?44<;:k64?6=,89i6i5a12;90>=hlh0;6)?<b;g6?>{e91:1=7850;2x 4412?i0D<<j;I31<>"6;k0mn6*95;3:a>"203=0b<=k:418 47b28327d:l:18'56d=l2d:?44?;:k7`?6=,89i6i5a12;95>=n<l0;6)?<b;f8j45>2;10e9h50;&27g<c3g;857=4;h73>5<#9:h1h6`>3887?>ici3:1(<=m:d78?xd60h0:6;4?:1y'570=>j1C=?k4H00;?!74j3li7)8::0;f?!3?2>1e=>j5559'54c=9030e9m50;&27g<c3g;857>4;h6g>5<#9:h1h6`>3882?>o3m3:1(<=m:e9m56?=:21b8k4?:%30f?b<f8926>54i4294?"6;k0o7c?<9;68?jbf290/=>l5e498yg7?k3;1:7>50z&263<1k2B:>h5G13:8 45e2oh0(;;518g8 0>=?2d:?i4:5:&25`<6101b8n4?:%30f?b<f8926=54i5f94?"6;k0o7c?<9;38?l2b290/=>l5d:l27<<532c?j7>5$01a>a=i9:31?65f5183>!74j3n0b<=6:598kag=83.:?o4j5:9~f4>b280=6=4?{%312?0d3A;9i6F>299'56d=nk1/:84>9d9'1=<03g;8h7;9;%32a?7>12c?o7>5$01a>a=i9:31<65f4e83>!74j3n0b<=6:098m1c=83.:?o4k;o30=?4<3`>m6=4+12`9`>h6;00876g:0;29 45e2m1e=>754:9l`d<72-;8n7k:;:a5<6=93<1<7>t$005>3e<@88n7E?=8:&27g<aj2.=97?6e:&6<?1<f89o6894$03f>4?>3`>h6=4+12`9`>h6;00;76g;d;29 45e2m1e=>751:9j0`<72-;8n7j4n01:>7=<a=l1<7*>3c8g?k7413907d;?:18'56d=l2d:?44;;:mge?6=,89i6h;4;|`2=7<62?0;6=u+13492f=O9;o0D<<7;%30f?`e3-<>6<7j;%7;>2=i9:n1955+10g95<?<a=i1<7*>3c8g?k7413:07d:k:18'56d=l2d:?44>;:k7a?6=,89i6i5a12;96>=n<o0;6)?<b;f8j45>2:10e8>50;&27g<c3g;857:4;nfb>5<#9:h1i854}c3:0?7=>3:1<v*>2785g>N6:l1C=?64$01a>cd<,??1=4k4$4:93>h6;m0>56*>1d82=<=n<j0;6)?<b;f8j45>2910e9j50;&27g<c3g;857?4;h6f>5<#9:h1h6`>3881?>o3n3:1(<=m:e9m56?=;21b9=4?:%30f?b<f8926954oec94?"6;k0n965rb0;5>4<1290;w)?=6;4`?M75m2B:>55+12`9bg=#><0:5h5+5984?k74l3?j7)?>e;3:=>o3k3:1(<=m:e9m56?=821b8i4?:%30f?b<f8926<54i5g94?"6;k0o7c?<9;08?l2a290/=>l5d:l27<<432c><7>5$01a>a=i9:31865`d`83>!74j3o>76sm18:95?0=83:p(<<9:7a8L44b3A;946*>3c8ef>"1=3;2i6*:8;58j45c2<h0(<?j:0;:?l2d290/=>l5d:l27<<732c?h7>5$01a>a=i9:31=65f4d83>!74j3n0b<=6:398m1`=83.:?o4k;o30=?5<3`?;6=4+12`9`>h6;00?76aka;29 45e2l?07pl>6e82>3<729q/=?856b9K57c<@8837)?<b;da?!02283n7);7:2:8j45c2<i0(<?j:0;:?l2d290/=>l5d:l27<<732c?h7>5$01a>a=i9:31=65f4d83>!74j3n0b<=6:398m1`=83.:?o4k;o30=?5<3`?;6=4+12`9`>h6;00?76aka;29 45e2l?07pl>6g82>3<729q/=?856b9K57c<@8837)?<b;da?!02283n7);7:69m56b==m1/=<k518;8m1e=83.:?o4k;o30=?6<3`>o6=4+12`9`>h6;00:76g;e;29 45e2m1e=>752:9j0c<72-;8n7j4n01:>6=<a<:1<7*>3c8g?k7413>07bjn:18'56d=m<10qo?81;392?6=8r.:>;49c:J26`=O9;20(<=m:g`8 33=90o0(8657:l27a<2m2.:=h4>989j0f<72-;8n7j4n01:>5=<a=n1<7*>3c8g?k7413;07d:j:18'56d=l2d:?44=;:k7b?6=,89i6i5a12;97>=n=90;6)?<b;f8j45>2=10cio50;&27g<b=21vn<9<:085>5<7s-;9:78l;I31a>N6:11/=>l5fc9'20<61l1/954<9:l27a<2n2.:=h4>989j0f<72-;8n7j4n01:>5=<a=n1<7*>3c8g?k7413;07d:j:18'56d=l2d:?44=;:k7b?6=,89i6i5a12;97>=n=90;6)?<b;f8j45>2=10cio50;&27g<b=21vn<9::085>5<7s-;9:78l;I31a>N6:11/=>l5fc9'20<61l1/9548;o30`?073-;:i7?69:k7g?6=,89i6i5a12;94>=n<m0;6)?<b;f8j45>2810e9k50;&27g<c3g;857<4;h6e>5<#9:h1h6`>3880?>o283:1(<=m:e9m56?=<21dhl4?:%30f?c232wi=:951;494?6|,88=6;m4H00f?M7502.:?o4ib:&51?7>m2.>4794n01g>37<,8;n6<76;h6`>5<#9:h1h6`>3883?>o3l3:1(<=m:e9m56?=921b8h4?:%30f?b<f8926?54i5d94?"6;k0o7c?<9;18?l37290/=>l5d:l27<<332eom7>5$01a>`3<3th:;44>:783>5}#9;<1:n5G13g8L44?3-;8n7hm;%46>4?b3-?36:5a12f927=#98o1=474i5a94?"6;k0o7c?<9;28?l2c290/=>l5d:l27<<632c?i7>5$01a>a=i9:31>65f4g83>!74j3n0b<=6:298m06=83.:?o4k;o30=?2<3fnj6=4+12`9a0=<uk;<n7?56;294~"6:?0=o6F>2d9K57><,89i6kl4$7795<c<,<21;6`>3e857>"69l0:545f4b83>!74j3n0b<=6:198m1b=83.:?o4k;o30=?7<3`>n6=4+12`9`>h6;00976g;f;29 45e2m1e=>753:9j15<72-;8n7j4n01:>1=<gmk1<7*>3c8f1>=zj8=o6<49:183!75>3<h7E?=e:J26==#9:h1jo5+6482=`=#=10856`>3e850>"69l0:545f4b83>!74j3n0b<=6:198m1b=83.:?o4k;o30=?7<3`>n6=4+12`9`>h6;00976g;f;29 45e2m1e=>753:9j15<72-;8n7j4n01:>1=<gmk1<7*>3c8f1>=zj8=m6<49:183!75>3<h7E?=e:J26==#9:h1jo5+6482=`=#=10<7c?<d;46?!76m3;256g;c;29 45e2m1e=>750:9j0a<72-;8n7j4n01:>4=<a=o1<7*>3c8g?k7413807d:i:18'56d=l2d:?44<;:k64?6=,89i6i5a12;90>=hlh0;6)?<b;g6?>{e9191=7850;2x 4412?i0D<<j;I31<>"6;k0mn6*95;3:a>"203>;7c?<d;45?!76m3;256g;c;29 45e2m1e=>750:9j0a<72-;8n7j4n01:>4=<a=o1<7*>3c8g?k7413807d:i:18'56d=l2d:?44<;:k64?6=,89i6i5a12;90>=hlh0;6)?<b;g6?>{e91?1=7850;2x 4412?i0D<<j;I31<>"6;k0mn6*95;3:a>"203>;7c?<d;44?!76m3;256g;c;29 45e2m1e=>750:9j0a<72-;8n7j4n01:>4=<a=o1<7*>3c8g?k7413807d:i:18'56d=l2d:?44<;:k64?6=,89i6i5a12;90>=hlh0;6)?<b;g6?>{e91=1=7850;2x 4412?i0D<<j;I31<>"6;k0mn6*95;3:a>"203>;7c?<d;4;?!76m3;256g;c;29 45e2m1e=>750:9j0a<72-;8n7j4n01:>4=<a=o1<7*>3c8g?k7413807d:i:18'56d=l2d:?44<;:k64?6=,89i6i5a12;90>=hlh0;6)?<b;g6?>{e9131=7850;2x 4412?i0D<<j;I31<>"6;k0mn6*95;3:a>"203>;7c?<d;4:?!76m3;256g;c;29 45e2m1e=>750:9j0a<72-;8n7j4n01:>4=<a=o1<7*>3c8g?k7413807d:i:18'56d=l2d:?44<;:k64?6=,89i6i5a12;90>=hlh0;6)?<b;g6?>{e9?:1=7950;2x 4412?n0D<<j;I31<>"6;k0mn6*95;3:a>"203>0b<=k:7c8 47b28327d:l:18'56d=l2d:?44?;:k7`?6=,89i6i5a12;95>=n<l0;6)?<b;f8j45>2;10e9h50;&27g<c3g;857=4;h73>5<#9:h1h6`>3887?>o293:1(<=m:e9m56?==21dhl4?:%30f?c232wi=;=51;594?6|,88=6;j4H00f?M7502.:?o4ib:&51?7>m2.>47:4n01g>3d<,8;n6<76;h6`>5<#9:h1h6`>3883?>o3l3:1(<=m:e9m56?=921b8h4?:%30f?b<f8926?54i5d94?"6;k0o7c?<9;18?l37290/=>l5d:l27<<332c>=7>5$01a>a=i9:31965`d`83>!74j3o>76sm17:95?1=83:p(<<9:7f8L44b3A;946*>3c8ef>"1=3;2i6*:8;68j45c2?i0(<?j:0;:?l2d290/=>l5d:l27<<732c?h7>5$01a>a=i9:31=65f4d83>!74j3n0b<=6:398m1`=83.:?o4k;o30=?5<3`?;6=4+12`9`>h6;00?76g:1;29 45e2m1e=>755:9l`d<72-;8n7k:;:a530=93=1<7>t$005>3b<@88n7E?=8:&27g<aj2.=97?6e:&6<?2<f89o6;j4$03f>4?>3`>h6=4+12`9`>h6;00;76g;d;29 45e2m1e=>751:9j0`<72-;8n7j4n01:>7=<a=l1<7*>3c8g?k7413907d;?:18'56d=l2d:?44;;:k65?6=,89i6i5a12;91>=hlh0;6)?<b;g6?>{e:k0;6?4=:2y'570=981C=?k4H00;?!02283n7c?<d;4f?!76m3;256g;b;29?jbf2900n8=51;094?6|,88=68=4$01a>40<,<o1995G5b9'20<61l1e=>j56g9'54c=9030e9l50;&27g<2<21dhl4?:%30f?c232wi==4>:383>5}#9;<1==5+12`953=#><0:5h5a12f935=#98o1=474i5`94?"6;k0>865`d`83>!74j3o>76s|4c83>7}Y<k169>4;b:p`d<72;qUhl52118ge>{t=:0;6?u2528ge>;683>i7psma482>7<729q/=?85589K57c<@8837)?<b;d8 33=90o0b<=k:638 47b28327d:m:18'56d===10cio50;&27g<b=2B:?l54}cce>4<5290;w)?=6;7:?M75m2B:>55+12`9b>"1=3;2i6`>3e846>"69l0:545f4c83>!74j3??76aka;29 45e2l?0D<=n;:af4<62;0;6=u+13491<=O9;o0D<<7;%30f?`<,??1=4k4n01g>25<,8;n6<76;h6a>5<#9:h19954oec94?"6;k0n96F>3`98ygd428096=4?{%312?3>3A;9i6F>299'56d=n2.=97?6e:l27a<0<2.:=h4>989j0g<72-;8n7;;;:mge?6=,89i6h;4H01b?>{ej<0:6?4?:1y'570==01C=?k4H00;?!74j3l0(;;518g8j45c2>?0(<?j:0;:?l2e290/=>l55598kag=83.:?o4j5:J27d=<ukh<6<4=:183!75>3?27E?=e:J26==#9:h1j6*95;3:a>h6;m0<:6*>1d82=<=n<k0;6)?<b;77?>ici3:1(<=m:d78L45f32win44>:383>5}#9;<1945G13g8L44?3-;8n7h4$7795<c<f89o6:94$03f>4?>3`>i6=4+12`911=<gmk1<7*>3c8f1>N6;h10qolm:081>5<7s-;9:7;6;I31a>N6:11/=>l5f:&51?7>m2d:?i488:&25`<6101b8o4?:%30f?3332eom7>5$01a>`3<@89j76smbe82>7<729q/=?85589K57c<@8837)?<b;d8 33=90o0b<=k:6;8 47b28327d:m:18'56d===10cio50;&27g<b=2B:?l54}c;2>4<5290;w)?=6;7:?M75m2B:>55+12`9b>"1=3;2i6`>3e84e>"69l0:545f4c83>!74j3??76aka;29 45e2l?0D<=n;:a=6<62;0;6=u+13491<=O9;o0D<<7;%30f?`<,??1=4k4n01g>2d<,8;n6<76;h6a>5<#9:h19954oec94?"6;k0n96F>3`98yg?228096=4?{%312?3>3A;9i6F>299'56d=n2.=97?6e:l27a<0k2.:=h4>989j0g<72-;8n7;;;:mge?6=,89i6h;4H01b?>{e1>0:6?4?:1y'570==01C=?k4H00;?!74j3l0(;;518g8j45c2>n0(<?j:0;:?l2e290/=>l55598kag=83.:?o4j5:J27d=<uk326<4=:183!75>3?27E?=e:J26==#9:h1j6*95;3:a>h6;m0<i6*>1d82=<=n<k0;6)?<b;77?>ici3:1(<=m:d78L45f32wi5o4>:383>5}#9;<1945G13g8L44?3-;8n7h4$7795<c<f89o6:h4$03f>4?>3`>i6=4+12`911=<gmk1<7*>3c8f1>N6;h10qo7k:081>5<7s-;9:7;6;I31a>N6:11/=>l5f:&51?7>m2d:?i470:&25`<6101b8o4?:%30f?3332eom7>5$01a>`3<@89j76sm9g82>7<729q/=?85589K57c<@8837)?<b;d8 33=90o0b<=k:938 47b28327d:m:18'56d===10cio50;&27g<b=2B:?l54}cc2>4<5290;w)?=6;7:?M75m2B:>55+12`9b>"1=3;2i6`>3e8;6>"69l0:545f4c83>!74j3??76aka;29 45e2l?0D<=n;:ae6<62;0;6=u+13491<=O9;o0D<<7;%30f?`<,??1=4k4n01g>=5<,8;n6<76;h6a>5<#9:h19954oec94?"6;k0n96F>3`98ygg028096=4?{%312?3>3A;9i6F>299'56d=n2.=97?6e:l27a<?<2.:=h4>989j0g<72-;8n7;;;:mge?6=,89i6h;4H01b?>{ei00:6?4?:1y'570==01C=?k4H00;?!74j3l0(;;518g8j45c21?0(<?j:0;:?l2e290/=>l55598kag=83.:?o4j5:J27d=<ukki6<4=:183!75>3?27E?=e:J26==#9:h1j6*95;3:a>h6;m03:6*>1d82=<=n<k0;6)?<b;77?>ici3:1(<=m:d78L45f32wimi4>:383>5}#9;<1945G13g8L44?3-;8n7h4$7795<c<f89o6594$03f>4?>3`>i6=4+12`911=<gmk1<7*>3c8f1>N6;h10qo?6:082>5<7s-;8n7kj;I31<>N2?2.>i7;;;%44>`b<,<n1:h5a12f9<==nml0;6)?<b;gf?>{e>80:6<4?:1y'56d=ml1C=?64H458 0c=m<1/::4j9:&6`?0b3g9<655a10`951=i9:n1445`ed83>!74j3on76sm18c95?6=83:p(<<9:228L4403A;9?6Ti4;1xad<bk3oi6pT;6;3xb1<zf89o65o4}r0a>5<6ir7=<7?<;<35=?7434;=n7?<;<3;5?7434;3j7?<;<3;f?7434;3h7?<;<3:5?7434;2?7?<;<3:1?7434;2;7?<;<344?7434;=o7?<;<35a?7434;<>7?<;<340?7434;<:7?<;<34<?7434;<i7?<;<34e?7434;<o7?<;<3;6?7434;387?<;<3;2?7434;347?<;<0a>ag<uz;>87>52z?275<3?27<47=:;|q216<72=q6=;?5509>5=>=n?16=;=5509>ea<3j2wx=8<50;6x94062<:01<69:g4894042<:01ll54c9~w436290?w0?91;6e?87?<3l=70?93;6e?8g>2=h0q~?:0;290~;6>80?i63>838e2>;6>:0?i63n7;6a?xu6<l0;69u217390f=:9>o1j;5217190f=:i:0?n6s|15f94?2|58<:69j4=05`>c0<58<869j4=`390g=z{8>h6=4;{<36a?3634;<m7h9;<354?36343m69l4}r37f?6=<r7:9h4:0:?23=<a>27::=4:0:?:`?2e3ty:8l4?:5y>50c=<o16=:85f79>536=<o165o4;b:p51?=83>p1<;j:5g894132o<01<8?:5g89<?=<k1v<:7:187872m3>h70?82;d5?87183>h7078:5`8yv73?3:18v3>5d87`>;6?90m:63>6187`>;>=3>i7p}>4783>1}:9?=19<5217g9b3=:9?219<529287f>{t9=?1<7:t=044>06<58<h6k84=04;>06<50;18o5rs07`>5<3s4;=;7:i;<3:3?`134;=47:i;<`g>1d<uz;>n7>54z?222<3m27:584i6:?22=<3m27in7:m;|q21d<72=q6=;954b9>5<5=n?16=;654b9>f<<3j2wx=8750;6x94002=n01<7>:g48940?2=n01o954c9~w43?290?w0?94;72?87?n3l=70?96;72?8d22=h0q~?:7;290~;6>=0><63>8e8e2>;6>?0><63m3;6a?xu6=?0;69u217690c=:91h1j;5217490c=:j80?n6s|14794?2|58<?69k4=0:2>c0<58<=69k4=`d90g=z{8>m6=4;{<350?2d34;=n7h9;<352?2d34k>69l4}r370?6=<r7::94;d:?4=?2d34;=57h9;<352?2c3ty=>7>53z?56?2e34<;6k84=7190f=z{m=1<7?6{<305?c134=36<;4=cg950=:0=0896378;16?8>>2:?015o5349><g<4=273o7=:;<:g>63<51o1?8528g801>;0i39>709m:27892e=;<16;i4<5:?4a?5234=m6>;4=92970=:080896372;16?8>42:?015;5349><3<4=273;7=:;|qe`?6=:r7ii7jn;<35e?2d3ty:<54?:3y>g<<ci27:4=4;c:p55b=838p1nk5d`9>5=g=<j1v<>j:1818ea2mk01<6l:5a8yv77n3:1>v3k0;fb?87?m3>h7p}>1183>7}:l80om63>9187g>{t98;1<7<t=e09`d=:90818n5rs031>5<5s4n86io4=0;7>1e<uz;:?7>52z?g0?bf34;2:7:l;|q251<72;q6h84ka:?2==<3k2wxjh4?:3y>fc<ci27::i4;c:pbc<72;q6o=4ka:?22c<3k2wx==>50;0x9f7=lh16=:?54b9~w4662909w0m=:ec894142=n0q~??2;296~;d;3nj70?85;6`?xu68:0;6?u2c58ge>;6?>0?o6s|11694?4|5j?1hl5216;90f=z{8:>6=4={<a5>ag<58=i69m4}r332?6=:r7h;7jn;<34`?2c3ty:<:4?:3y>g=<ci27:;k4;c:p55?=838p1no5d`9>5=5==91v<>n:1818ee2mk01<6::428yv77j3:1>v3lc;fb?87??3?;7p}>0b83>7}:km0om63>88864>{t?10;6>u2798ge>;?<3;>70m6:078yvg32908w06;:036?8e>2?>01l;5d`9~w=2=839p15:5d`9><=<6=27hi7?:;|qba?6=;r7347?>5:?`a?0334km6io4}r:;>5<4s4236io4=9;950=:ko0:96s|b183>6}:000:=852cg850>;e93nj7p}79;297~;?13nj706n:0789a6=9<1vo<50;1x9=g=98?01i>5659>f6<ci2wx4l4?:2y><d<ci273n7?:;<f2>43<uzh?6=4<{<:a>47234n:6;:4=c79`d=z{1h1<7=t=9`9`d=:0j0:963k2;36?xue>3:1?v37c;321>;c:3<?70l8:ec8yv>d2908w06l:ec89=b=9<16h>4>5:pf=<72:q64i4>149>`6<1<27i57jn;|q;`?6=;r73h7jn;<:f>43<5m>1=85rscc94?5|51o1=<;4=e6921=:jk0om6s|8d83>6}:0l0om637f;36?8b228?0q~ll:1808>a28;>70j::7689gb=lh1v5h50;1x9=`=lh16;l4>5:?ab?723ty2<7>53z?4e?76=27ij78;;<;2>ag<uz=j6=4<{<5b>ag<5>h1=852c1821>{t1;0;6>u27c8250=:k90=86363;fb?xu0j3:1?v38b;fb?81d28?01n?5149~w<2=839p1:m510789f7=>=16584ka:p3f<72:q6;n4ka:?4`?7234i96<;4}r;5>5<4s4=o6<?:;<a1>32<50=1hl5rs6f94?5|5>n1hl527d821>;d;3;>7p}68;297~;0m3;:963l3;47?8?>2mk0q~9j:18081b2mk01:h5149>g1<6=2wx5l4?:2y>3c<69<16o9494:?:f?bf3ty<j7>53z?4b?bf342;6<;4=b7950=z{0i1<7=t=929543<5j?1:9529e8ge>{t090;6>u2818ge>;?93;>70m9:078yv?b2908w06>:036?8e12?>014h5d`9~w=7=839p15?5d`9><7<6=27h;7?:;|qb4?6=;r73>7?>5:?`3?0334k:6io4}r:1>5<4s4296io4=91950=:k10:96s|a383>6}:0:0:=852c9850>;f;3nj7p}73;297~;?;3nj706::0789fg=9<1vl850;1x9=3=98?01no5659>e2<ci2wx484?:2y><0<ci273:7?:;<aa>43<uzk36=4<{<:5>47234ii6;:4=`;9`d=z{1<1<7=t=949`d=:0>0:963lc;36?xufi3:1?v377;321>;dk3<?70om:ec8yv>02909w068:ec89fb=9<1vlm50;0x9fb=>=16mi4ka:p50b=83op1<;j:ec8935=<m16=;o54e9>5=6=<m16=5o54e9>5=e=<m16=5k54e9>5<6=<m16=4<54e9>5<2=<m16=4854e9>5<>=<m16=;h54e9>527=<m1v<;j:18f87193nj708<:5g8940f2=o01<6?:5g894>f2=o01<6l:5g894>b2=o01<7?:5g894?52=o01<7;:5g894?12=o01<77:5g8940a2=o01<9>:5g8yv7193:1iv3>658ge>;1;3>m70?9a;6e?87?83>m70?7a;6e?87?k3>m70?7e;6e?87>83>m70?62;6e?87><3>m70?66;6e?87>03>m70?9f;6e?87093>m7p}>6583>42|58<<6io4=71915=:9?k19=52192915=:91k19=5219a915=:91o19=52182915=:90819=52186915=:90<19=5218:915=:9?l19=52163915=:9>?19=52165915=:9>319=5216`915=:9>l19=5217f915=z{831<7=t^0;897d=<k16=44je:p25<72:qU:=52638ge>;193on7p}89;297~;013nj7097:036?8db2?>0q~8<:1818072:>01;=5d`9~w40f2909w0?99;17?871i3nj7p}>8183>7}:9?h1?9521929`d=z{82j6=4={<3;5?5334;3m7jn;|q2=5<72;q6=5h5359>5<6=lh1v<6l:18187?j39?70?7c;fb?xu60l0;6?u219f971=:91o1hl5rs0;1>5<5s4;2=7=;;<3:6?bf3ty:594?:3y>5<5=;=16=4:5d`9~w4?12909w0?65;17?87>>3nj7p}>9983>7}:90=1?95218:9`d=z{8=:6=4={<344?5334;<=7jn;|q22a<72;q6=;m5359>53b=lh1v<8i:181871m39?70?9f;fb?xu6?:0;6?u2160971=:9>91hl5rs056>5<5s4;<87=;;<341?bf3ty:;:4?:3y>520=;=16=:95d`9~w41>2909w0?88;17?87013nj7p}>7g83>7}:9>o1?95216d9`d=z{8=i6=4={<34e?5334;<n7jn;|q23a<72;q6=:m5359>52b=lh1v<6<:18187?:39?70?73;fb?xu60<0;6?u2196971=:91?1hl5rs0:4>5<5s4;3:7=;;<3;3?bf3ty:444?:3y>5=>=;=16=575d`9~w43a290ow0?90;fb?870=3>o70?87;6g?87013>o70?8b;6g?870n3>o70?9d;6e?870;3?;70?8d;73?87?;3>m70?75;6e?87??3>m70?79;6e?xu6>;0;6iu21719`d=:9>?18h5216590`=:9>318h5216`90`=:9>l18h5217f90`=:9>918k5216f90c=:91918h5219790`=:91=18h5219;90`=z{8<<6=48{<35<?bf34;<?7:l;<34`?2d34;3?7:l;<3;1?2d34;3;7:l;<3;=?2d3ty::84?:ey>530=lh16=:;54g9>521=<o16=:754g9>52d=<o16=:h54g9>525=<l16=:j54d9>53b=<m16=5=54e9>5=3=<m16=5954e9>5=?=<m1vqc<j2;296~N6:11e=>j50:m6`5=83;pD<<7;o30`?7<f88;6=5rn3g7>5<6sA;946`>3e81?xh5m<0;6<uG13:8j45c2:1vb?k9:182M7502d:?i4;;|l1a2<728qC=?64n01g>0=zf;o36=4>{I31<>h6;m0=7p`=e883>4}O9;20b<=k:69~j7cf290:wE?=8:l27a<?3td9io4?:0yK57><f89o645rn3g`>5<6sA;946`>3e8b?xh5mm0;6<uG13:8j45c2k1vb?kj:182M7502d:?i4l;|l1ac<728qC=?64n01g>a=zf;l;6=4>{I31<>h6;m0n7p`=f083>4}O9;20b<=k:g9~j7`5290:wE?=8:l27a<682we>k=50;3xL44?3g;8h7?>;|l1b1<728qC=?64n01g>44<ug8m97>51zJ26==i9:n1=>5rn3d5>5<6sA;946`>3e820>{i:o=1<7?tH00;?k74l3;>7p`=f983>4}O9;20b<=k:048yk4a13:1=vF>299m56b=9>1vb?hn:182M7502d:?i4>8:m6cd=93;pD<<7;o30`?7>3td9jn4?:0yK57><f89o6<o4}o0e`?6=9rB:>55a12f95g=i9;:1=6sa2gg94?7|@8837c?<d;3`?xh5no0;6<uG13:8j45c28n0qc=?0;295~N6:11e=>j51d9~j666290:wE?=8:l27a<6n2we?=<50;3xL44?3g;8h7<?;|l046<728qC=?64n01g>77<ug9;87>51zJ26==i9:n1>?5rn226>5<6sA;946`>3e817>{i;9<1<7?tH00;?k74l38?7p`<0683>4}O9;20b<=k:378yk5703:1=vF>299m56b=:?1vb>>6:182M7502d:?i4=7:m75g=83;pD<<7;o30`?4?3td8<o4?:0yK57><f89o6?74}o13g?6=9rB:>55a12f96d=zf::o6=4>{I31<>h6;m09n6sa31g94?7|@8837c?<d;0`?xh48o0;6<uG13:8j45c2;n0qc=>0;295~N6:11e=>j52d9~j676290:wE?=8:l27a<5n2we?<<50;3xL44?3g;8h7=?;|l056<728qC=?64n01g>67<ug9:87>51zJ26==i9:n1??5rn236>5<6sA;946`>3e807>{i;8<1<7?tH00;?k74l39?7p`<1683>4}O9;20b<=k:278yk5603:1=vF>299m56b=;?1vb>?6:182M7502d:?i4<7:m74g=83;pD<<7;o30`?5?3td8=o4?:0yK57><f89o6>74}o12g?6=9rB:>55a12f97d=zf:;o6=4>{I31<>h6;m08n6sa30g94?7|@8837c?<d;1`?xh49o0;6<uG13:8j45c2:n0qc==0;295~N6:11e=>j53d9~j646290:wE?=8:l27a<4n2we??<50;3xL44?3g;8h7:?;|l066<728qC=?64n01g>17<ug9987>51zJ26==i9:n18?5rn206>5<6sA;946`>3e877>{i;;<1<7?tH00;?k74l3>?7p`<2683>4}O9;20b<=k:578yk5503:1=vF>299m56b=<?1vb><6:182M7502d:?i4;7:m77g=83;pD<<7;o30`?2?3td8>o4?:0yK57><f89o6974}o11g?6=9rB:>55a12f90d=zf:8o6=4>{I31<>h6;m0?n6sa33g94?7|@8837c?<d;6`?xh4:o0;6<uG13:8j45c2=n0qc=<0;295~N6:11e=>j54d9~j656290:wE?=8:l27a<3n2we?><50;3xL44?3g;8h7;?;|l076<728qC=?64n01g>07<ug9887>51zJ26==i9:n19?5rn216>5<6sA;946`>3e867>{i;:<1<7?tH00;?k74l3??7p`<3683>4}O9;20b<=k:478yk5403:1=vF>299m56b==?1vb>=6:182M7502d:?i4:7:m76g=83;pD<<7;o30`?3?3td8?o4?:0yK57><f89o6874}o10g?6=9rB:>55a12f91d=zf:9o6=4>{I31<>h6;m0>n6sa32g94?7|@8837c?<d;7`?xh4;o0;6<uG13:8j45c2<n0qc=;0;295~N6:11e=>j55d9~j626290:wE?=8:l27a<2n2we?9<50;3xL44?3g;8h78?;|l006<728qC=?64n01g>37<ug9?87>51zJ26==i9:n1:?5rn266>5<6sA;946`>3e857>{i;=<1<7?tH00;?k74l3<?7p`<4683>4}O9;20b<=k:778yk5303:1>vF>299Ja`<6s80vV9853z44>0b=n90v(k>58:X260<6s00vb<=k:748yk5313:1=vF>299Ja`<6s;0vV9854z44>0b=;>0:=o4rn01g>31<ug9?m7?51zJ26==i9:n1:55+1259512<ug9?n7>51zJ26==i9:n1:45rn26`>5<6sA;946`>3e85e>{i;=n1<7?tH00;?k74l3<i7p`<4d83>4}O9;20b<=k:7a8yk53n3:1=vF>299m56b=>m1vb>;?:182M7502d:?i49e:m707=83;pD<<7;o30`?0a3td89?4?:0yK57><f89o6:>4}o167?6=9rB:>55a12f934=zf:??6=4>{I31<>h6;m0<>6sa34794?7|@8837c?<d;50?xh4=?0;6<uG13:8j45c2>>0qc=:7;295~N6:11e=>j5749~j63?290:wE?=8:l27a<0>2we?8750;3xL44?3g;8h798;|l01d<728qC=?64n01g>2><ug9>n7>51zJ26==i9:n1;45rn27`>5<6sA;946`>3e84e>{i;<n1<7?tH00;?k74l3=i7p`<5d83>4}O9;20b<=k:6a8yk52n3:1=vF>299m56b=?m1vb>8?:182M7502d:?i48e:m737=83;pD<<7;o30`?1a3td8:?4?:0yK57><f89o65>4}o157?6=9rB:>55a12f9<4=zf:<?6=4>{I31<>h6;m03>6sa37794?7|@8837c?<d;:0?xh4>?0;6<uG13:8j45c21>0qc=97;295~N6:11e=>j5849~j7?0290:wc?<d;:5?x{q\=81<7?51;:5V54283i6?4>32a6aa<4>8n87)?=a;d2?!ca2k1C855G759K0<=e9031<7<5898;2~N3;2.:>?4m;o66>=3<f8;j6584n01g>5=n900;66a90;29?g1?280?6=4?{%312?113-;:i7?69:J75>h6;m0:7d=::188m43=831b=<;50;9l`d<722winh4>:283>5}#9;<1=?j4$03f>4?>3A>:7c?<d;08m32=831b=84?::mge?6=3th387?54;294~"6:?0<:6*>1d82=<=O<81e=>j53:k01?6=3`;>6=44i036>5<<gmk1<75rbb;95?5=83:p(<<9:00g?!76m3;256F;1:l27a<33`<?6=44i0794?=hlh0;66sm8982>1<729q/=?85779'54c=9030D9?4n01g>0=n;<0;66g>5;29?l76=3:17bjn:188ygeb28086=4?{%312?75l2.:=h4>989K04=i9:n1:6g94;29?l722900cio50;9~f=?=93>1<7>t$005>20<,8;n6<76;I62?k74l3=0e>;50;9j50<722c:=84?::mge?6=3thhj7?53;294~"6:?0:>i5+10g95<?<@=;0b<=k:99j21<722c:97>5;nfb>5<<uk2j6<4;:183!75>3==7)?>e;3:=>N392d:?i46;h16>5<<a8?1<75f10794?=hlh0;66smd182>6<729q/=?8513f8 47b28327E:>;o30`?g<a?>1<75f1483>>ici3:17pl7b;390?6=8r.:>;486:&25`<6101C8<5a12f9f>o4=3:17d?::188m4722900cio50;9~fa7=9391<7>t$005>44c3-;:i7?69:J75>h6;m0h7d8;:188m43=831dhl4?::a<f<62=0;6=u+134933=#98o1=474H538j45c2m1b?84?::k21?6=3`;:97>5;nfb>5<<ukn96<4<:183!75>3;9h6*>1d82=<=O<81e=>j5e:k50?6=3`;>6=44oec94?=zj1n1=7:50;2x 4412><0(<?j:0;:?M263g;8h7h4i2794?=n9<0;66g>1483>>ici3:17plk3;397?6=8r.:>;4>2e9'54c=9030D9?4n01g>46<a?>1<75f1483>>ici3:17pl7e;390?6=8r.:>;486:&25`<6101C8<5a12f954=n;<0;66g>5;29?l76=3:17bjn:188ygb328086=4?{%312?75l2.:=h4>989K04=i9:n1=?5f6583>>o6=3:17bjn:188yg>a280?6=4?{%312?113-;:i7?69:J75>h6;m0:?6g<5;29?l722900e<?::188kag=831vni;51;194?6|,88=6<<k;%32a?7>12B?=6`>3e820>o1<3:17d?::188kag=831vn:o51;694?6|,88=6:84$03f>4?>3A>:7c?<d;36?l522900e<;50;9j543=831dhl4?::afc<62:0;6=u+134957b<,8;n6<76;I62?k74l3;=7d8;:188m43=831dhl4?::a3g<62=0;6=u+134933=#98o1=474H538j45c28=0e>;50;9j50<722c:=84?::mge?6=3thh<7?53;294~"6:?0:>i5+10g95<?<@=;0b<=k:0:8m32=831b=84?::mge?6=3th<o7?54;294~"6:?0<:6*>1d82=<=O<81e=>j5189j70<722c:97>5;h321?6=3fnj6=44}ca2>4<4290;w)?=6;31`>"69l0:545G409m56b=9h1b:94?::k21?6=3fnj6=44}c5g>4<3290;w)?=6;55?!76m3;256F;1:l27a<6j2c897>5;h36>5<<a8;>6=44oec94?=zjj81=7=50;2x 441288o7)?>e;3:=>N392d:?i4>c:k50?6=3`;>6=44oec94?=zj>o1=7:50;2x 4412><0(<?j:0;:?M263g;8h7?k;h16>5<<a8?1<75f10794?=hlh0;66smc282>6<729q/=?8513f8 47b28327E:>;o30`?7b3`<?6=44i0794?=hlh0;66sm7g82>1<729q/=?85779'54c=9030D9?4n01g>4`<a:?1<75f1483>>o69<0;66aka;29?xdd<3;1?7>50z&263<6:m1/=<k518;8L17<f89o6?>4i7694?=n9<0;66aka;29?xd?83;187>50z&263<0>2.:=h4>989K04=i9:n1><5f3483>>o6=3:17d?>5;29?jbf2900qom::080>5<7s-;9:7?=d:&25`<6101C8<5a12f967=n>=0;66g>5;29?jbf2900qo6>:087>5<7s-;9:799;%32a?7>12B?=6`>3e817>o4=3:17d?::188m4722900cio50;9~ff0=9391<7>t$005>44c3-;:i7?69:J75>h6;m0986g94;29?l722900cio50;9~f=4=93>1<7>t$005>20<,8;n6<76;I62?k74l38>7d=::188m43=831b=<;50;9l`d<722wio:4>:283>5}#9;<1=?j4$03f>4?>3A>:7c?<d;05?l032900e<;50;9l`d<722wi4>4>:583>5}#9;<1;;5+10g95<?<@=;0b<=k:358m63=831b=84?::k250<722eom7>5;|``<?7=;3:1<v*>27826a=#98o1=474H538j45c2;20e;:50;9j50<722eom7>5;|`;1?7=<3:1<v*>27842>"69l0:545G409m56b=:01b?84?::k21?6=3`;:97>5;nfb>5<<ukij6<4<:183!75>3;9h6*>1d82=<=O<81e=>j52`9j21<722c:97>5;nfb>5<<uk2=6<4;:183!75>3==7)?>e;3:=>N392d:?i4=b:k01?6=3`;>6=44i036>5<<gmk1<75rbb`95?5=83:p(<<9:00g?!76m3;256F;1:l27a<5k2c=87>5;h36>5<<gmk1<75rb9595?2=83:p(<<9:648 47b28327E:>;o30`?4c3`9>6=44i0794?=n98?1<75`d`83>>{ekj0:6>4?:1y'570=9;n0(<?j:0;:?M263g;8h7<j;h47>5<<a8?1<75`d`83>>{ekm0:6>4?:1y'570=9;n0(<?j:0;:?M263g;8h7<i;h47>5<<a8?1<75`d`83>>{e9<o1=7950;2x 4412?k0(9:5e19'54c=9030D9?4n01g>66<a=i1<75f4e83>>o3m3:17d:i:188m06=831b9<4?::mf1?6=3th::<4>:683>5}#9;<1:l5+458f4>"69l0:545G409m56b=;81b8n4?::k7`?6=3`>n6=44i5d94?=n=90;66g:1;29?jc22900qo?94;393?6=8r.:>;49a:&70?c73-;:i7?69:J75>h6;m08>6g;c;29?l2c2900e9k50;9j0c<722c><7>5;h72>5<<gl?1<75rb044>4<0290;w)?=6;4b?!232l:0(<?j:0;:?M263g;8h7=<;h6`>5<<a=n1<75f4d83>>o3n3:17d;?:188m07=831di84?::a27<62;0;6=u+1349a7=#98o1=474$4g9a0=O<81e=>j5359j0g<722eom7>5;|`54?7=>3:1<v*;4;1f?!76m3;256*>278ee>"6:80n7);7:29K04=i9:n1?85f3583>>o613:17d<j:188mc5=831b=94?::me2?6=3th=?7?56;294~"6:?0=m6*;4;fg?!76m3;256F;1:l27a<4>2c?o7>5;h6g>5<<a=o1<75f4g83>>o283:17bk::188yg7113;1:7>50z&70?5b3-;:i7?69:&263<ai2.:><4j;%7;>6=O<81e=>j5369j71<722c:57>5;h0f>5<<ao91<75f1583>>ia>3:17pl>6c82>3<729q/894<e:&25`<6101/=?85f`9'577=m2.>47=4H538j45c2:20e>:50;9j5<<722c9i7>5;hd0>5<<a8>1<75`f783>>{e91;1=7850;2x 12=;l1/=<k518;8 4412ok0(<<>:d9'1=<43A>:7c?<d;1:?l532900e<750;9j6`<722cm?7>5;h37>5<<go<1<75rb0:e>4<1290;w):;:2g8 47b28327)?=6;db?!7593o0(8653:J75>h6;m08m6g<4;29?l7>2900e?k50;9jb6<722c:87>5;nd5>5<<uk;3n7?56;294~"3<39n7)?>e;3:=>"6:?0mm6*>208f?!3?2:1C8<5a12f97g=n;=0;66g>9;29?l4b2900ek=50;9j51<722em:7>5;|`2<a<62?0;6=u+4580a>"69l0:545+1349bd=#9;;1i6*:8;18L17<f89o6>m4i2694?=n900;66g=e;29?l`42900e<:50;9lb3<722wi=4?51;494?6|,=>1?h5+10g95<?<,88=6ko4$002>`=#=1087E:>;o30`?5c3`9?6=44i0;94?=n:l0;66gi3;29?l732900ck850;9~f4?4280=6=4?{%67>6c<,8;n6<76;%312?`f3-;9=7k4$4:97>N392d:?i4<e:k00?6=3`;26=44i3g94?=nn:0;66g>4;29?j`12900qo?65;392?6=8r.?87=j;%32a?7>12.:>;4ia:&264<b3-?36>5G409m56b=;o1b?94?::k2=?6=3`8n6=44ig194?=n9=0;66ai6;29?xd61>0:6;4?:1y'01<4m2.:=h4>989'570=nh1/=??5e:&6<?5<@=;0b<=k:528m62=831b=44?::k1a?6=3`l86=44i0694?=hn?0;66sm16295?0=83:p(9:53d9'54c=9030(<<9:gc8 4462l1/954<;I62?k74l3>:7d=;:188m4?=831b>h4?::ke7?6=3`;?6=44og494?=zj8<h6<49:183!232:o0(<?j:0;:?!75>3lj7)?=1;g8 0>==2B?=6`>3e876>o4<3:17d?6:188m7c=831bj>4?::k20?6=3fl=6=44}c35a?7=>3:1<v*;4;1f?!76m3;256*>278ee>"6:80n7);7:29K04=i9:n18>5f3583>>o613:17d<j:188mc5=831b=94?::me2?6=3th:;?4>:783>5}#<=08i6*>1d82=<=#9;<1jl5+1339a>"203?0D9?4n01g>12<a:>1<75f1883>>o5m3:17dh<:188m42=831dj;4?::a522=93<1<7>t$5697`=#98o1=474$005>cg<,88:6h5+5980?M263g;8h7::;h17>5<<a831<75f2d83>>oa;3:17d?;:188kc0=831vn<99:085>5<7s->?6>k4$03f>4?>3-;9:7hn;%315?c<,<21?6F;1:l27a<3>2c887>5;h3:>5<<a;o1<75ff283>>o6<3:17bh9:188yg7003;1:7>50z&70?5b3-;:i7?69:&263<ai2.:><4j;%7;>6=O<81e=>j5469j71<722c:57>5;h0f>5<<ao91<75f1583>>ia>3:17pl>7d82>3<729q/894<e:&25`<6101/=?85f`9'577=m2.>47=4H538j45c2=20e>:50;9j5<<722c9i7>5;hd0>5<<a8>1<75`f783>>{e9>k1=7850;2x 12=;l1/=<k518;8 4412ok0(<<>:d9'1=<43A>:7c?<d;6:?l532900e<750;9j6`<722cm?7>5;h37>5<<go<1<75rb05`>4<1290;w):;:2g8 47b28327)?=6;db?!7593o0(8655:J75>h6;m0?m6g<4;29?l7>2900e?k50;9jb6<722c:87>5;nd5>5<<uk;3>7?56;294~"3<39n7)?>e;3:=>"6:?0mm6*>208f?!3?2<1C8<5a12f90g=n;=0;66g>9;29?l4b2900ek=50;9j51<722em:7>5;|`2<1<62?0;6=u+4580a>"69l0:545+1349bd=#9;;1i6*:8;78L17<f89o69m4i2694?=n900;66g=e;29?l`42900e<:50;9lb3<722wi=5851;494?6|,=>1?h5+10g95<?<,88=6ko4$002>`=#=10>7E:>;o30`?2c3`9?6=44i0;94?=n:l0;66gi3;29?l732900ck850;9~f4>?280=6=4?{%67>6c<,8;n6<76;%312?`f3-;9=7k4$4:91>N392d:?i4;e:k00?6=3`;26=44i3g94?=nn:0;66g>4;29?j`12900qo?9a;392?6=8r.:>;49a:&70?bb3-;:i7?69:J75>h6;m0?j6g;c;29?l2c2900e9k50;9j0c<722c><7>5;ng6>5<<uk;3<7?56;294~"6:?0=m6*;4;ff?!76m3;256F;1:l27a<282c?o7>5;h6g>5<<a=o1<75f4g83>>o283:17bk::188yg7?i3;1:7>50z&263<1i2.?87jj;%32a?7>12B?=6`>3e865>o3k3:17d:k:188m1c=831b8k4?::k64?6=3fo>6=44}c3;g?7=>3:1<v*>2785e>"3<3nn7)?>e;3:=>N392d:?i4:2:k7g?6=3`>o6=44i5g94?=n<o0;66g:0;29?jc22900qo?7e;392?6=8r.:>;49a:&70?bb3-;:i7?69:J75>h6;m0>?6g;c;29?l2c2900e9k50;9j0c<722c><7>5;ng6>5<<uk;2<7?56;294~"6:?0=m6*;4;ff?!76m3;256F;1:l27a<2<2c?o7>5;h6g>5<<a=o1<75f4g83>>o283:17bk::188yg7>:3;1:7>50z&263<1i2.?87jj;%32a?7>12B?=6`>3e861>o3k3:17d:k:188m1c=831b8k4?::k64?6=3fo>6=44}c3:0?7=>3:1<v*>2785e>"3<3nn7)?>e;3:=>N392d:?i4:6:k7g?6=3`>o6=44i5g94?=n<o0;66g:0;29?jc22900qo?66;392?6=8r.:>;49a:&70?bb3-;:i7?69:J75>h6;m0>;6g;c;29?l2c2900e9k50;9j0c<722c><7>5;ng6>5<<uk;247?56;294~"6:?0=m6*;4;ff?!76m3;256F;1:l27a<202c?o7>5;h6g>5<<a=o1<75f4g83>>o283:17bk::188yg71l3;1:7>50z&263<1i2.?87ji;%32a?7>12B?=6`>3e86=>o3k3:17d:k:188m1c=831b8k4?::k64?6=3fo>6=44}c35b?7=>3:1<v*>2785e>"3<3nn7)?>e;3:=>N392d:?i4:a:k7g?6=3`>o6=44i5g94?=n<o0;66g:0;29?jc22900qo?81;392?6=8r.:>;49a:&70?bb3-;:i7?69:J75>h6;m0>n6g;c;29?l2c2900e9k50;9j0c<722c><7>5;ng6>5<<uk;<?7?56;294~"6:?0=m6*;4;f`?!76m3;256F;1:l27a<2k2c?o7>5;h6g>5<<a=o1<75f4g83>>o283:17bk::188yg70=3;1:7>50z&263<1i2.?87jj;%32a?7>12B?=6`>3e86`>o3k3:17d:k:188m1c=831b8k4?::k64?6=3fo>6=44}c343?7=>3:1<v*>2785e>"3<3nn7)?>e;3:=>N392d:?i4:e:k7g?6=3`>o6=44i5g94?=n<o0;66g:0;29?jc22900qo?89;392?6=8r.:>;49a:&70?bb3-;:i7?69:J75>h6;m0>j6g;c;29?l2c2900e9k50;9j0c<722c><7>5;ng6>5<<uk;<n7?56;294~"6:?0=m6*;4;ff?!76m3;256F;1:l27a<182c?o7>5;h6g>5<<a=o1<75f4g83>>o283:17bk::188yg70l3;1:7>50z&263<1i2.?87jl;%32a?7>12B?=6`>3e855>o3k3:17d:k:188m1c=831b8k4?::k64?6=3fo>6=44}c34b?7=>3:1<v*>2785e>"3<3nn7)?>e;3:=>N392d:?i492:k7g?6=3`>o6=44i5g94?=n<o0;66g:0;29?jc22900qo?73;392?6=8r.:>;49a:&70?be3-;:i7?69:J75>h6;m0=?6g;c;29?l2c2900e9k50;9j0c<722c><7>5;ng6>5<<uk;397?56;294~"6:?0=m6*;4;fa?!76m3;256F;1:l27a<1<2c?o7>5;h6g>5<<a=o1<75f4g83>>o283:17bk::188yg7??3;1:7>50z&263<1i2.?87jm;%32a?7>12B?=6`>3e851>o3k3:17d:k:188m1c=831b8k4?::k64?6=3fo>6=44}c3;=?7=>3:1<v*>2785e>"3<3ni7)?>e;3:=>N392d:?i496:k7g?6=3`>o6=44i5g94?=n<o0;66g:0;29?jc22900qo?90;393?6=8r.:>;49a:&70?c73-;:i7?69:J75>h6;m0=;6g;c;29?l2c2900e9k50;9j0c<722c><7>5;h72>5<<gl?1<75rb040>4<0290;w)?=6;4b?!232l:0(<?j:0;:?M263g;8h787;h6`>5<<a=n1<75f4d83>>o3n3:17d;?:188m07=831di84?::a53>=93=1<7>t$005>3g<,=>1i=5+10g95<?<@=;0b<=k:7;8m1e=831b8i4?::k7a?6=3`>m6=44i4294?=n=80;66aj5;29?xd6>?0:6:4?:1y'570=>h1/894j0:&25`<6101C8<5a12f92d=n<j0;66g;d;29?l2b2900e9h50;9j15<722c>=7>5;ng6>5<<uk8o6<4=:183!75>3?97)?>e;3:=>"2m3??7E:>;o30`?0e3`>i6=44oec94?=zj;i1=7<50;2x 44128:0(<?j:0;:?M263g;8h78l;h6a>5<<gmk1<75rb`795?4=83:p(<<9:7c8 12=m81/=<k518;8L0g<@=;0b<=k:7f8m1e=831di84?::aec<62;0;6=u+13492d=#<=0n=6*>1d82=<=O=h1C8<5a12f92`=n<j0;66aj5;29?xde93;1>7>50z&263<1i2.?87k>;%32a?7>12B>m6F;1:l27a<1n2c?o7>5;ng6>5<<ukh86<4=:183!75>3<j7):;:d38 47b28327E;n;I62?k74l3=;7d:l:188k`3=831vno;51;094?6|,88=6;o4$569a4=#98o1=474H4c8L17<f89o6:?4i5a94?=hm<0;66smb682>7<729q/=?856`9'01<b92.:=h4>989K1d=O<81e=>j5739j0f<722en97>5;|`a=?7=:3:1<v*>2785e>"3<3o:7)?>e;3:=>N2i2B?=6`>3e847>o3k3:17bk::188ygde28096=4?{%312?0f3->?6h?4$03f>4?>3A?j7E:>;o30`?133`>h6=44od794?=zjkn1=7<50;2x 4412?k0(9:5e09'54c=9030D8o4H538j45c2>?0e9m50;9la0<722wi5<4>:383>5}#9;<1:l5+458f5>"69l0:545G5`9K04=i9:n1;;5f4b83>>ib=3:17pl63;396?6=8r.:>;49a:&70?c63-;:i7?69:J6e>N392d:?i487:k7g?6=3fo>6=44}c;6>4<5290;w)?=6;4b?!232l;0(<?j:0;:?M3f3A>:7c?<d;5;?l2d2900ch;50;9~f<1=9381<7>t$005>3g<,=>1i<5+10g95<?<@<k0D9?4n01g>2?<a=i1<75`e483>>{e100:6?4?:1y'570=>h1/894j1:&25`<6101C9l5G409m56b=?h1b8n4?::mf1?6=3th2n7?52;294~"6:?0=m6*;4;g2?!76m3;256F:a:J75>h6;m0<n6g;c;29?jc22900qo7k:081>5<7s-;9:78n;%67>`7<,8;n6<76;I7b?M263g;8h79l;h6`>5<<gl?1<75rb8d95?4=83:p(<<9:7c8 12=m81/=<k518;8L0g<@=;0b<=k:6f8m1e=831di84?::ae4<62;0;6=u+13492d=#<=0n=6*>1d82=<=O=h1C8<5a12f93`=n<j0;66aj5;29?xdf;3;1>7>50z&263<1i2.?87k>;%32a?7>12B>m6F;1:l27a<0n2c?o7>5;ng6>5<<ukk<6<4=:183!75>3<j7):;:d38 47b28327E;n;I62?k74l32;7d:l:188k`3=831vnl751;094?6|,88=6;o4$569a4=#98o1=474H4c8L17<f89o65?4i5a94?=hm<0;66smac82>7<729q/=?856`9'01<b92.:=h4>989K1d=O<81e=>j5839j0f<722en97>5;|`b`?7=:3:1<v*>2785e>"3<3o:7)?>e;3:=>N2i2B?=6`>3e8;7>o3k3:17bk::188yg7>280:6=4?{%312?3a3-?o6;k4$4g911=#>>0nh6F;1:l27a<?<2e:=i4?::a24<6280;6=u+1349a1=#=m0=i6`<7;:8j47e28>0(8k5e49'22<b12B?=6`>3e8;1>o69j0;66sm1982>5<729qC=>;4$005>41<@8887Ej6;o30`?>13th8>7?50;294~N6;?1/=?85309K575<@m30b<=k:958yg`?280;6=4?{I30<>"6:?0m;6F>229K`<=i9:n1455rs077>5<6s4=36>;4}rf4>5<60r7<47?:;<`f>43<51>1?85289801>;?139>706n:2789=d=;<164n4<5:?;`?52342n6>;4=9d970=:?h089638b;16?81d2:?01:j5349>3`<4=27<j7=:;<:3>63<51;1?85283801>;?;39>706::2789=0=;<164:4<5:p512=83?p1:6510789gc=>=16=;:54e9>53?=n?16=;854e9~w2>=839p1:65d`9><1<6=27h57?:;|qe`?6=:r7ii7jn;<35e?2d3tyj87>53z?;0?76=27h578;;<c6>`3<uz2?6=4<{<:7>ag<5121=852cd821>{t9921<7<t=b;9`d=:91:18n5rs`g94?5|5121=<;4=bg921=:io0n96s|8983>6}:010om6379;36?8ea28?0q~??d;296~;dm3nj70?7a;6`?xue83:1?v379;321>;dn3<?70l>:d78yv>>2908w066:ec89=g=9<16h=4>5:p55c=838p1nh5d`9>5=e=<j1vo<50;1x9=g=98?01i>5659>f6<b=2wx4l4?:2y><d<ci273n7?:;<f2>43<uz;;j7>52z?g4?bf34;3i7:l;|qa0?6=;r73n7?>5:?g5?0334h>6h;4}r:a>5<4s42i6io4=9a950=:l;0:96s|10294?4|5m;1hl5218290f=z{k<1<7=t=9a9543<5m81:952b68f1>{t0j0;6>u28b8ge>;?l3;>70j<:078yv7693:1>v3k2;fb?87>:3>h7p}m8;297~;?l3;:963k3;47?8d>2l?0q~6k:1808>c2mk015k5149>`1<6=2wx=<<50;0x9a5=lh16=4:54b9~wgg=839p15k510789a2=>=16no4j5:p<`<72:q64h4ka:?;b?7234n>6<;4}r327?6=:r7o87jn;<3:2?2d3tyio7>53z?;b?76=27o978;;<`g>`3<uz2m6=4<{<:e>ag<5>k1=852bg821>{t98>1<7<t=e79`d=:90218n5rs8294?5|5>k1=<;4=cd921=:180n96s|7`83>6}:?h0om638b;36?8e728?0q~hj:1818da2mk01<8k:5a8yv?52908w09m:036?8e72?>014=5e49~w2d=839p1:l5d`9>3f<6=27h=7?:;|qeb?6=:r7h<7jn;<35b?2d3ty287>53z?4g?76=27h=78;;<;6>`3<uz=h6=4<{<5`>ag<5>n1=852c3821>{t99:1<7<t=b39`d=:9>;18n5rs8494?5|5>n1=<;4=b0921=:1>0n96s|7e83>6}:?m0om638e;36?8e428?0q~??1;296~;d:3nj70?83;6g?xu>03:1?v38e;321>;d;3<?7076:d78yv1b2908w09j:ec892`=9<16o94>5:p554=838p1n=5d`9>523=<j1v4o50;1x92`=98?01n:5659>=g<b=2wx;k4?:2y>3c<ci273<7?:;<a6>43<uz;;?7>52z?`0?bf34;<;7:l;|q:g?6=;r73<7?>5:?`1?03343o6h;4}r:3>5<4s42;6io4=93950=:k?0:96s|11694?4|5j?1hl5216;90f=z{0o1<7=t=939543<5j<1:9529g8f1>{t080;6>u2808ge>;?:3;>70m8:078yv77=3:1>v3l6;fb?870j3>h7p}n0;297~;?:3;:963l7;47?8g62l?0q~6=:1808>52mk015=5149>g=<6=2wx==850;0x9f1=lh16=:j54e9~wd4=839p15=510789f>=>=16m>4j5:p<6<72:q64>4ka:?;1?7234ij6<;4}r333?6=:r7h47jn;<34b?2d3tyj:7>53z?;1?76=27hm78;;<c4>`3<uz2>6=4<{<:6>ag<51<1=852cc821>{t9931<7<t=bc9`d=:91919=5rs`:94?5|51<1=<;4=b`921=:i00n96s|8783>6}:0?0om6377;36?8ed28?0q~??a;296~;dj3nj70?75;73?xufi3:1?v377;321>;dk3<?70om:d78yv>02909w068:ec89fb=9<1v<>m:1818ed2mk01<68:428yvgd2909w0mk:7689db=m<1v<>l:1818ec2mk01<66:428yv7303:18v3>5d87g>;6?;0m:63>6187g>;>?3>h7p}>4683>1}:9<o18i521629b3=:9?:18i529487g>{t9=31<7:t=07f>1c<58=?6k84=043>1c<50318n5rs06b>5<3s4;>i7:i;<342?`134;=<7:i;<;a>1e<uz;?n7>54z?21`<2827:;54i6:?225<28272h7:l;|q20f<72=q6=8k5509>52g=n?16=;>5509>=c<3k2wx=8j50;gx943b2l?01;=54e9>53g=<m16=5>54e9>5=g=<m16=5m54e9>5=c=<m16=4>54e9>5<4=<m16=4:54e9>5<0=<m16=4654e9>53`=<m16=:?54e9~w42b290?w0?91;6`?870m3l=70?93;6`?8g42=i0q~?;d;290~;6>80?h63>7b8e2>;6>:0?h63n1;6`?xu6=90;69u217390`=:9181j;5217190`=:i>0?o6s|14394?2|58<:69h4=0:7>c0<58<869h4=`;90f=z{8?96=4;{<355?3734;3:7h9;<357?3734ki69m4}r367?6=<r7::<4:1:?2<=<a>27::>4:1:?b`?2d3ty:9h4?:dy>537=m<16:>4;e:?22d<3m27:4=4;e:?2<d<3m27:4n4;e:?2<`<3m27:5=4;e:?2=7<3m27:594;e:?2=3<3m27:554;e:?22c<3m27:;<4;e:p51`=83>p1<8;:5a8940e2o<01<89:5a89d3=<j1v<;::187871<3>n70?71;d5?871>3>n70oi:5a8yv72>3:18v3>6587b>;60k0m:63>6787b>;e93>h7p}>5683>1}:9?>19=5219f9b3=:9?<19=52b287g>{t9<21<7:t=047>07<582m6k84=045>07<5k?18n5rs042>5<bs4;=87k:;<40>1`<58<j69h4=0:3>1`<582j69h4=0:`>1`<582n69h4=0;3>1`<583969h4=0;7>1`<583=69h4=0;;>1`<58<m69h4=052>1`<uz;>m7>54z?222<3k27:5>4i6:?22=<3k27i57:l;|q21<<72=q6=;954e9>5<7=n?16=;654e9>f2<3k2wx=8l50;6x94002=o01<7::g48940?2=o01ol54b9~w43d290?w0?97;6e?87>?3l=70?98;6e?8dc2=i0q~?;5;290~;6>>0><63>6b8e2>;6>10><6361;6`?xu6<?0;69u2175914=:9?o1j;5217:914=:1:0?o6s|17694?73s4;=;7k:;<40>06<58<j68>4=0:3>06<582j68>4=0:`>06<582n68>4=0;3>06<583968>4=0;7>06<583=68>4=0;;>06<58<o68>4=04e>06<58=:68>4=056>06<58=<68>4=05:>06<58=i68>4=05e>06<uz<96=4<{<41>1d<5?:1j;526287g>{t>90;6>u2638ge>;193;:o6P90:p26<72;q6:=4<4:?57?c23ty9n7>51`y>25<6127::44>9:?22g<6127:4<4>9:?2<c<6127:4o4>9:?2<a<6127:5<4>9:?2=6<6127:584>9:?2=2<6127:;=4>9:?22f<6127::h4>9:?237<6127:;94>9:?233<6127:;54>9:?23`<6127:;l4>9:?23f<6127:4?4>9:?2<1<6127:4;4>9:?2<=<61279o7jn;|q22d<72;q6=;75359>53g=m<1v<6?:181871j39?70?70;g6?xu60h0;6?u2193971=:91k1i85rs0;3>5<5s4;3j7=;;<3:4?c23ty:4n4?:3y>5=d=;=16=5m5e49~w4>b2909w0?7d;17?87?m3o>7p}>9383>7}:90;1?9521809a0=z{83?6=4={<3:7?5334;287k:;|q2=3<72;q6=4;5359>5<0=m<1v<77:18187>?39?70?68;g6?xu6?80;6?u2162971=:9>;1i85rs04g>5<5s4;=o7=;;<35`?c23ty::k4?:3y>53c=;=16=;h5e49~w4142909w0?82;17?870;3o>7p}>7483>7}:9>>1?9521679a0=z{8=<6=4={<342?5334;<;7k:;|q23<<72;q6=:65359>52?=m<1v<9i:181870m39?70?8f;g6?xu6?k0;6?u216c971=:9>h1i85rs05g>5<5s4;<o7=;;<34`?c23ty:4>4?:3y>5=4=;=16=5=5e49~w4>22909w0?74;17?87?=3o>7p}>8683>7}:91<1?9521959a0=z{8226=4={<3;<?5334;357k:;|q220<72mq6=;j54e9>525=<l16=:;54g9>521=<o16=:754g9>52d=<o16=:j54d9>52`=<o16=5=54e9>5=3=<m16=5954e9>5=?=<m16=;85e49~w405290ow0?9d;6f?870;3>m70?85;6f?870?3>n70?89;6f?870j3>n70?8d;6e?870n3>n70?73;6f?87?=3>n70?77;6f?87?13>n70?93;g6?xu6=o0;6iu217f90c=:9>919=5216790a=:9>=18i5216;90a=:9>h18i5216f915=:9>l18i5219190c=:91?18k5219590c=:91318k521729a0=z{8<<6=48{<347?2d34;<h7:l;<3;7?2d34;397:l;<3;3?2d34;357:l;<35<?c23ty:57>53z?1`?2e34;26<?k;_3:?xu5l3:1>v3=d;fb?84d2=h0qp`9b`83>4}in;0;7c?<d;28yk0ej3:1=v`i2;38j45c281vb;ll:182k74l380qc8md;295~h6;m087p`9bd83>4}i9:n186sa6cd94?7|f89o685rn7a3>5<6sg;8h784}o4`5?6=9rd:?i48;|l5g7<728qe=>j58:m2f5=83;pb<=k:89~j3e3290:wc?<d;c8yk0d=3:1=v`>3e8a?xh1k?0;6<ua12f9g>{i>j=1<7?tn01g>a=zf?i36=4>{o30`?c<ug<h57>51zl27a<a3td=ol4?:0ym56b=991vb;mm:182k74l3;:7p`9cb83>4}i9:n1=?5rn7ag>5<6sg;8h7?<;|l5g`<728qe=>j5159~j3ea290:wc?<d;36?xh1l90;6<ua12f953=zf?n:6=4>{o30`?703td=h?4?:0ym56b=911vb;j<:182k74l3;27p`9d583>4}i9:n1=l5rn7f6>5<6sg;8h7?m;|l5`3<728qe=>j51b9~j3b0290:wc?<d;3g?xh1l10;6<ua12f95`=zf?n26=4>{o30`?7a3td=hl4?:0ym56b=:91vb;jm:182k74l38:7p`9db83>4}i9:n1>?5rn7fg>5<6sg;8h7<<;|l5``<728qe=>j5259~j3ba290:wc?<d;06?xh1m90;6<ua12f963=zf?o:6=4>{o30`?403td=i?4?:0ym56b=:11vb;k<:182k74l3827p`9e583>4}i9:n1>l5rn7g6>5<6sg;8h7<m;|l5a3<728qe=>j52b9~j3c0290:wc?<d;0g?xh1m10;6<ua12f96`=zf?o26=4>{o30`?4a3td=il4?:0ym56b=;91vb;km:182k74l39:7p`9eb83>4}i9:n1??5rn7gg>5<6sg;8h7=<;|l5a`<728qe=>j5359~j3ca290:wc?<d;16?xh1n90;6<ua12f973=zf?l:6=4>{o30`?503td=j?4?:0ym56b=;11vb;h<:182k74l3927p`9f583>4}i9:n1?l5rn7d6>5<6sg;8h7=m;|l5b3<728qe=>j53b9~j3`0290:wc?<d;1g?xh1n10;6<ua12f97`=zf?l26=4>{o30`?5a3td=jl4?:0ym56b=<91vb;hm:182k74l3>:7p`9fb83>4}i9:n18?5rn7dg>5<6sg;8h7:<;|l5b`<728qe=>j5459~j3`a290:wc?<d;66?xh0890;6<ua12f903=zf>::6=4>{o30`?203td<<?4?:0ym56b=<11vb:><:182k74l3>27p`80583>4}i9:n18l5rn626>5<6sg;8h7:m;|l443<728qe=>j54b9~j260290:wc?<d;6g?xh0810;6<ua12f90`=zf>:26=4>{o30`?2a3td<<l4?:0ym56b==91vb:>m:182k74l3?:7p`80b83>4}i9:n19?5rn62g>5<6sg;8h7;<;|l44`<728qe=>j5559~j26a290:wc?<d;76?xh0990;6<ua12f913=zf>;:6=4>{o30`?303td<=?4?:0ym56b==11vb:?<:182k74l3?27p`81583>4}i9:n19l5rn636>5<6sg;8h7;m;|l453<728qe=>j55b9~j270290:wc?<d;7g?xh0910;6<ua12f91`=zf>;26=4>{o30`?3a3td<=l4?:0ym56b=>91vb:?m:182k74l3<:7p`81b83>4}i9:n1:?5rn63g>5<6sg;8h78<;|l45`<728qe=>j5659~j27a290:wc?<d;46?xh0:90;6<ua12f923=zf>8:6=4>{o30`?003td<>?4?:0ym56b=>11vb:<<:182k74l3<27p`82583>4}i9:n1:l5rn606>5<6sg;8h78m;|l463<728qe=>j56b9~j240290:wc?<d;4g?xh0:10;6<ua12f92`=zf>826=4>{o30`?0a3td<>l4?:0ym56b=?91vb:<m:182k74l3=:7p`82b83>4}i9:n1;?5rn60g>5<6sg;8h79<;|l46`<728qe=>j5759~j24a290:wc?<d;56?xh0;90;6<ua12f933=zf>9:6=4>{o30`?103td<??4?:0ym56b=?11vb:=<:182k74l3=27p`83583>4}i9:n1;l5rn616>5<6sg;8h79m;|l473<728qe=>j57b9~j250290:wc?<d;5g?xh0;10;6<ua12f93`=zf>926=4>{o30`?1a3td<?l4?:0ym56b=091vb:=m:182k74l32:7p`83b83>4}i9:n14?5rn61g>5<6sg;8h76<;|l47`<728qe=>j5859~j25a290:wW?=5;3x=?{i9:n1485r}|CDF}0k?0mj?mi847~DED|00q:?94?:181>6>62:n;7pu>3283>5<52:l?6<:4}z307?6=8381?k75189~454290;6?4<fe82`>{|9:91<7>52;636?453tq:?>4?:181>1612;<0qv?<3;294?4=<9h1>o5r{010>5<72;0?<k4=f:x565=83:1>7:>4;17?x}6;:0;6=4=:53;>6><ur;8?7>50;0904b=;m1vw<=<:183>7<3:80?=6st12194?6=:3>9:7:9;|y276<7290969<n:5c8y~74;3:1<7<543d90c=zs8986=4?:38776<2;2wp=>=50;296?2403?37pu>3283>5<52=9h68m4}z307?6=838189?5609~454290;6?4;44851>{|9:91<7>52;67e?0f3tq:?>4?:181>12b2?o0qv?<3;294?4=<<91;>5r{010>5<72;0?9:487:x565=83:1>7::c;5`?x}6;:0;6=4=:543>=6<ur;8?7>50;09033=0<1vw<=<:183>7<3>00356st12194?6=:3>=i76j;|y276<72909699=:808y~74;3:1<7<54659=2=zs8986=4?:3873g<>j2wp=>=50;296?2?83k;7pu>3283>5<52=2?6l:4}z307?6=83818575a89~454290;6?4;8e8b`>{|9:91<7>52;6:6?d53tq:?>4?:181>1?12k<0qv?<3;294?4=<0h1no5r{010>5<72;0?5k4mf:x565=83:1>7:n4;a7?x}6;:0;6=4=:5c;>f><ur;8?7>50;090db=km1vw<=<:183>7<3j80o=6st12194?6=:3>i:7j9;|y276<7290969ln:ec8y~74;3:1<7<54cd9`c=zs8986=4?:387g6<b;2wp=>=50;296?2d?3o<7pu>3283>5<52=im6hh4}z307?6=83818i95f69~454290;6?4;dg8eb>{|9:91<7>52;6f3?77?2wp=>=50;296?2bi3;;i6st12194?6=:3>m=7?>5:x565=83:1>7:i8;32g>{|9:91<7>52;6eb?75;2wp=>=50;296?37>3;9m6st12194?6=:3?;h7?<1:x565=83:1>7;>4;30<>{|9:91<7>52;72f?74n2wp=>=50;296?35:3;?:6st12194?6=:3?957?;d:x565=83:1>7;<0;360>{|9:91<7>52;703?72j2wp=>=50;296?34m3;=>6st12194?6=:3??97?99:x565=83:1>7;;c;344>{|9:91<7>52;767?70?2wp=>=50;296?32i3;<i6st12194?6=:3?==7?75:x565=83:1>7;98;3;g>{|9:91<7>52;75b?7>;2wp=>=50;296?30>3;2m6st12194?6=:3?<h7?n1:x565=83:1>7;74;3b<>{|9:91<7>52;7;f?7fn2wp=>=50;296?3>:3;i:6st12194?6=:3?257?md:x565=83:1>7;n0;3`0>{|9:91<7>52;7b3?7dj2wp=>=50;296?3fm3;o>6st12194?6=:3?i97?k9:x565=83:1>7;mc;3f4>{|9:91<7>52;7`7?7b?2wp=>=50;296?3di3;ni6st12194?6=:3?o=7?i5:x565=83:1>7;k8;3eg>{|9:91<7>52;7gb?47;2wp=>=50;296?3b>38;m6st12194?6=:3?nh7<>1:x565=83:1>7;i4;02<>{|9:91<7>52;7ef?46n2wp=>=50;296?07:389:6st12194?6=:3<;57<=d:x565=83:1>78>0;000>{|9:91<7>52;423?44j2wp=>=50;296?06m38?>6st12194?6=:3<997<;9:x565=83:1>78=c;064>{|9:91<7>52;407?42?2wp=>=50;296?04i38>i6st12194?6=:3<?=7<95:x565=83:1>78;8;05g>{|9:91<7>52;464?40<2wp=>=50;296?02038<o6st12194?6=:3<=<7<74:x565=83:1>7898;0;b>{|9:91<7>52;45f?4>:2wp=>=50;296?01m38246st12194?6=:3<<=7<6b:x565=83:1>7884;0:a>{|9:91<7>52;443?4f92wp=>=50;296?00i38j86st12194?6=:3<<h7<n7:x565=83:1>7870;0be>{|9:91<7>52;4;7?4fl2wp=>=50;296?0?>38i<6st12194?6=:3<357<m3:x565=83:1>787c;0a2>{|9:91<7>52;4;b?4e12wp=>=50;296?0>:38io6st12194?6=:3<297<mf:x565=83:1>7868;0`6>{|9:91<7>52;4:f?4d=2wp=>=50;296?0>m38h46st12194?6=:3<j=7<lb:x565=83:1>78n4;0`a>{|9:91<7>52;4b3?4c92wp=>=50;296?0fi38o86st12194?6=:3<jh7<k7:x565=83:1>78m0;0ge>{|9:91<7>52;4a7?4cl2wp=>=50;296?0e=38oj6st12194?6=:3<i;7<j1:x565=83:1?78m8;31>46<ur;8?7>50;092g?=99k0qpNOPzCD \ No newline at end of file
diff --git a/led_map.xrpt b/led_map.xrpt
new file mode 100644
index 0000000..3788bef
--- /dev/null
+++ b/led_map.xrpt
@@ -0,0 +1,292 @@
+<?xml version="1.0" encoding="UTF-8" standalone="yes" ?>
+<document OS="lin64" product="ISE" version="14.7">
+
+ <!--The data in this file is primarily intended for consumption by Xilinx tools.
+ The structure and the elements are likely to change over the next few releases.
+ This means code written to parse this file will need to be revisited each subsequent release.-->
+
+ <application stringID="Map" timeStamp="Tue Feb 21 22:16:54 2017">
+ <section stringID="User_Env">
+ <table stringID="User_EnvVar">
+ <column stringID="variable"/>
+ <column stringID="value"/>
+ <row stringID="row" value="0">
+ <item stringID="variable" value="LD_LIBRARY_PATH"/>
+ <item stringID="value" value="/opt/Xilinx/14.7/ISE_DS/ISE//lib/lin64:/opt/Xilinx/14.7/ISE_DS/ISE/lib/lin64:/opt/Xilinx/14.7/ISE_DS/EDK/lib/lin64:/opt/Xilinx/14.7/ISE_DS/common/lib/lin64"/>
+ </row>
+ <row stringID="row" value="1">
+ <item stringID="variable" value="XILINX_DSP"/>
+ <item stringID="value" value="/opt/Xilinx/14.7/ISE_DS/ISE"/>
+ </row>
+ <row stringID="row" value="2">
+ <item stringID="variable" value="XILINX_PLANAHEAD"/>
+ <item stringID="value" value="/opt/Xilinx/14.7/ISE_DS/PlanAhead"/>
+ </row>
+ <row stringID="row" value="3">
+ <item stringID="variable" value="XILINX"/>
+ <item stringID="value" value="/opt/Xilinx/14.7/ISE_DS/ISE/"/>
+ </row>
+ <row stringID="row" value="4">
+ <item stringID="variable" value="XIL_MAP_LOCWARN"/>
+ <item stringID="value" value="1"/>
+ </row>
+ <row stringID="row" value="5">
+ <item stringID="variable" value="XILINX_EDK"/>
+ <item stringID="value" value="/opt/Xilinx/14.7/ISE_DS/EDK"/>
+ </row>
+ <row stringID="row" value="6">
+ <item stringID="variable" value="PATH"/>
+ <item stringID="value" value="/opt/Xilinx/14.7/ISE_DS/ISE//bin/lin64:/opt/Xilinx/14.7/ISE_DS/ISE/bin/lin64:/opt/Xilinx/14.7/ISE_DS/ISE/sysgen/util:/opt/Xilinx/14.7/ISE_DS/ISE/../../../DocNav:/opt/Xilinx/14.7/ISE_DS/PlanAhead/bin:/opt/Xilinx/14.7/ISE_DS/EDK/bin/lin64:/opt/Xilinx/14.7/ISE_DS/EDK/gnu/microblaze/lin/bin:/opt/Xilinx/14.7/ISE_DS/EDK/gnu/powerpc-eabi/lin/bin:/opt/Xilinx/14.7/ISE_DS/EDK/gnu/arm/lin/bin:/opt/Xilinx/14.7/ISE_DS/EDK/gnu/microblaze/linux_toolchain/lin64_be/bin:/opt/Xilinx/14.7/ISE_DS/EDK/gnu/microblaze/linux_toolchain/lin64_le/bin:/opt/Xilinx/14.7/ISE_DS/common/bin/lin64:/usr/local/sbin:/usr/local/bin:/usr/bin:/usr/lib/jvm/default/bin:/usr/bin/site_perl:/usr/bin/vendor_perl:/usr/bin/core_perl"/>
+ </row>
+ </table>
+ <item stringID="User_EnvOs" value="OS Information">
+ <item stringID="User_EnvOsname" value="unknown"/>
+ <item stringID="User_EnvOsrelease" value="unknown"/>
+ </item>
+ <item stringID="User_EnvHost" value="yann-arch"/>
+ <table stringID="User_EnvCpu">
+ <column stringID="arch"/>
+ <column stringID="speed"/>
+ <row stringID="row" value="0">
+ <item stringID="arch" value="Intel(R) Core(TM) i5-7200U CPU @ 2.50GHz"/>
+ <item stringID="speed" value="3100.451 MHz"/>
+ </row>
+ </table>
+ </section>
+ <section stringID="MAP_OPTION_SUMMARY">
+ <item DEFAULT="high" label="-ol" stringID="MAP_EFFORTLEVEL" value="high"/>
+ <item DEFAULT="0" label="-xt" stringID="MAP_EXTRA_COST_TABLE" value="0"/>
+ <item DEFAULT="OFF" label="-ir" stringID="MAP_IGNORERLOCS" value="OFF"/>
+ <item DEFAULT="OFF" stringID="MAP_LUTCOMPRESSIONMODE" value="OFF"/>
+ <item DEFAULT="0" label="-t" stringID="MAP_PLACERCOSTTABLE" value="1"/>
+ <item DEFAULT="4" label="-r" stringID="MAP_REGORDERING" value="4"/>
+ <item DEFAULT="FALSE" stringID="MAP_REPLICATELUTS" value="TRUE"/>
+ <item DEFAULT="None" label="-intstyle" stringID="MAP_INTSTYLE" value="ise"/>
+ <item DEFAULT="off" label="-lc" stringID="MAP_LUT_COMBINING" value="off"/>
+ <item DEFAULT="None" label="-o" stringID="MAP_OUTFILE" value="led_map.ncd"/>
+ <item DEFAULT="false" label="-w" stringID="MAP_OVERWRITE_OUTPUT" value="true"/>
+ <item DEFAULT="off" label="-pr" stringID="MAP_PACK_INTERNAL" value="off"/>
+ <item DEFAULT="None" label="-p" stringID="MAP_PARTNAME" value="xc6slx9-tqg144-2"/>
+ </section>
+ <task stringID="MAP_PACK_REPORT">
+ <item AVAILABLE="11440" dataType="int" label="Number of Slice Registers" stringID="MAP_SLICE_REGISTERS" value="25">
+ <item dataType="int" label="Number of Slice Flip Flops" stringID="MAP_NUM_SLICE_FF" value="25"/>
+ <item dataType="int" stringID="MAP_NUM_SLICE_LATCH" value="0"/>
+ <item dataType="int" stringID="MAP_NUM_SLICE_LATCHTHRU" value="0"/>
+ <item dataType="int" stringID="MAP_NUM_SLICE_LATCHLOGIC" value="0"/>
+ </item>
+ <item AVAILABLE="5720" dataType="int" label="Number of Slice LUTs" stringID="MAP_SLICE_LUTS" value="57">
+ <item dataType="int" label="Number using O5 output only" stringID="MAP_NUM_LOGIC_O5ONLY" value="1"/>
+ <item dataType="int" label="Number using O6 output only" stringID="MAP_NUM_LOGIC_O6ONLY" value="34"/>
+ <item dataType="int" label="Number using O5 and O6" stringID="MAP_NUM_LOGIC_O5ANDO6" value="22"/>
+ <item dataType="int" stringID="MAP_NUM_ROM_O5ONLY" value="0"/>
+ <item dataType="int" stringID="MAP_NUM_ROM_O6ONLY" value="0"/>
+ <item dataType="int" stringID="MAP_NUM_ROM_O5ANDO6" value="0"/>
+ <item dataType="int" stringID="MAP_NUM_DPRAM_O5ONLY" value="0"/>
+ <item dataType="int" stringID="MAP_NUM_DPRAM_O6ONLY" value="0"/>
+ <item dataType="int" stringID="MAP_NUM_DPRAM_O5ANDO6" value="0"/>
+ <item dataType="int" stringID="MAP_NUM_SPRAM_O5ONLY" value="0"/>
+ <item dataType="int" stringID="MAP_NUM_SPRAM_O6ONLY" value="0"/>
+ <item dataType="int" stringID="MAP_NUM_SPRAM_O5ANDO6" value="0"/>
+ <item dataType="int" stringID="MAP_NUM_SRL_O5ONLY" value="0"/>
+ <item dataType="int" stringID="MAP_NUM_SRL_O6ONLY" value="0"/>
+ <item dataType="int" stringID="MAP_NUM_SRL_O5ANDO6" value="0"/>
+ <item dataType="int" stringID="MAP_NUM_LUT_RT_EXO6" value="0"/>
+ <item dataType="int" stringID="MAP_NUM_LUT_RT_EXO5" value="0"/>
+ <item dataType="int" stringID="MAP_NUM_LUT_RT_O5ANDO6" value="0"/>
+ <item dataType="int" stringID="MAP_NUM_LUT_RT_DRIVES_FLOP" value="0"/>
+ <item dataType="int" stringID="MAP_NUM_LUT_RT_DRIVES_CARRY4" value="0"/>
+ <item dataType="int" stringID="MAP_NUM_LUT_RT_DRIVES_OTHERS" value="0"/>
+ </item>
+ <item AVAILABLE="102" dataType="int" stringID="MAP_AGG_BONDED_IO" value="2"/>
+ <item AVAILABLE="98" dataType="int" stringID="MAP_AGG_UNBONDED_IO" value="0"/>
+ <item AVAILABLE="0" dataType="int" label="IOB Flip Flops" stringID="MAP_NUM_IOB_FF" value="0"/>
+ <item AVAILABLE="0" dataType="int" stringID="MAP_NUM_IOB_LATCH" value="0"/>
+ <item AVAILABLE="49" dataType="int" stringID="MAP_NUM_IOBM" value="0"/>
+ <item AVAILABLE="51" dataType="int" stringID="MAP_NUM_BONDED_IOBM" value="0"/>
+ <item AVAILABLE="49" dataType="int" stringID="MAP_NUM_IOBS" value="0"/>
+ <item AVAILABLE="51" dataType="int" stringID="MAP_NUM_BONDED_IOBS" value="0"/>
+ <item AVAILABLE="0" dataType="int" stringID="MAP_NUM_IPAD" value="0"/>
+ <item AVAILABLE="0" dataType="int" stringID="MAP_NUM_BONDED_IPAD" value="0"/>
+ <item AVAILABLE="0" dataType="int" stringID="MAP_NUM_BONDED_OPAD" value="0"/>
+ <item AVAILABLE="0" dataType="int" stringID="MAP_NUM_OPAD" value="0"/>
+ <section stringID="MAP_DESIGN_INFORMATION">
+ <item stringID="MAP_PART" value="6slx9tqg144-2"/>
+ <item stringID="MAP_DEVICE" value="xc6slx9"/>
+ <item stringID="MAP_ARCHITECTURE" value="spartan6"/>
+ <item stringID="MAP_PACKAGE" value="tqg144"/>
+ <item stringID="MAP_SPEED" value="-2"/>
+ </section>
+ <section stringID="MAP_DESIGN_SUMMARY">
+ <item dataType="int" stringID="MAP_NUM_ERRORS" value="0"/>
+ <item dataType="int" stringID="MAP_FILTERED_WARNINGS" value="0"/>
+ <item dataType="int" stringID="MAP_NUM_WARNINGS" value="0"/>
+ <item UNITS="KB" dataType="int" stringID="MAP_PEAK_MEMORY" value="669832"/>
+ <item stringID="MAP_TOTAL_REAL_TIME" value="4 secs "/>
+ <item stringID="MAP_TOTAL_CPU_TIME" value="4 secs "/>
+ </section>
+ <section stringID="MAP_SLICE_REPORTING">
+ <item AVAILABLE="11440" dataType="int" label="Number of Slice Registers" stringID="MAP_SLICE_REGISTERS" value="25">
+ <item dataType="int" label="Number of Slice Flip Flops" stringID="MAP_NUM_SLICE_FF" value="25"/>
+ <item dataType="int" stringID="MAP_NUM_SLICE_LATCH" value="0"/>
+ <item dataType="int" stringID="MAP_NUM_SLICE_LATCHTHRU" value="0"/>
+ <item dataType="int" stringID="MAP_NUM_SLICE_LATCHLOGIC" value="0"/>
+ </item>
+ <item AVAILABLE="5720" dataType="int" label="Number of Slice LUTs" stringID="MAP_SLICE_LUTS" value="57">
+ <item dataType="int" label="Number using O5 output only" stringID="MAP_NUM_LOGIC_O5ONLY" value="1"/>
+ <item dataType="int" label="Number using O6 output only" stringID="MAP_NUM_LOGIC_O6ONLY" value="34"/>
+ <item dataType="int" label="Number using O5 and O6" stringID="MAP_NUM_LOGIC_O5ANDO6" value="22"/>
+ <item dataType="int" stringID="MAP_NUM_ROM_O5ONLY" value="0"/>
+ <item dataType="int" stringID="MAP_NUM_ROM_O6ONLY" value="0"/>
+ <item dataType="int" stringID="MAP_NUM_ROM_O5ANDO6" value="0"/>
+ <item dataType="int" stringID="MAP_NUM_DPRAM_O5ONLY" value="0"/>
+ <item dataType="int" stringID="MAP_NUM_DPRAM_O6ONLY" value="0"/>
+ <item dataType="int" stringID="MAP_NUM_DPRAM_O5ANDO6" value="0"/>
+ <item dataType="int" stringID="MAP_NUM_SPRAM_O5ONLY" value="0"/>
+ <item dataType="int" stringID="MAP_NUM_SPRAM_O6ONLY" value="0"/>
+ <item dataType="int" stringID="MAP_NUM_SPRAM_O5ANDO6" value="0"/>
+ <item dataType="int" stringID="MAP_NUM_SRL_O5ONLY" value="0"/>
+ <item dataType="int" stringID="MAP_NUM_SRL_O6ONLY" value="0"/>
+ <item dataType="int" stringID="MAP_NUM_SRL_O5ANDO6" value="0"/>
+ <item dataType="int" stringID="MAP_NUM_LUT_RT_EXO6" value="0"/>
+ <item dataType="int" stringID="MAP_NUM_LUT_RT_EXO5" value="0"/>
+ <item dataType="int" stringID="MAP_NUM_LUT_RT_O5ANDO6" value="0"/>
+ <item dataType="int" stringID="MAP_NUM_LUT_RT_DRIVES_FLOP" value="0"/>
+ <item dataType="int" stringID="MAP_NUM_LUT_RT_DRIVES_CARRY4" value="0"/>
+ <item dataType="int" stringID="MAP_NUM_LUT_RT_DRIVES_OTHERS" value="0"/>
+ </item>
+ <item AVAILABLE="1430" dataType="int" label="Number of occupied Slices" stringID="MAP_OCCUPIED_SLICES" value="15">
+ <item AVAILABLE="355" dataType="int" stringID="MAP_NUM_SLICEL" value="6"/>
+ <item AVAILABLE="360" dataType="int" stringID="MAP_NUM_SLICEM" value="0"/>
+ <item AVAILABLE="715" dataType="int" stringID="MAP_NUM_SLICEX" value="9"/>
+ </item>
+ <item dataType="int" label="Number of LUT Flip Flop pairs used" stringID="MAP_OCCUPIED_LUT_AND_FF" value="57">
+ <item dataType="int" stringID="MAP_OCCUPIED_LUT_ONLY" value="32"/>
+ <item dataType="int" label="Number with an unused LUT" stringID="MAP_OCCUPIED_FF_ONLY" value="0"/>
+ <item dataType="int" label="Number of fully used LUT-FF pairs" stringID="MAP_OCCUPIED_FF_AND_LUT" value="25"/>
+ </item>
+ </section>
+ <section stringID="MAP_IOB_REPORTING">
+ <item AVAILABLE="102" dataType="int" stringID="MAP_AGG_BONDED_IO" value="2"/>
+ <item AVAILABLE="98" dataType="int" stringID="MAP_AGG_UNBONDED_IO" value="0"/>
+ <item AVAILABLE="0" dataType="int" label="IOB Flip Flops" stringID="MAP_NUM_IOB_FF" value="0"/>
+ <item AVAILABLE="0" dataType="int" stringID="MAP_NUM_IOB_LATCH" value="0"/>
+ <item AVAILABLE="49" dataType="int" stringID="MAP_NUM_IOBM" value="0"/>
+ <item AVAILABLE="51" dataType="int" stringID="MAP_NUM_BONDED_IOBM" value="0"/>
+ <item AVAILABLE="49" dataType="int" stringID="MAP_NUM_IOBS" value="0"/>
+ <item AVAILABLE="51" dataType="int" stringID="MAP_NUM_BONDED_IOBS" value="0"/>
+ <item AVAILABLE="0" dataType="int" stringID="MAP_NUM_IPAD" value="0"/>
+ <item AVAILABLE="0" dataType="int" stringID="MAP_NUM_BONDED_IPAD" value="0"/>
+ <item AVAILABLE="0" dataType="int" stringID="MAP_NUM_BONDED_OPAD" value="0"/>
+ <item AVAILABLE="0" dataType="int" stringID="MAP_NUM_OPAD" value="0"/>
+ </section>
+ <section stringID="MAP_HARD_IP_REPORTING"/>
+ <section stringID="MAP_RAM_FIFO_DATA">
+ <item AVAILABLE="32" dataType="int" stringID="MAP_NUM_RAMB16BWER" value="0"/>
+ <item AVAILABLE="64" dataType="int" stringID="MAP_NUM_RAMB8BWER" value="0"/>
+ </section>
+ <section stringID="MAP_IP_DATA">
+ <item AVAILABLE="4" dataType="int" stringID="MAP_NUM_BSCAN" value="0"/>
+ <item AVAILABLE="128" dataType="int" stringID="MAP_NUM_BUFH" value="0"/>
+ <item AVAILABLE="8" dataType="int" stringID="MAP_NUM_BUFPLL" value="0"/>
+ <item AVAILABLE="4" dataType="int" stringID="MAP_NUM_BUFPLL_MCB" value="0"/>
+ <item AVAILABLE="16" dataType="int" stringID="MAP_NUM_DSP48A1" value="0"/>
+ <item AVAILABLE="0" dataType="int" stringID="MAP_NUM_GTPA1_DUAL" value="0"/>
+ <item AVAILABLE="1" dataType="int" stringID="MAP_NUM_ICAP" value="0"/>
+ <item AVAILABLE="2" dataType="int" stringID="MAP_NUM_MCB" value="0"/>
+ <item AVAILABLE="0" dataType="int" stringID="MAP_NUM_PCIE_A1" value="0"/>
+ <item AVAILABLE="2" dataType="int" stringID="MAP_NUM_PCILOGICSE" value="0"/>
+ <item AVAILABLE="2" dataType="int" stringID="MAP_NUM_PLL_ADV" value="0"/>
+ <item AVAILABLE="1" dataType="int" stringID="MAP_NUM_PMV" value="0"/>
+ <item AVAILABLE="1" dataType="int" stringID="MAP_NUM_STARTUP" value="0"/>
+ <item AVAILABLE="1" dataType="int" stringID="MAP_NUM_SUSPEND_SYNC" value="0"/>
+ </section>
+ <section stringID="MAP_BUFG_DATA">
+ <item dataType="int" label="Number used as BUFGs" stringID="MAP_NUM_BUFG" value="1"/>
+ <item dataType="int" label="Number of BUFGMUXs" stringID="MAP_NUM_BUFGMUX" value="0"/>
+ <item dataType="int" stringID="MAP_AVAILABLE" value="16"/>
+ </section>
+ <section stringID="MAP_MACRO_RPM_REPORTING">
+ <item dataType="int" stringID="MAP_HARD_MACROS" value="0"/>
+ <item dataType="int" stringID="MAP_RPMS" value="0"/>
+ </section>
+ <section stringID="MAP_IOB_PROPERTIES">
+ <table stringID="MAP_IOB_TABLE">
+ <column label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME"/>
+ <column stringID="Type"/>
+ <column stringID="Direction"/>
+ <column label="IO&#xA;Standard" sort="smart" stringID="IO_STANDARD"/>
+ <column label="Diff&#xA;Term" stringID="DIFF_TERM"/>
+ <column label="Drive&#xA;Strength" stringID="DRIVE_STRENGTH"/>
+ <column label="Slew&#xA;Rate" stringID="SLEW_RATE"/>
+ <column label="Reg&#xA;(s)" stringID="REGS"/>
+ <column stringID="Resistor"/>
+ <column label="IOB&#xA;Delay" stringID="IOB_DELAY"/>
+ <row stringID="row" value="1">
+ <item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="CLK"/>
+ <item stringID="Type" value="IOB"/>
+ <item stringID="Direction" value="INPUT"/>
+ <item label="IO&#xA;Standard" sort="smart" stringID="IO_STANDARD" value="LVTTL"/>
+ </row>
+ <row stringID="row" value="2">
+ <item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="LED1"/>
+ <item stringID="Type" value="IOB"/>
+ <item stringID="Direction" value="OUTPUT"/>
+ <item label="IO&#xA;Standard" sort="smart" stringID="IO_STANDARD" value="LVTTL"/>
+ <item label="Drive&#xA;Strength" stringID="DRIVE_STRENGTH" value="8"/>
+ <item label="Slew&#xA;Rate" stringID="SLEW_RATE" value="SLOW"/>
+ </row>
+ </table>
+ </section>
+ <section stringID="MAP_RPM_MACROS">
+ <section stringID="MAP_SHAPE_SECTION">
+ <item dataType="int" stringID="MAP_NUM_SHAPE" value="1"/>
+ </section>
+ </section>
+ <section stringID="MAP_GUIDE_REPORT"/>
+ <section stringID="MAP_AREA_GROUPS_PARTITIONS"/>
+ <section stringID="MAP_TIMING_REPORT"/>
+ <section stringID="MAP_CONFIGURATION_STRING_DETAILS"/>
+ <section stringID="MAP_GENERAL_CONFIG_DATA"/>
+ <section stringID="MAP_CONTROL_SET_INFORMATION">
+ <item dataType="int" label="Number of unique control sets" stringID="MAP_NUM_CONTROL_SETS" value="1"/>
+ <tree stringID="MAP_CONTROL_SET_HIERARCHY">
+ <property stringID="MAP_CLOCK_SIGNAL"/>
+ <property stringID="MAP_RESET_SIGNAL"/>
+ <property stringID="MAP_SET_SIGNAL"/>
+ <property stringID="MAP_ENABLE_SIGNAL"/>
+ <property label="Slice&#xA;Load Count" stringID="MAP_SLICE_LOAD_COUNT"/>
+ <property label="Bel&#xA;Load Count" stringID="MAP_BEL_LOAD_COUNT"/>
+ </tree>
+ </section>
+ </task>
+ <section stringID="MAP_RAM_FIFO_DATA">
+ <item AVAILABLE="32" dataType="int" stringID="MAP_NUM_RAMB16BWER" value="0"/>
+ <item AVAILABLE="64" dataType="int" stringID="MAP_NUM_RAMB8BWER" value="0"/>
+ </section>
+ <section stringID="MAP_IP_DATA">
+ <item AVAILABLE="4" dataType="int" stringID="MAP_NUM_BSCAN" value="0"/>
+ <item AVAILABLE="128" dataType="int" stringID="MAP_NUM_BUFH" value="0"/>
+ <item AVAILABLE="8" dataType="int" stringID="MAP_NUM_BUFPLL" value="0"/>
+ <item AVAILABLE="4" dataType="int" stringID="MAP_NUM_BUFPLL_MCB" value="0"/>
+ <item AVAILABLE="16" dataType="int" stringID="MAP_NUM_DSP48A1" value="0"/>
+ <item AVAILABLE="0" dataType="int" stringID="MAP_NUM_GTPA1_DUAL" value="0"/>
+ <item AVAILABLE="1" dataType="int" stringID="MAP_NUM_ICAP" value="0"/>
+ <item AVAILABLE="2" dataType="int" stringID="MAP_NUM_MCB" value="0"/>
+ <item AVAILABLE="0" dataType="int" stringID="MAP_NUM_PCIE_A1" value="0"/>
+ <item AVAILABLE="2" dataType="int" stringID="MAP_NUM_PCILOGICSE" value="0"/>
+ <item AVAILABLE="2" dataType="int" stringID="MAP_NUM_PLL_ADV" value="0"/>
+ <item AVAILABLE="1" dataType="int" stringID="MAP_NUM_PMV" value="0"/>
+ <item AVAILABLE="1" dataType="int" stringID="MAP_NUM_STARTUP" value="0"/>
+ <item AVAILABLE="1" dataType="int" stringID="MAP_NUM_SUSPEND_SYNC" value="0"/>
+ </section>
+ <section stringID="MAP_BUFG_DATA">
+ <item dataType="int" label="Number used as BUFGs" stringID="MAP_NUM_BUFG" value="1"/>
+ <item dataType="int" label="Number of BUFGMUXs" stringID="MAP_NUM_BUFGMUX" value="0"/>
+ <item dataType="int" stringID="MAP_AVAILABLE" value="16"/>
+ <item dataType="int" stringID="MAP_HARD_MACROS" value="0"/>
+ <item dataType="int" stringID="MAP_RPMS" value="0"/>
+ </section>
+ </application>
+
+</document>
diff --git a/led_ngdbuild.xrpt b/led_ngdbuild.xrpt
new file mode 100644
index 0000000..6a2be4e
--- /dev/null
+++ b/led_ngdbuild.xrpt
@@ -0,0 +1,106 @@
+<?xml version="1.0" encoding="UTF-8" standalone="yes" ?>
+<document OS="lin64" product="ISE" version="14.7">
+
+ <!--The data in this file is primarily intended for consumption by Xilinx tools.
+ The structure and the elements are likely to change over the next few releases.
+ This means code written to parse this file will need to be revisited each subsequent release.-->
+
+ <application stringID="NgdBuild" timeStamp="Tue Feb 21 22:16:43 2017">
+ <section stringID="User_Env">
+ <table stringID="User_EnvVar">
+ <column stringID="variable"/>
+ <column stringID="value"/>
+ <row stringID="row" value="0">
+ <item stringID="variable" value="LD_LIBRARY_PATH"/>
+ <item stringID="value" value="/opt/Xilinx/14.7/ISE_DS/ISE//lib/lin64:/opt/Xilinx/14.7/ISE_DS/ISE/lib/lin64:/opt/Xilinx/14.7/ISE_DS/EDK/lib/lin64:/opt/Xilinx/14.7/ISE_DS/common/lib/lin64"/>
+ </row>
+ <row stringID="row" value="1">
+ <item stringID="variable" value="XILINX_DSP"/>
+ <item stringID="value" value="/opt/Xilinx/14.7/ISE_DS/ISE"/>
+ </row>
+ <row stringID="row" value="2">
+ <item stringID="variable" value="XILINX_PLANAHEAD"/>
+ <item stringID="value" value="/opt/Xilinx/14.7/ISE_DS/PlanAhead"/>
+ </row>
+ <row stringID="row" value="3">
+ <item stringID="variable" value="XILINX"/>
+ <item stringID="value" value="/opt/Xilinx/14.7/ISE_DS/ISE/"/>
+ </row>
+ <row stringID="row" value="4">
+ <item stringID="variable" value="XIL_MAP_LOCWARN"/>
+ <item stringID="value" value="1"/>
+ </row>
+ <row stringID="row" value="5">
+ <item stringID="variable" value="XILINX_EDK"/>
+ <item stringID="value" value="/opt/Xilinx/14.7/ISE_DS/EDK"/>
+ </row>
+ <row stringID="row" value="6">
+ <item stringID="variable" value="PATH"/>
+ <item stringID="value" value="/opt/Xilinx/14.7/ISE_DS/ISE//bin/lin64:/opt/Xilinx/14.7/ISE_DS/ISE/bin/lin64:/opt/Xilinx/14.7/ISE_DS/ISE/sysgen/util:/opt/Xilinx/14.7/ISE_DS/ISE/../../../DocNav:/opt/Xilinx/14.7/ISE_DS/PlanAhead/bin:/opt/Xilinx/14.7/ISE_DS/EDK/bin/lin64:/opt/Xilinx/14.7/ISE_DS/EDK/gnu/microblaze/lin/bin:/opt/Xilinx/14.7/ISE_DS/EDK/gnu/powerpc-eabi/lin/bin:/opt/Xilinx/14.7/ISE_DS/EDK/gnu/arm/lin/bin:/opt/Xilinx/14.7/ISE_DS/EDK/gnu/microblaze/linux_toolchain/lin64_be/bin:/opt/Xilinx/14.7/ISE_DS/EDK/gnu/microblaze/linux_toolchain/lin64_le/bin:/opt/Xilinx/14.7/ISE_DS/common/bin/lin64:/usr/local/sbin:/usr/local/bin:/usr/bin:/usr/lib/jvm/default/bin:/usr/bin/site_perl:/usr/bin/vendor_perl:/usr/bin/core_perl"/>
+ </row>
+ </table>
+ <item stringID="User_EnvOs" value="OS Information">
+ <item stringID="User_EnvOsname" value="unknown"/>
+ <item stringID="User_EnvOsrelease" value="unknown"/>
+ </item>
+ <item stringID="User_EnvHost" value="yann-arch"/>
+ <table stringID="User_EnvCpu">
+ <column stringID="arch"/>
+ <column stringID="speed"/>
+ <row stringID="row" value="0">
+ <item stringID="arch" value="Intel(R) Core(TM) i5-7200U CPU @ 2.50GHz"/>
+ <item stringID="speed" value="3099.957 MHz"/>
+ </row>
+ </table>
+ </section>
+ <task stringID="NGDBUILD_OPTION_SUMMARY">
+ <section stringID="NGDBUILD_OPTION_SUMMARY">
+ <item DEFAULT="false" label="-aul" stringID="NGDBUILD_allow_unmatched_locs" value="true"/>
+ <item DEFAULT="None" label="-intstyle" stringID="NGDBUILD_intstyle" value="ise"/>
+ <item DEFAULT="None" label="-dd" stringID="NGDBUILD_output_dir" value="_ngo"/>
+ <item DEFAULT="None" label="-p" stringID="NGDBUILD_partname" value="xc6slx9-tqg144-2"/>
+ <item DEFAULT="None" label="-uc" stringID="NGDBUILD_ucf_file" value="BPC3011-Papilio_Pro-general.ucf"/>
+ </section>
+ </task>
+ <task stringID="NGDBUILD_REPORT">
+ <section stringID="NGDBUILD_DESIGN_SUMMARY">
+ <item dataType="int" stringID="NGDBUILD_NUM_ERRORS" value="0"/>
+ <item dataType="int" stringID="NGDBUILD_FILTERED_WARNINGS" value="0"/>
+ <item dataType="int" stringID="NGDBUILD_NUM_WARNINGS" value="95"/>
+ <item dataType="int" stringID="NGDBUILD_FILTERED_INFOS" value="0"/>
+ <item dataType="int" stringID="NGDBUILD_NUM_INFOS" value="207"/>
+ </section>
+ <section stringID="NGDBUILD_PRE_UNISIM_SUMMARY">
+ <item dataType="int" stringID="NGDBUILD_NUM_BUFGP" value="1"/>
+ <item dataType="int" stringID="NGDBUILD_NUM_FD" value="25"/>
+ <item dataType="int" stringID="NGDBUILD_NUM_GND" value="1"/>
+ <item dataType="int" stringID="NGDBUILD_NUM_INV" value="23"/>
+ <item dataType="int" stringID="NGDBUILD_NUM_LUT1" value="1"/>
+ <item dataType="int" stringID="NGDBUILD_NUM_LUT5" value="25"/>
+ <item dataType="int" stringID="NGDBUILD_NUM_LUT6" value="8"/>
+ <item dataType="int" stringID="NGDBUILD_NUM_MUXCY" value="23"/>
+ <item dataType="int" stringID="NGDBUILD_NUM_OBUF" value="1"/>
+ <item dataType="int" stringID="NGDBUILD_NUM_VCC" value="1"/>
+ <item dataType="int" stringID="NGDBUILD_NUM_XORCY" value="24"/>
+ </section>
+ <section stringID="NGDBUILD_POST_UNISIM_SUMMARY">
+ <item dataType="int" stringID="NGDBUILD_NUM_BUFG" value="1"/>
+ <item dataType="int" stringID="NGDBUILD_NUM_FD" value="25"/>
+ <item dataType="int" stringID="NGDBUILD_NUM_GND" value="1"/>
+ <item dataType="int" stringID="NGDBUILD_NUM_IBUFG" value="1"/>
+ <item dataType="int" stringID="NGDBUILD_NUM_INV" value="23"/>
+ <item dataType="int" stringID="NGDBUILD_NUM_LUT1" value="1"/>
+ <item dataType="int" stringID="NGDBUILD_NUM_LUT5" value="25"/>
+ <item dataType="int" stringID="NGDBUILD_NUM_LUT6" value="8"/>
+ <item dataType="int" stringID="NGDBUILD_NUM_MUXCY" value="23"/>
+ <item dataType="int" stringID="NGDBUILD_NUM_OBUF" value="1"/>
+ <item dataType="int" stringID="NGDBUILD_NUM_VCC" value="1"/>
+ <item dataType="int" stringID="NGDBUILD_NUM_XORCY" value="24"/>
+ </section>
+ <section stringID="NGDBUILD_CORE_GENERATION_SUMMARY">
+ <section stringID="NGDBUILD_CORE_INSTANCES"/>
+ </section>
+ </task>
+ </application>
+
+</document>
diff --git a/led_pad.csv b/led_pad.csv
new file mode 100644
index 0000000..d406d98
--- /dev/null
+++ b/led_pad.csv
@@ -0,0 +1,175 @@
+#Release 14.7 - par P.20131013 (lin64)
+#Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved.
+
+#Tue Feb 21 22:16:59 2017
+
+#
+## NOTE: This file is designed to be imported into a spreadsheet program
+# such as Microsoft Excel for viewing, printing and sorting. The |
+# character is used as the data field separator. This file is also designed
+# to support parsing.
+#
+#INPUT FILE: led_map.ncd
+#OUTPUT FILE: led_pad.csv
+#PART TYPE: xc6slx9
+#SPEED GRADE: -2
+#PACKAGE: tqg144
+#
+# Pinout by Pin Number:
+#
+# -----,-----,-----,-----,-----,-----,-----,-----,-----,-----,-----,-----,-----,-----,-----,
+Pin Number,Signal Name,Pin Usage,Pin Name,Direction,IO Standard,IO Bank Number,Drive (mA),Slew Rate,Termination,IOB Delay,Voltage,Constraint,IO Register,Signal Integrity,
+P1,,IOBS,IO_L83N_VREF_3,UNUSED,,3,,,,,,,,,
+P2,,IOBM,IO_L83P_3,UNUSED,,3,,,,,,,,,
+P3,,,GND,,,,,,,,,,,,
+P4,,,VCCO_3,,,3,,,,,any******,,,,
+P5,,IOBS,IO_L52N_3,UNUSED,,3,,,,,,,,,
+P6,,IOBM,IO_L52P_3,UNUSED,,3,,,,,,,,,
+P7,,IOBS,IO_L51N_3,UNUSED,,3,,,,,,,,,
+P8,,IOBM,IO_L51P_3,UNUSED,,3,,,,,,,,,
+P9,,IOBS,IO_L50N_3,UNUSED,,3,,,,,,,,,
+P10,,IOBM,IO_L50P_3,UNUSED,,3,,,,,,,,,
+P11,,IOBS,IO_L49N_3,UNUSED,,3,,,,,,,,,
+P12,,IOBM,IO_L49P_3,UNUSED,,3,,,,,,,,,
+P13,,,GND,,,,,,,,,,,,
+P14,,IOBS,IO_L44N_GCLK20_3,UNUSED,,3,,,,,,,,,
+P15,,IOBM,IO_L44P_GCLK21_3,UNUSED,,3,,,,,,,,,
+P16,,IOBS,IO_L43N_GCLK22_IRDY2_3,UNUSED,,3,,,,,,,,,
+P17,,IOBM,IO_L43P_GCLK23_3,UNUSED,,3,,,,,,,,,
+P18,,,VCCO_3,,,3,,,,,any******,,,,
+P19,,,VCCINT,,,,,,,,1.2,,,,
+P20,,,VCCAUX,,,,,,,,2.5,,,,
+P21,,IOBS,IO_L42N_GCLK24_3,UNUSED,,3,,,,,,,,,
+P22,,IOBM,IO_L42P_GCLK25_TRDY2_3,UNUSED,,3,,,,,,,,,
+P23,,IOBS,IO_L41N_GCLK26_3,UNUSED,,3,,,,,,,,,
+P24,,IOBM,IO_L41P_GCLK27_3,UNUSED,,3,,,,,,,,,
+P25,,,GND,,,,,,,,,,,,
+P26,,IOBS,IO_L37N_3,UNUSED,,3,,,,,,,,,
+P27,,IOBM,IO_L37P_3,UNUSED,,3,,,,,,,,,
+P28,,,VCCINT,,,,,,,,1.2,,,,
+P29,,IOBS,IO_L36N_3,UNUSED,,3,,,,,,,,,
+P30,,IOBM,IO_L36P_3,UNUSED,,3,,,,,,,,,
+P31,,,VCCO_3,,,3,,,,,any******,,,,
+P32,,IOBS,IO_L2N_3,UNUSED,,3,,,,,,,,,
+P33,,IOBM,IO_L2P_3,UNUSED,,3,,,,,,,,,
+P34,,IOBS,IO_L1N_VREF_3,UNUSED,,3,,,,,,,,,
+P35,,IOBM,IO_L1P_3,UNUSED,,3,,,,,,,,,
+P36,,,VCCAUX,,,,,,,,2.5,,,,
+P37,,,PROGRAM_B_2,,,,,,,,,,,,
+P38,,IOBS,IO_L65N_CSO_B_2,UNUSED,,2,,,,,,,,,
+P39,,IOBM,IO_L65P_INIT_B_2,UNUSED,,2,,,,,,,,,
+P40,,IOBS,IO_L64N_D9_2,UNUSED,,2,,,,,,,,,
+P41,,IOBM,IO_L64P_D8_2,UNUSED,,2,,,,,,,,,
+P42,,,VCCO_2,,,2,,,,,any******,,,,
+P43,,IOBS,IO_L62N_D6_2,UNUSED,,2,,,,,,,,,
+P44,,IOBM,IO_L62P_D5_2,UNUSED,,2,,,,,,,,,
+P45,,IOBS,IO_L49N_D4_2,UNUSED,,2,,,,,,,,,
+P46,,IOBM,IO_L49P_D3_2,UNUSED,,2,,,,,,,,,
+P47,,IOBS,IO_L48N_RDWR_B_VREF_2,UNUSED,,2,,,,,,,,,
+P48,,IOBM,IO_L48P_D7_2,UNUSED,,2,,,,,,,,,
+P49,,,GND,,,,,,,,,,,,
+P50,,IOBS,IO_L31N_GCLK30_D15_2,UNUSED,,2,,,,,,,,,
+P51,,IOBM,IO_L31P_GCLK31_D14_2,UNUSED,,2,,,,,,,,,
+P52,,,VCCINT,,,,,,,,1.2,,,,
+P53,,,VCCAUX,,,,,,,,2.5,,,,
+P54,,,GND,,,,,,,,,,,,
+P55,,IOBS,IO_L30N_GCLK0_USERCCLK_2,UNUSED,,2,,,,,,,,,
+P56,,IOBM,IO_L30P_GCLK1_D13_2,UNUSED,,2,,,,,,,,,
+P57,,IOBS,IO_L14N_D12_2,UNUSED,,2,,,,,,,,,
+P58,,IOBM,IO_L14P_D11_2,UNUSED,,2,,,,,,,,,
+P59,,IOBS,IO_L13N_D10_2,UNUSED,,2,,,,,,,,,
+P60,,IOBM,IO_L13P_M1_2,UNUSED,,2,,,,,,PROHIBITED,,,
+P61,,IOBS,IO_L12N_D2_MISO3_2,UNUSED,,2,,,,,,,,,
+P62,,IOBM,IO_L12P_D1_MISO2_2,UNUSED,,2,,,,,,,,,
+P63,,,VCCO_2,,,2,,,,,any******,,,,
+P64,,IOBS,IO_L3N_MOSI_CSI_B_MISO0_2,UNUSED,,2,,,,,,,,,
+P65,,IOBM,IO_L3P_D0_DIN_MISO_MISO1_2,UNUSED,,2,,,,,,,,,
+P66,,IOBS,IO_L2N_CMPMOSI_2,UNUSED,,2,,,,,,,,,
+P67,,IOBM,IO_L2P_CMPCLK_2,UNUSED,,2,,,,,,,,,
+P68,,,GND,,,,,,,,,,,,
+P69,,IOBS,IO_L1N_M0_CMPMISO_2,UNUSED,,2,,,,,,PROHIBITED,,,
+P70,,IOBM,IO_L1P_CCLK_2,UNUSED,,2,,,,,,,,,
+P71,,,DONE_2,,,,,,,,,,,,
+P72,,,CMPCS_B_2,,,,,,,,,,,,
+P73,,,SUSPEND,,,,,,,,,,,,
+P74,,IOBS,IO_L74N_DOUT_BUSY_1,UNUSED,,1,,,,,,,,,
+P75,,IOBM,IO_L74P_AWAKE_1,UNUSED,,1,,,,,,,,,
+P76,,,VCCO_1,,,1,,,,,any******,,,,
+P77,,,GND,,,,,,,,,,,,
+P78,,IOBS,IO_L47N_1,UNUSED,,1,,,,,,,,,
+P79,,IOBM,IO_L47P_1,UNUSED,,1,,,,,,,,,
+P80,,IOBS,IO_L46N_1,UNUSED,,1,,,,,,,,,
+P81,,IOBM,IO_L46P_1,UNUSED,,1,,,,,,,,,
+P82,,IOBS,IO_L45N_1,UNUSED,,1,,,,,,,,,
+P83,,IOBM,IO_L45P_1,UNUSED,,1,,,,,,,,,
+P84,,IOBS,IO_L43N_GCLK4_1,UNUSED,,1,,,,,,,,,
+P85,,IOBM,IO_L43P_GCLK5_1,UNUSED,,1,,,,,,,,,
+P86,,,VCCO_1,,,1,,,,,any******,,,,
+P87,,IOBS,IO_L42N_GCLK6_TRDY1_1,UNUSED,,1,,,,,,,,,
+P88,,IOBM,IO_L42P_GCLK7_1,UNUSED,,1,,,,,,,,,
+P89,,,VCCINT,,,,,,,,1.2,,,,
+P90,,,VCCAUX,,,,,,,,2.5,,,,
+P91,,,GND,,,,,,,,,,,,
+P92,,IOBS,IO_L41N_GCLK8_1,UNUSED,,1,,,,,,,,,
+P93,,IOBM,IO_L41P_GCLK9_IRDY1_1,UNUSED,,1,,,,,,,,,
+P94,CLK,IOB,IO_L40N_GCLK10_1,INPUT,LVTTL,1,,,,NONE,,LOCATED,NO,NONE,
+P95,,IOBM,IO_L40P_GCLK11_1,UNUSED,,1,,,,,,,,,
+P96,,,GND,,,,,,,,,,,,
+P97,,IOBS,IO_L34N_1,UNUSED,,1,,,,,,,,,
+P98,,IOBM,IO_L34P_1,UNUSED,,1,,,,,,,,,
+P99,,IOBS,IO_L33N_1,UNUSED,,1,,,,,,,,,
+P100,,IOBM,IO_L33P_1,UNUSED,,1,,,,,,,,,
+P101,,IOBS,IO_L32N_1,UNUSED,,1,,,,,,,,,
+P102,,IOBM,IO_L32P_1,UNUSED,,1,,,,,,,,,
+P103,,,VCCO_1,,,1,,,,,any******,,,,
+P104,,IOBS,IO_L1N_VREF_1,UNUSED,,1,,,,,,,,,
+P105,,IOBM,IO_L1P_1,UNUSED,,1,,,,,,,,,
+P106,,,TDO,,,,,,,,,,,,
+P107,,,TMS,,,,,,,,,,,,
+P108,,,GND,,,,,,,,,,,,
+P109,,,TCK,,,,,,,,,,,,
+P110,,,TDI,,,,,,,,,,,,
+P111,,IOBS,IO_L66N_SCP0_0,UNUSED,,0,,,,,,,,,
+P112,LED1,IOB,IO_L66P_SCP1_0,OUTPUT,LVTTL,0,8,SLOW,,,,LOCATED,NO,NONE,
+P113,,,GND,,,,,,,,,,,,
+P114,,IOBS,IO_L65N_SCP2_0,UNUSED,,0,,,,,,,,,
+P115,,IOBM,IO_L65P_SCP3_0,UNUSED,,0,,,,,,,,,
+P116,,IOBS,IO_L64N_SCP4_0,UNUSED,,0,,,,,,,,,
+P117,,IOBM,IO_L64P_SCP5_0,UNUSED,,0,,,,,,,,,
+P118,,IOBS,IO_L63N_SCP6_0,UNUSED,,0,,,,,,,,,
+P119,,IOBM,IO_L63P_SCP7_0,UNUSED,,0,,,,,,,,,
+P120,,IOBS,IO_L62N_VREF_0,UNUSED,,0,,,,,,,,,
+P121,,IOBM,IO_L62P_0,UNUSED,,0,,,,,,,,,
+P122,,,VCCO_0,,,0,,,,,3.30,,,,
+P123,,IOBS,IO_L37N_GCLK12_0,UNUSED,,0,,,,,,,,,
+P124,,IOBM,IO_L37P_GCLK13_0,UNUSED,,0,,,,,,,,,
+P125,,,VCCO_0,,,0,,,,,3.30,,,,
+P126,,IOBS,IO_L36N_GCLK14_0,UNUSED,,0,,,,,,,,,
+P127,,IOBM,IO_L36P_GCLK15_0,UNUSED,,0,,,,,,,,,
+P128,,,VCCINT,,,,,,,,1.2,,,,
+P129,,,VCCAUX,,,,,,,,2.5,,,,
+P130,,,GND,,,,,,,,,,,,
+P131,,IOBS,IO_L35N_GCLK16_0,UNUSED,,0,,,,,,,,,
+P132,,IOBM,IO_L35P_GCLK17_0,UNUSED,,0,,,,,,,,,
+P133,,IOBS,IO_L34N_GCLK18_0,UNUSED,,0,,,,,,,,,
+P134,,IOBM,IO_L34P_GCLK19_0,UNUSED,,0,,,,,,,,,
+P135,,,VCCO_0,,,0,,,,,3.30,,,,
+P136,,,GND,,,,,,,,,,,,
+P137,,IOBS,IO_L4N_0,UNUSED,,0,,,,,,,,,
+P138,,IOBM,IO_L4P_0,UNUSED,,0,,,,,,,,,
+P139,,IOBS,IO_L3N_0,UNUSED,,0,,,,,,,,,
+P140,,IOBM,IO_L3P_0,UNUSED,,0,,,,,,,,,
+P141,,IOBS,IO_L2N_0,UNUSED,,0,,,,,,,,,
+P142,,IOBM,IO_L2P_0,UNUSED,,0,,,,,,,,,
+P143,,IOBS,IO_L1N_VREF_0,UNUSED,,0,,,,,,,,,
+P144,,IOBM,IO_L1P_HSWAPEN_0,UNUSED,,0,,,,,,PROHIBITED,,,
+
+# -----,-----,-----,-----,-----,-----,-----,-----,-----,-----,-----,-----,-----,-----,-----,
+#
+#* Default value.
+#** This default Pullup/Pulldown value can be overridden in Bitgen.
+#****** Special VCCO requirements may apply. Please consult the device
+# family datasheet for specific guideline on VCCO requirements.
+#
+#
+# \ No newline at end of file
diff --git a/led_pad.txt b/led_pad.txt
new file mode 100644
index 0000000..b8a53a3
--- /dev/null
+++ b/led_pad.txt
@@ -0,0 +1,174 @@
+Release 14.7 - par P.20131013 (lin64)
+Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved.
+
+Tue Feb 21 22:16:59 2017
+
+
+INFO: The IO information is provided in three file formats as part of the Place and Route (PAR) process. These formats are:
+1. The <design name>_pad.txt file (this file) designed to provide information on IO usage in a human readable ASCII text format viewable through common text editors.
+2. The <design namd>_pad.csv file for use with spreadsheet programs such as MS Excel. This file can also be read by PACE to communicate post PAR IO information.
+3. The <design name>.pad file designed for parsing by customers. It uses the "|" as a data field separator.
+
+INPUT FILE: led_map.ncd
+OUTPUT FILE: led_pad.txt
+PART TYPE: xc6slx9
+SPEED GRADE: -2
+PACKAGE: tqg144
+
+Pinout by Pin Number:
+
++---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
+|Pin Number|Signal Name|Pin Usage|Pin Name |Direction|IO Standard|IO Bank Number|Drive (mA)|Slew Rate|Termination|IOB Delay|Voltage |Constraint|IO Register|Signal Integrity|
++---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
+|P1 | |IOBS |IO_L83N_VREF_3 |UNUSED | |3 | | | | | | | | |
+|P2 | |IOBM |IO_L83P_3 |UNUSED | |3 | | | | | | | | |
+|P3 | | |GND | | | | | | | | | | | |
+|P4 | | |VCCO_3 | | |3 | | | | |any******| | | |
+|P5 | |IOBS |IO_L52N_3 |UNUSED | |3 | | | | | | | | |
+|P6 | |IOBM |IO_L52P_3 |UNUSED | |3 | | | | | | | | |
+|P7 | |IOBS |IO_L51N_3 |UNUSED | |3 | | | | | | | | |
+|P8 | |IOBM |IO_L51P_3 |UNUSED | |3 | | | | | | | | |
+|P9 | |IOBS |IO_L50N_3 |UNUSED | |3 | | | | | | | | |
+|P10 | |IOBM |IO_L50P_3 |UNUSED | |3 | | | | | | | | |
+|P11 | |IOBS |IO_L49N_3 |UNUSED | |3 | | | | | | | | |
+|P12 | |IOBM |IO_L49P_3 |UNUSED | |3 | | | | | | | | |
+|P13 | | |GND | | | | | | | | | | | |
+|P14 | |IOBS |IO_L44N_GCLK20_3 |UNUSED | |3 | | | | | | | | |
+|P15 | |IOBM |IO_L44P_GCLK21_3 |UNUSED | |3 | | | | | | | | |
+|P16 | |IOBS |IO_L43N_GCLK22_IRDY2_3 |UNUSED | |3 | | | | | | | | |
+|P17 | |IOBM |IO_L43P_GCLK23_3 |UNUSED | |3 | | | | | | | | |
+|P18 | | |VCCO_3 | | |3 | | | | |any******| | | |
+|P19 | | |VCCINT | | | | | | | |1.2 | | | |
+|P20 | | |VCCAUX | | | | | | | |2.5 | | | |
+|P21 | |IOBS |IO_L42N_GCLK24_3 |UNUSED | |3 | | | | | | | | |
+|P22 | |IOBM |IO_L42P_GCLK25_TRDY2_3 |UNUSED | |3 | | | | | | | | |
+|P23 | |IOBS |IO_L41N_GCLK26_3 |UNUSED | |3 | | | | | | | | |
+|P24 | |IOBM |IO_L41P_GCLK27_3 |UNUSED | |3 | | | | | | | | |
+|P25 | | |GND | | | | | | | | | | | |
+|P26 | |IOBS |IO_L37N_3 |UNUSED | |3 | | | | | | | | |
+|P27 | |IOBM |IO_L37P_3 |UNUSED | |3 | | | | | | | | |
+|P28 | | |VCCINT | | | | | | | |1.2 | | | |
+|P29 | |IOBS |IO_L36N_3 |UNUSED | |3 | | | | | | | | |
+|P30 | |IOBM |IO_L36P_3 |UNUSED | |3 | | | | | | | | |
+|P31 | | |VCCO_3 | | |3 | | | | |any******| | | |
+|P32 | |IOBS |IO_L2N_3 |UNUSED | |3 | | | | | | | | |
+|P33 | |IOBM |IO_L2P_3 |UNUSED | |3 | | | | | | | | |
+|P34 | |IOBS |IO_L1N_VREF_3 |UNUSED | |3 | | | | | | | | |
+|P35 | |IOBM |IO_L1P_3 |UNUSED | |3 | | | | | | | | |
+|P36 | | |VCCAUX | | | | | | | |2.5 | | | |
+|P37 | | |PROGRAM_B_2 | | | | | | | | | | | |
+|P38 | |IOBS |IO_L65N_CSO_B_2 |UNUSED | |2 | | | | | | | | |
+|P39 | |IOBM |IO_L65P_INIT_B_2 |UNUSED | |2 | | | | | | | | |
+|P40 | |IOBS |IO_L64N_D9_2 |UNUSED | |2 | | | | | | | | |
+|P41 | |IOBM |IO_L64P_D8_2 |UNUSED | |2 | | | | | | | | |
+|P42 | | |VCCO_2 | | |2 | | | | |any******| | | |
+|P43 | |IOBS |IO_L62N_D6_2 |UNUSED | |2 | | | | | | | | |
+|P44 | |IOBM |IO_L62P_D5_2 |UNUSED | |2 | | | | | | | | |
+|P45 | |IOBS |IO_L49N_D4_2 |UNUSED | |2 | | | | | | | | |
+|P46 | |IOBM |IO_L49P_D3_2 |UNUSED | |2 | | | | | | | | |
+|P47 | |IOBS |IO_L48N_RDWR_B_VREF_2 |UNUSED | |2 | | | | | | | | |
+|P48 | |IOBM |IO_L48P_D7_2 |UNUSED | |2 | | | | | | | | |
+|P49 | | |GND | | | | | | | | | | | |
+|P50 | |IOBS |IO_L31N_GCLK30_D15_2 |UNUSED | |2 | | | | | | | | |
+|P51 | |IOBM |IO_L31P_GCLK31_D14_2 |UNUSED | |2 | | | | | | | | |
+|P52 | | |VCCINT | | | | | | | |1.2 | | | |
+|P53 | | |VCCAUX | | | | | | | |2.5 | | | |
+|P54 | | |GND | | | | | | | | | | | |
+|P55 | |IOBS |IO_L30N_GCLK0_USERCCLK_2 |UNUSED | |2 | | | | | | | | |
+|P56 | |IOBM |IO_L30P_GCLK1_D13_2 |UNUSED | |2 | | | | | | | | |
+|P57 | |IOBS |IO_L14N_D12_2 |UNUSED | |2 | | | | | | | | |
+|P58 | |IOBM |IO_L14P_D11_2 |UNUSED | |2 | | | | | | | | |
+|P59 | |IOBS |IO_L13N_D10_2 |UNUSED | |2 | | | | | | | | |
+|P60 | |IOBM |IO_L13P_M1_2 |UNUSED | |2 | | | | | |PROHIBITED| | |
+|P61 | |IOBS |IO_L12N_D2_MISO3_2 |UNUSED | |2 | | | | | | | | |
+|P62 | |IOBM |IO_L12P_D1_MISO2_2 |UNUSED | |2 | | | | | | | | |
+|P63 | | |VCCO_2 | | |2 | | | | |any******| | | |
+|P64 | |IOBS |IO_L3N_MOSI_CSI_B_MISO0_2 |UNUSED | |2 | | | | | | | | |
+|P65 | |IOBM |IO_L3P_D0_DIN_MISO_MISO1_2|UNUSED | |2 | | | | | | | | |
+|P66 | |IOBS |IO_L2N_CMPMOSI_2 |UNUSED | |2 | | | | | | | | |
+|P67 | |IOBM |IO_L2P_CMPCLK_2 |UNUSED | |2 | | | | | | | | |
+|P68 | | |GND | | | | | | | | | | | |
+|P69 | |IOBS |IO_L1N_M0_CMPMISO_2 |UNUSED | |2 | | | | | |PROHIBITED| | |
+|P70 | |IOBM |IO_L1P_CCLK_2 |UNUSED | |2 | | | | | | | | |
+|P71 | | |DONE_2 | | | | | | | | | | | |
+|P72 | | |CMPCS_B_2 | | | | | | | | | | | |
+|P73 | | |SUSPEND | | | | | | | | | | | |
+|P74 | |IOBS |IO_L74N_DOUT_BUSY_1 |UNUSED | |1 | | | | | | | | |
+|P75 | |IOBM |IO_L74P_AWAKE_1 |UNUSED | |1 | | | | | | | | |
+|P76 | | |VCCO_1 | | |1 | | | | |any******| | | |
+|P77 | | |GND | | | | | | | | | | | |
+|P78 | |IOBS |IO_L47N_1 |UNUSED | |1 | | | | | | | | |
+|P79 | |IOBM |IO_L47P_1 |UNUSED | |1 | | | | | | | | |
+|P80 | |IOBS |IO_L46N_1 |UNUSED | |1 | | | | | | | | |
+|P81 | |IOBM |IO_L46P_1 |UNUSED | |1 | | | | | | | | |
+|P82 | |IOBS |IO_L45N_1 |UNUSED | |1 | | | | | | | | |
+|P83 | |IOBM |IO_L45P_1 |UNUSED | |1 | | | | | | | | |
+|P84 | |IOBS |IO_L43N_GCLK4_1 |UNUSED | |1 | | | | | | | | |
+|P85 | |IOBM |IO_L43P_GCLK5_1 |UNUSED | |1 | | | | | | | | |
+|P86 | | |VCCO_1 | | |1 | | | | |any******| | | |
+|P87 | |IOBS |IO_L42N_GCLK6_TRDY1_1 |UNUSED | |1 | | | | | | | | |
+|P88 | |IOBM |IO_L42P_GCLK7_1 |UNUSED | |1 | | | | | | | | |
+|P89 | | |VCCINT | | | | | | | |1.2 | | | |
+|P90 | | |VCCAUX | | | | | | | |2.5 | | | |
+|P91 | | |GND | | | | | | | | | | | |
+|P92 | |IOBS |IO_L41N_GCLK8_1 |UNUSED | |1 | | | | | | | | |
+|P93 | |IOBM |IO_L41P_GCLK9_IRDY1_1 |UNUSED | |1 | | | | | | | | |
+|P94 |CLK |IOB |IO_L40N_GCLK10_1 |INPUT |LVTTL |1 | | | |NONE | |LOCATED |NO |NONE |
+|P95 | |IOBM |IO_L40P_GCLK11_1 |UNUSED | |1 | | | | | | | | |
+|P96 | | |GND | | | | | | | | | | | |
+|P97 | |IOBS |IO_L34N_1 |UNUSED | |1 | | | | | | | | |
+|P98 | |IOBM |IO_L34P_1 |UNUSED | |1 | | | | | | | | |
+|P99 | |IOBS |IO_L33N_1 |UNUSED | |1 | | | | | | | | |
+|P100 | |IOBM |IO_L33P_1 |UNUSED | |1 | | | | | | | | |
+|P101 | |IOBS |IO_L32N_1 |UNUSED | |1 | | | | | | | | |
+|P102 | |IOBM |IO_L32P_1 |UNUSED | |1 | | | | | | | | |
+|P103 | | |VCCO_1 | | |1 | | | | |any******| | | |
+|P104 | |IOBS |IO_L1N_VREF_1 |UNUSED | |1 | | | | | | | | |
+|P105 | |IOBM |IO_L1P_1 |UNUSED | |1 | | | | | | | | |
+|P106 | | |TDO | | | | | | | | | | | |
+|P107 | | |TMS | | | | | | | | | | | |
+|P108 | | |GND | | | | | | | | | | | |
+|P109 | | |TCK | | | | | | | | | | | |
+|P110 | | |TDI | | | | | | | | | | | |
+|P111 | |IOBS |IO_L66N_SCP0_0 |UNUSED | |0 | | | | | | | | |
+|P112 |LED1 |IOB |IO_L66P_SCP1_0 |OUTPUT |LVTTL |0 |8 |SLOW | | | |LOCATED |NO |NONE |
+|P113 | | |GND | | | | | | | | | | | |
+|P114 | |IOBS |IO_L65N_SCP2_0 |UNUSED | |0 | | | | | | | | |
+|P115 | |IOBM |IO_L65P_SCP3_0 |UNUSED | |0 | | | | | | | | |
+|P116 | |IOBS |IO_L64N_SCP4_0 |UNUSED | |0 | | | | | | | | |
+|P117 | |IOBM |IO_L64P_SCP5_0 |UNUSED | |0 | | | | | | | | |
+|P118 | |IOBS |IO_L63N_SCP6_0 |UNUSED | |0 | | | | | | | | |
+|P119 | |IOBM |IO_L63P_SCP7_0 |UNUSED | |0 | | | | | | | | |
+|P120 | |IOBS |IO_L62N_VREF_0 |UNUSED | |0 | | | | | | | | |
+|P121 | |IOBM |IO_L62P_0 |UNUSED | |0 | | | | | | | | |
+|P122 | | |VCCO_0 | | |0 | | | | |3.30 | | | |
+|P123 | |IOBS |IO_L37N_GCLK12_0 |UNUSED | |0 | | | | | | | | |
+|P124 | |IOBM |IO_L37P_GCLK13_0 |UNUSED | |0 | | | | | | | | |
+|P125 | | |VCCO_0 | | |0 | | | | |3.30 | | | |
+|P126 | |IOBS |IO_L36N_GCLK14_0 |UNUSED | |0 | | | | | | | | |
+|P127 | |IOBM |IO_L36P_GCLK15_0 |UNUSED | |0 | | | | | | | | |
+|P128 | | |VCCINT | | | | | | | |1.2 | | | |
+|P129 | | |VCCAUX | | | | | | | |2.5 | | | |
+|P130 | | |GND | | | | | | | | | | | |
+|P131 | |IOBS |IO_L35N_GCLK16_0 |UNUSED | |0 | | | | | | | | |
+|P132 | |IOBM |IO_L35P_GCLK17_0 |UNUSED | |0 | | | | | | | | |
+|P133 | |IOBS |IO_L34N_GCLK18_0 |UNUSED | |0 | | | | | | | | |
+|P134 | |IOBM |IO_L34P_GCLK19_0 |UNUSED | |0 | | | | | | | | |
+|P135 | | |VCCO_0 | | |0 | | | | |3.30 | | | |
+|P136 | | |GND | | | | | | | | | | | |
+|P137 | |IOBS |IO_L4N_0 |UNUSED | |0 | | | | | | | | |
+|P138 | |IOBM |IO_L4P_0 |UNUSED | |0 | | | | | | | | |
+|P139 | |IOBS |IO_L3N_0 |UNUSED | |0 | | | | | | | | |
+|P140 | |IOBM |IO_L3P_0 |UNUSED | |0 | | | | | | | | |
+|P141 | |IOBS |IO_L2N_0 |UNUSED | |0 | | | | | | | | |
+|P142 | |IOBM |IO_L2P_0 |UNUSED | |0 | | | | | | | | |
+|P143 | |IOBS |IO_L1N_VREF_0 |UNUSED | |0 | | | | | | | | |
+|P144 | |IOBM |IO_L1P_HSWAPEN_0 |UNUSED | |0 | | | | | |PROHIBITED| | |
++---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
+
+* Default value.
+** This default Pullup/Pulldown value can be overridden in Bitgen.
+****** Special VCCO requirements may apply. Please consult the device
+ family datasheet for specific guideline on VCCO requirements.
+
+
diff --git a/led_par.xrpt b/led_par.xrpt
new file mode 100644
index 0000000..76e44d4
--- /dev/null
+++ b/led_par.xrpt
@@ -0,0 +1,1191 @@
+<?xml version="1.0" encoding="UTF-8" standalone="yes" ?>
+<document OS="lin64" product="ISE" version="14.7">
+
+ <!--The data in this file is primarily intended for consumption by Xilinx tools.
+ The structure and the elements are likely to change over the next few releases.
+ This means code written to parse this file will need to be revisited each subsequent release.-->
+
+ <application stringID="par" timeStamp="Tue Feb 21 22:16:58 2017">
+ <section stringID="User_Env">
+ <table stringID="User_EnvVar">
+ <column stringID="variable"/>
+ <column stringID="value"/>
+ <row stringID="row" value="0">
+ <item stringID="variable" value="LD_LIBRARY_PATH"/>
+ <item stringID="value" value="/opt/Xilinx/14.7/ISE_DS/ISE//lib/lin64:/opt/Xilinx/14.7/ISE_DS/ISE/lib/lin64:/opt/Xilinx/14.7/ISE_DS/EDK/lib/lin64:/opt/Xilinx/14.7/ISE_DS/common/lib/lin64"/>
+ </row>
+ <row stringID="row" value="1">
+ <item stringID="variable" value="XILINX_DSP"/>
+ <item stringID="value" value="/opt/Xilinx/14.7/ISE_DS/ISE"/>
+ </row>
+ <row stringID="row" value="2">
+ <item stringID="variable" value="XILINX_PLANAHEAD"/>
+ <item stringID="value" value="/opt/Xilinx/14.7/ISE_DS/PlanAhead"/>
+ </row>
+ <row stringID="row" value="3">
+ <item stringID="variable" value="XILINX"/>
+ <item stringID="value" value="/opt/Xilinx/14.7/ISE_DS/ISE/"/>
+ </row>
+ <row stringID="row" value="4">
+ <item stringID="variable" value="XIL_MAP_LOCWARN"/>
+ <item stringID="value" value="1"/>
+ </row>
+ <row stringID="row" value="5">
+ <item stringID="variable" value="XILINX_EDK"/>
+ <item stringID="value" value="/opt/Xilinx/14.7/ISE_DS/EDK"/>
+ </row>
+ <row stringID="row" value="6">
+ <item stringID="variable" value="PATH"/>
+ <item stringID="value" value="/opt/Xilinx/14.7/ISE_DS/ISE//bin/lin64:/opt/Xilinx/14.7/ISE_DS/ISE/bin/lin64:/opt/Xilinx/14.7/ISE_DS/ISE/sysgen/util:/opt/Xilinx/14.7/ISE_DS/ISE/../../../DocNav:/opt/Xilinx/14.7/ISE_DS/PlanAhead/bin:/opt/Xilinx/14.7/ISE_DS/EDK/bin/lin64:/opt/Xilinx/14.7/ISE_DS/EDK/gnu/microblaze/lin/bin:/opt/Xilinx/14.7/ISE_DS/EDK/gnu/powerpc-eabi/lin/bin:/opt/Xilinx/14.7/ISE_DS/EDK/gnu/arm/lin/bin:/opt/Xilinx/14.7/ISE_DS/EDK/gnu/microblaze/linux_toolchain/lin64_be/bin:/opt/Xilinx/14.7/ISE_DS/EDK/gnu/microblaze/linux_toolchain/lin64_le/bin:/opt/Xilinx/14.7/ISE_DS/common/bin/lin64:/usr/local/sbin:/usr/local/bin:/usr/bin:/usr/lib/jvm/default/bin:/usr/bin/site_perl:/usr/bin/vendor_perl:/usr/bin/core_perl"/>
+ </row>
+ </table>
+ <item stringID="User_EnvOs" value="OS Information">
+ <item stringID="User_EnvOsname" value="unknown"/>
+ <item stringID="User_EnvOsrelease" value="unknown"/>
+ </item>
+ <item stringID="User_EnvHost" value="yann-arch"/>
+ <table stringID="User_EnvCpu">
+ <column stringID="arch"/>
+ <column stringID="speed"/>
+ <row stringID="row" value="0">
+ <item stringID="arch" value="Intel(R) Core(TM) i5-7200U CPU @ 2.50GHz"/>
+ <item stringID="speed" value="3099.957 MHz"/>
+ </row>
+ </table>
+ </section>
+ <task stringID="PAR_OPTION_SUMMARY">
+ <section stringID="PAR_OPTION_SUMMARY">
+ <item DEFAULT="" label="-intstyle" stringID="PAR_INTSTYLE" value="ise"/>
+ <item DEFAULT="off" label="-mt" stringID="PAR_MULTI_THREADING" value="off"/>
+ <item DEFAULT="std" label="-ol" stringID="PAR_OVERALL_EFFORTLEVEL" value="high"/>
+ <item DEFAULT="false" label="-w" stringID="PAR_OVERWRITE_OUTPUT" value="true"/>
+ </section>
+ </task>
+ <task stringID="PAR_PAR">
+ <section stringID="PAR_DESIGN_SUMMARY">
+ <item stringID="PAR_REAL_TIME_COMPLETION_ROUTER" value="3 secs "/>
+ <item stringID="PAR_CPU_TIME_COMPLETION_ROUTER" value="3 secs "/>
+ <item dataType="int" stringID="PAR_UNROUTES" value="0"/>
+ <item dataType="float" stringID="PAR_TIMING_SCORE" value="0.000000"/>
+ <item stringID="PAR_REAL_TIME_COMPLETION_PAR" value="3 secs "/>
+ <item stringID="PAR_CPU_TIME_COMPLETION_PAR" value="3 secs "/>
+ </section>
+ </task>
+ <task stringID="PAR_par">
+ <section stringID="PAR_DLY_CLK_REPORT"/>
+ <section stringID="PAR_CLOCK_REPORT">
+ <table stringID="PAR_CLOCK_TABLE">
+ <column label="Clock Net" stringID="CLOCK_NET"/>
+ <column label="Routed" stringID="ROUTED"/>
+ <column label="Resource" stringID="RESOURCE"/>
+ <column label="Locked" stringID="LOCKED"/>
+ <column label="Fanout" stringID="FANOUT"/>
+ <column label="Net Skew(ns)" stringID="NET_SKEW"/>
+ <column label="Max Delay(ns)" stringID="MAX_DELAY"/>
+ <row stringID="row" value="1">
+ <item label="Clock Net" stringID="CLOCK_NET" value="CLK_BUFGP"/>
+ <item label="Routed" stringID="ROUTED" value="ROUTED"/>
+ <item label="Resource" stringID="RESOURCE" value="BUFGMUX_X2Y2"/>
+ <item label="Locked" stringID="LOCKED" value="No"/>
+ <item dataType="float" label="Fanout" stringID="FANOUT" value="8.000000"/>
+ <item dataType="float" label="Net Skew(ns)" stringID="NET_SKEW" value="0.005000"/>
+ <item dataType="float" label="Max Delay(ns)" stringID="MAX_DELAY" value="1.395000"/>
+ </row>
+ </table>
+ </section>
+ <section stringID="PAR_PAD_PIN_REPORT">
+ <table stringID="PAR_PINOUT_BY_PIN_NUMBER">
+ <column label="Pin&#xA;Number" sort="smart" stringID="Pin_Number"/>
+ <column label="Signal&#xA;Name" stringID="Signal_Name"/>
+ <column label="Pin&#xA;Usage" stringID="Pin_Usage"/>
+ <column label="Pin&#xA;Name" sort="smart" stringID="Pin_Name"/>
+ <column stringID="Direction"/>
+ <column label="IO&#xA;Standard" sort="smart" stringID="IO_Standard"/>
+ <column label="IO Bank&#xA;Number" stringID="IO_Bank_Number"/>
+ <column label="Drive&#xA;(mA)" stringID="Drive"/>
+ <column label="Slew&#xA;Rate" stringID="Slew_Rate"/>
+ <column label="Termination" stringID="Termination"/>
+ <column label="IOB&#xA;Delay" stringID="IOB_Delay"/>
+ <column label="Voltage" stringID="Voltage"/>
+ <column label="Constraint" stringID="Constraint"/>
+ <column label="IO&#xA;Register" stringID="IO_Register"/>
+ <column label="Signal&#xA;Integrity" stringID="Signal_Integrity"/>
+ <row stringID="row" value="1">
+ <item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="P1"/>
+ <item label="Pin&#xA;Usage" stringID="Pin_Usage" value="IOBS"/>
+ <item label="Pin&#xA;Name" sort="smart" stringID="Pin_Name" value="IO_L83N_VREF_3"/>
+ <item stringID="Direction" value="UNUSED"/>
+ <item label="IO Bank&#xA;Number" stringID="IO_Bank_Number" value="3"/>
+ </row>
+ <row stringID="row" value="2">
+ <item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="P2"/>
+ <item label="Pin&#xA;Usage" stringID="Pin_Usage" value="IOBM"/>
+ <item label="Pin&#xA;Name" sort="smart" stringID="Pin_Name" value="IO_L83P_3"/>
+ <item stringID="Direction" value="UNUSED"/>
+ <item label="IO Bank&#xA;Number" stringID="IO_Bank_Number" value="3"/>
+ </row>
+ <row stringID="row" value="3">
+ <item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="P3"/>
+ <item label="Pin&#xA;Name" sort="smart" stringID="Pin_Name" value="GND"/>
+ </row>
+ <row stringID="row" value="4">
+ <item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="P4"/>
+ <item label="Pin&#xA;Name" sort="smart" stringID="Pin_Name" value="VCCO_3"/>
+ <item label="IO Bank&#xA;Number" stringID="IO_Bank_Number" value="3"/>
+ <item label="Voltage" stringID="Voltage" value="any******"/>
+ </row>
+ <row stringID="row" value="5">
+ <item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="P5"/>
+ <item label="Pin&#xA;Usage" stringID="Pin_Usage" value="IOBS"/>
+ <item label="Pin&#xA;Name" sort="smart" stringID="Pin_Name" value="IO_L52N_3"/>
+ <item stringID="Direction" value="UNUSED"/>
+ <item label="IO Bank&#xA;Number" stringID="IO_Bank_Number" value="3"/>
+ </row>
+ <row stringID="row" value="6">
+ <item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="P6"/>
+ <item label="Pin&#xA;Usage" stringID="Pin_Usage" value="IOBM"/>
+ <item label="Pin&#xA;Name" sort="smart" stringID="Pin_Name" value="IO_L52P_3"/>
+ <item stringID="Direction" value="UNUSED"/>
+ <item label="IO Bank&#xA;Number" stringID="IO_Bank_Number" value="3"/>
+ </row>
+ <row stringID="row" value="7">
+ <item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="P7"/>
+ <item label="Pin&#xA;Usage" stringID="Pin_Usage" value="IOBS"/>
+ <item label="Pin&#xA;Name" sort="smart" stringID="Pin_Name" value="IO_L51N_3"/>
+ <item stringID="Direction" value="UNUSED"/>
+ <item label="IO Bank&#xA;Number" stringID="IO_Bank_Number" value="3"/>
+ </row>
+ <row stringID="row" value="8">
+ <item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="P8"/>
+ <item label="Pin&#xA;Usage" stringID="Pin_Usage" value="IOBM"/>
+ <item label="Pin&#xA;Name" sort="smart" stringID="Pin_Name" value="IO_L51P_3"/>
+ <item stringID="Direction" value="UNUSED"/>
+ <item label="IO Bank&#xA;Number" stringID="IO_Bank_Number" value="3"/>
+ </row>
+ <row stringID="row" value="9">
+ <item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="P9"/>
+ <item label="Pin&#xA;Usage" stringID="Pin_Usage" value="IOBS"/>
+ <item label="Pin&#xA;Name" sort="smart" stringID="Pin_Name" value="IO_L50N_3"/>
+ <item stringID="Direction" value="UNUSED"/>
+ <item label="IO Bank&#xA;Number" stringID="IO_Bank_Number" value="3"/>
+ </row>
+ <row stringID="row" value="10">
+ <item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="P10"/>
+ <item label="Pin&#xA;Usage" stringID="Pin_Usage" value="IOBM"/>
+ <item label="Pin&#xA;Name" sort="smart" stringID="Pin_Name" value="IO_L50P_3"/>
+ <item stringID="Direction" value="UNUSED"/>
+ <item label="IO Bank&#xA;Number" stringID="IO_Bank_Number" value="3"/>
+ </row>
+ <row stringID="row" value="11">
+ <item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="P11"/>
+ <item label="Pin&#xA;Usage" stringID="Pin_Usage" value="IOBS"/>
+ <item label="Pin&#xA;Name" sort="smart" stringID="Pin_Name" value="IO_L49N_3"/>
+ <item stringID="Direction" value="UNUSED"/>
+ <item label="IO Bank&#xA;Number" stringID="IO_Bank_Number" value="3"/>
+ </row>
+ <row stringID="row" value="12">
+ <item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="P12"/>
+ <item label="Pin&#xA;Usage" stringID="Pin_Usage" value="IOBM"/>
+ <item label="Pin&#xA;Name" sort="smart" stringID="Pin_Name" value="IO_L49P_3"/>
+ <item stringID="Direction" value="UNUSED"/>
+ <item label="IO Bank&#xA;Number" stringID="IO_Bank_Number" value="3"/>
+ </row>
+ <row stringID="row" value="13">
+ <item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="P13"/>
+ <item label="Pin&#xA;Name" sort="smart" stringID="Pin_Name" value="GND"/>
+ </row>
+ <row stringID="row" value="14">
+ <item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="P14"/>
+ <item label="Pin&#xA;Usage" stringID="Pin_Usage" value="IOBS"/>
+ <item label="Pin&#xA;Name" sort="smart" stringID="Pin_Name" value="IO_L44N_GCLK20_3"/>
+ <item stringID="Direction" value="UNUSED"/>
+ <item label="IO Bank&#xA;Number" stringID="IO_Bank_Number" value="3"/>
+ </row>
+ <row stringID="row" value="15">
+ <item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="P15"/>
+ <item label="Pin&#xA;Usage" stringID="Pin_Usage" value="IOBM"/>
+ <item label="Pin&#xA;Name" sort="smart" stringID="Pin_Name" value="IO_L44P_GCLK21_3"/>
+ <item stringID="Direction" value="UNUSED"/>
+ <item label="IO Bank&#xA;Number" stringID="IO_Bank_Number" value="3"/>
+ </row>
+ <row stringID="row" value="16">
+ <item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="P16"/>
+ <item label="Pin&#xA;Usage" stringID="Pin_Usage" value="IOBS"/>
+ <item label="Pin&#xA;Name" sort="smart" stringID="Pin_Name" value="IO_L43N_GCLK22_IRDY2_3"/>
+ <item stringID="Direction" value="UNUSED"/>
+ <item label="IO Bank&#xA;Number" stringID="IO_Bank_Number" value="3"/>
+ </row>
+ <row stringID="row" value="17">
+ <item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="P17"/>
+ <item label="Pin&#xA;Usage" stringID="Pin_Usage" value="IOBM"/>
+ <item label="Pin&#xA;Name" sort="smart" stringID="Pin_Name" value="IO_L43P_GCLK23_3"/>
+ <item stringID="Direction" value="UNUSED"/>
+ <item label="IO Bank&#xA;Number" stringID="IO_Bank_Number" value="3"/>
+ </row>
+ <row stringID="row" value="18">
+ <item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="P18"/>
+ <item label="Pin&#xA;Name" sort="smart" stringID="Pin_Name" value="VCCO_3"/>
+ <item label="IO Bank&#xA;Number" stringID="IO_Bank_Number" value="3"/>
+ <item label="Voltage" stringID="Voltage" value="any******"/>
+ </row>
+ <row stringID="row" value="19">
+ <item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="P19"/>
+ <item label="Pin&#xA;Name" sort="smart" stringID="Pin_Name" value="VCCINT"/>
+ <item label="Voltage" stringID="Voltage" value="1.2"/>
+ </row>
+ <row stringID="row" value="20">
+ <item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="P20"/>
+ <item label="Pin&#xA;Name" sort="smart" stringID="Pin_Name" value="VCCAUX"/>
+ <item label="Voltage" stringID="Voltage" value="2.5"/>
+ </row>
+ <row stringID="row" value="21">
+ <item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="P21"/>
+ <item label="Pin&#xA;Usage" stringID="Pin_Usage" value="IOBS"/>
+ <item label="Pin&#xA;Name" sort="smart" stringID="Pin_Name" value="IO_L42N_GCLK24_3"/>
+ <item stringID="Direction" value="UNUSED"/>
+ <item label="IO Bank&#xA;Number" stringID="IO_Bank_Number" value="3"/>
+ </row>
+ <row stringID="row" value="22">
+ <item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="P22"/>
+ <item label="Pin&#xA;Usage" stringID="Pin_Usage" value="IOBM"/>
+ <item label="Pin&#xA;Name" sort="smart" stringID="Pin_Name" value="IO_L42P_GCLK25_TRDY2_3"/>
+ <item stringID="Direction" value="UNUSED"/>
+ <item label="IO Bank&#xA;Number" stringID="IO_Bank_Number" value="3"/>
+ </row>
+ <row stringID="row" value="23">
+ <item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="P23"/>
+ <item label="Pin&#xA;Usage" stringID="Pin_Usage" value="IOBS"/>
+ <item label="Pin&#xA;Name" sort="smart" stringID="Pin_Name" value="IO_L41N_GCLK26_3"/>
+ <item stringID="Direction" value="UNUSED"/>
+ <item label="IO Bank&#xA;Number" stringID="IO_Bank_Number" value="3"/>
+ </row>
+ <row stringID="row" value="24">
+ <item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="P24"/>
+ <item label="Pin&#xA;Usage" stringID="Pin_Usage" value="IOBM"/>
+ <item label="Pin&#xA;Name" sort="smart" stringID="Pin_Name" value="IO_L41P_GCLK27_3"/>
+ <item stringID="Direction" value="UNUSED"/>
+ <item label="IO Bank&#xA;Number" stringID="IO_Bank_Number" value="3"/>
+ </row>
+ <row stringID="row" value="25">
+ <item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="P25"/>
+ <item label="Pin&#xA;Name" sort="smart" stringID="Pin_Name" value="GND"/>
+ </row>
+ <row stringID="row" value="26">
+ <item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="P26"/>
+ <item label="Pin&#xA;Usage" stringID="Pin_Usage" value="IOBS"/>
+ <item label="Pin&#xA;Name" sort="smart" stringID="Pin_Name" value="IO_L37N_3"/>
+ <item stringID="Direction" value="UNUSED"/>
+ <item label="IO Bank&#xA;Number" stringID="IO_Bank_Number" value="3"/>
+ </row>
+ <row stringID="row" value="27">
+ <item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="P27"/>
+ <item label="Pin&#xA;Usage" stringID="Pin_Usage" value="IOBM"/>
+ <item label="Pin&#xA;Name" sort="smart" stringID="Pin_Name" value="IO_L37P_3"/>
+ <item stringID="Direction" value="UNUSED"/>
+ <item label="IO Bank&#xA;Number" stringID="IO_Bank_Number" value="3"/>
+ </row>
+ <row stringID="row" value="28">
+ <item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="P28"/>
+ <item label="Pin&#xA;Name" sort="smart" stringID="Pin_Name" value="VCCINT"/>
+ <item label="Voltage" stringID="Voltage" value="1.2"/>
+ </row>
+ <row stringID="row" value="29">
+ <item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="P29"/>
+ <item label="Pin&#xA;Usage" stringID="Pin_Usage" value="IOBS"/>
+ <item label="Pin&#xA;Name" sort="smart" stringID="Pin_Name" value="IO_L36N_3"/>
+ <item stringID="Direction" value="UNUSED"/>
+ <item label="IO Bank&#xA;Number" stringID="IO_Bank_Number" value="3"/>
+ </row>
+ <row stringID="row" value="30">
+ <item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="P30"/>
+ <item label="Pin&#xA;Usage" stringID="Pin_Usage" value="IOBM"/>
+ <item label="Pin&#xA;Name" sort="smart" stringID="Pin_Name" value="IO_L36P_3"/>
+ <item stringID="Direction" value="UNUSED"/>
+ <item label="IO Bank&#xA;Number" stringID="IO_Bank_Number" value="3"/>
+ </row>
+ <row stringID="row" value="31">
+ <item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="P31"/>
+ <item label="Pin&#xA;Name" sort="smart" stringID="Pin_Name" value="VCCO_3"/>
+ <item label="IO Bank&#xA;Number" stringID="IO_Bank_Number" value="3"/>
+ <item label="Voltage" stringID="Voltage" value="any******"/>
+ </row>
+ <row stringID="row" value="32">
+ <item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="P32"/>
+ <item label="Pin&#xA;Usage" stringID="Pin_Usage" value="IOBS"/>
+ <item label="Pin&#xA;Name" sort="smart" stringID="Pin_Name" value="IO_L2N_3"/>
+ <item stringID="Direction" value="UNUSED"/>
+ <item label="IO Bank&#xA;Number" stringID="IO_Bank_Number" value="3"/>
+ </row>
+ <row stringID="row" value="33">
+ <item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="P33"/>
+ <item label="Pin&#xA;Usage" stringID="Pin_Usage" value="IOBM"/>
+ <item label="Pin&#xA;Name" sort="smart" stringID="Pin_Name" value="IO_L2P_3"/>
+ <item stringID="Direction" value="UNUSED"/>
+ <item label="IO Bank&#xA;Number" stringID="IO_Bank_Number" value="3"/>
+ </row>
+ <row stringID="row" value="34">
+ <item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="P34"/>
+ <item label="Pin&#xA;Usage" stringID="Pin_Usage" value="IOBS"/>
+ <item label="Pin&#xA;Name" sort="smart" stringID="Pin_Name" value="IO_L1N_VREF_3"/>
+ <item stringID="Direction" value="UNUSED"/>
+ <item label="IO Bank&#xA;Number" stringID="IO_Bank_Number" value="3"/>
+ </row>
+ <row stringID="row" value="35">
+ <item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="P35"/>
+ <item label="Pin&#xA;Usage" stringID="Pin_Usage" value="IOBM"/>
+ <item label="Pin&#xA;Name" sort="smart" stringID="Pin_Name" value="IO_L1P_3"/>
+ <item stringID="Direction" value="UNUSED"/>
+ <item label="IO Bank&#xA;Number" stringID="IO_Bank_Number" value="3"/>
+ </row>
+ <row stringID="row" value="36">
+ <item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="P36"/>
+ <item label="Pin&#xA;Name" sort="smart" stringID="Pin_Name" value="VCCAUX"/>
+ <item label="Voltage" stringID="Voltage" value="2.5"/>
+ </row>
+ <row stringID="row" value="37">
+ <item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="P37"/>
+ <item label="Pin&#xA;Name" sort="smart" stringID="Pin_Name" value="PROGRAM_B_2"/>
+ </row>
+ <row stringID="row" value="38">
+ <item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="P38"/>
+ <item label="Pin&#xA;Usage" stringID="Pin_Usage" value="IOBS"/>
+ <item label="Pin&#xA;Name" sort="smart" stringID="Pin_Name" value="IO_L65N_CSO_B_2"/>
+ <item stringID="Direction" value="UNUSED"/>
+ <item label="IO Bank&#xA;Number" stringID="IO_Bank_Number" value="2"/>
+ </row>
+ <row stringID="row" value="39">
+ <item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="P39"/>
+ <item label="Pin&#xA;Usage" stringID="Pin_Usage" value="IOBM"/>
+ <item label="Pin&#xA;Name" sort="smart" stringID="Pin_Name" value="IO_L65P_INIT_B_2"/>
+ <item stringID="Direction" value="UNUSED"/>
+ <item label="IO Bank&#xA;Number" stringID="IO_Bank_Number" value="2"/>
+ </row>
+ <row stringID="row" value="40">
+ <item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="P40"/>
+ <item label="Pin&#xA;Usage" stringID="Pin_Usage" value="IOBS"/>
+ <item label="Pin&#xA;Name" sort="smart" stringID="Pin_Name" value="IO_L64N_D9_2"/>
+ <item stringID="Direction" value="UNUSED"/>
+ <item label="IO Bank&#xA;Number" stringID="IO_Bank_Number" value="2"/>
+ </row>
+ <row stringID="row" value="41">
+ <item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="P41"/>
+ <item label="Pin&#xA;Usage" stringID="Pin_Usage" value="IOBM"/>
+ <item label="Pin&#xA;Name" sort="smart" stringID="Pin_Name" value="IO_L64P_D8_2"/>
+ <item stringID="Direction" value="UNUSED"/>
+ <item label="IO Bank&#xA;Number" stringID="IO_Bank_Number" value="2"/>
+ </row>
+ <row stringID="row" value="42">
+ <item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="P42"/>
+ <item label="Pin&#xA;Name" sort="smart" stringID="Pin_Name" value="VCCO_2"/>
+ <item label="IO Bank&#xA;Number" stringID="IO_Bank_Number" value="2"/>
+ <item label="Voltage" stringID="Voltage" value="any******"/>
+ </row>
+ <row stringID="row" value="43">
+ <item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="P43"/>
+ <item label="Pin&#xA;Usage" stringID="Pin_Usage" value="IOBS"/>
+ <item label="Pin&#xA;Name" sort="smart" stringID="Pin_Name" value="IO_L62N_D6_2"/>
+ <item stringID="Direction" value="UNUSED"/>
+ <item label="IO Bank&#xA;Number" stringID="IO_Bank_Number" value="2"/>
+ </row>
+ <row stringID="row" value="44">
+ <item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="P44"/>
+ <item label="Pin&#xA;Usage" stringID="Pin_Usage" value="IOBM"/>
+ <item label="Pin&#xA;Name" sort="smart" stringID="Pin_Name" value="IO_L62P_D5_2"/>
+ <item stringID="Direction" value="UNUSED"/>
+ <item label="IO Bank&#xA;Number" stringID="IO_Bank_Number" value="2"/>
+ </row>
+ <row stringID="row" value="45">
+ <item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="P45"/>
+ <item label="Pin&#xA;Usage" stringID="Pin_Usage" value="IOBS"/>
+ <item label="Pin&#xA;Name" sort="smart" stringID="Pin_Name" value="IO_L49N_D4_2"/>
+ <item stringID="Direction" value="UNUSED"/>
+ <item label="IO Bank&#xA;Number" stringID="IO_Bank_Number" value="2"/>
+ </row>
+ <row stringID="row" value="46">
+ <item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="P46"/>
+ <item label="Pin&#xA;Usage" stringID="Pin_Usage" value="IOBM"/>
+ <item label="Pin&#xA;Name" sort="smart" stringID="Pin_Name" value="IO_L49P_D3_2"/>
+ <item stringID="Direction" value="UNUSED"/>
+ <item label="IO Bank&#xA;Number" stringID="IO_Bank_Number" value="2"/>
+ </row>
+ <row stringID="row" value="47">
+ <item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="P47"/>
+ <item label="Pin&#xA;Usage" stringID="Pin_Usage" value="IOBS"/>
+ <item label="Pin&#xA;Name" sort="smart" stringID="Pin_Name" value="IO_L48N_RDWR_B_VREF_2"/>
+ <item stringID="Direction" value="UNUSED"/>
+ <item label="IO Bank&#xA;Number" stringID="IO_Bank_Number" value="2"/>
+ </row>
+ <row stringID="row" value="48">
+ <item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="P48"/>
+ <item label="Pin&#xA;Usage" stringID="Pin_Usage" value="IOBM"/>
+ <item label="Pin&#xA;Name" sort="smart" stringID="Pin_Name" value="IO_L48P_D7_2"/>
+ <item stringID="Direction" value="UNUSED"/>
+ <item label="IO Bank&#xA;Number" stringID="IO_Bank_Number" value="2"/>
+ </row>
+ <row stringID="row" value="49">
+ <item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="P49"/>
+ <item label="Pin&#xA;Name" sort="smart" stringID="Pin_Name" value="GND"/>
+ </row>
+ <row stringID="row" value="50">
+ <item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="P50"/>
+ <item label="Pin&#xA;Usage" stringID="Pin_Usage" value="IOBS"/>
+ <item label="Pin&#xA;Name" sort="smart" stringID="Pin_Name" value="IO_L31N_GCLK30_D15_2"/>
+ <item stringID="Direction" value="UNUSED"/>
+ <item label="IO Bank&#xA;Number" stringID="IO_Bank_Number" value="2"/>
+ </row>
+ <row stringID="row" value="51">
+ <item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="P51"/>
+ <item label="Pin&#xA;Usage" stringID="Pin_Usage" value="IOBM"/>
+ <item label="Pin&#xA;Name" sort="smart" stringID="Pin_Name" value="IO_L31P_GCLK31_D14_2"/>
+ <item stringID="Direction" value="UNUSED"/>
+ <item label="IO Bank&#xA;Number" stringID="IO_Bank_Number" value="2"/>
+ </row>
+ <row stringID="row" value="52">
+ <item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="P52"/>
+ <item label="Pin&#xA;Name" sort="smart" stringID="Pin_Name" value="VCCINT"/>
+ <item label="Voltage" stringID="Voltage" value="1.2"/>
+ </row>
+ <row stringID="row" value="53">
+ <item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="P53"/>
+ <item label="Pin&#xA;Name" sort="smart" stringID="Pin_Name" value="VCCAUX"/>
+ <item label="Voltage" stringID="Voltage" value="2.5"/>
+ </row>
+ <row stringID="row" value="54">
+ <item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="P54"/>
+ <item label="Pin&#xA;Name" sort="smart" stringID="Pin_Name" value="GND"/>
+ </row>
+ <row stringID="row" value="55">
+ <item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="P55"/>
+ <item label="Pin&#xA;Usage" stringID="Pin_Usage" value="IOBS"/>
+ <item label="Pin&#xA;Name" sort="smart" stringID="Pin_Name" value="IO_L30N_GCLK0_USERCCLK_2"/>
+ <item stringID="Direction" value="UNUSED"/>
+ <item label="IO Bank&#xA;Number" stringID="IO_Bank_Number" value="2"/>
+ </row>
+ <row stringID="row" value="56">
+ <item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="P56"/>
+ <item label="Pin&#xA;Usage" stringID="Pin_Usage" value="IOBM"/>
+ <item label="Pin&#xA;Name" sort="smart" stringID="Pin_Name" value="IO_L30P_GCLK1_D13_2"/>
+ <item stringID="Direction" value="UNUSED"/>
+ <item label="IO Bank&#xA;Number" stringID="IO_Bank_Number" value="2"/>
+ </row>
+ <row stringID="row" value="57">
+ <item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="P57"/>
+ <item label="Pin&#xA;Usage" stringID="Pin_Usage" value="IOBS"/>
+ <item label="Pin&#xA;Name" sort="smart" stringID="Pin_Name" value="IO_L14N_D12_2"/>
+ <item stringID="Direction" value="UNUSED"/>
+ <item label="IO Bank&#xA;Number" stringID="IO_Bank_Number" value="2"/>
+ </row>
+ <row stringID="row" value="58">
+ <item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="P58"/>
+ <item label="Pin&#xA;Usage" stringID="Pin_Usage" value="IOBM"/>
+ <item label="Pin&#xA;Name" sort="smart" stringID="Pin_Name" value="IO_L14P_D11_2"/>
+ <item stringID="Direction" value="UNUSED"/>
+ <item label="IO Bank&#xA;Number" stringID="IO_Bank_Number" value="2"/>
+ </row>
+ <row stringID="row" value="59">
+ <item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="P59"/>
+ <item label="Pin&#xA;Usage" stringID="Pin_Usage" value="IOBS"/>
+ <item label="Pin&#xA;Name" sort="smart" stringID="Pin_Name" value="IO_L13N_D10_2"/>
+ <item stringID="Direction" value="UNUSED"/>
+ <item label="IO Bank&#xA;Number" stringID="IO_Bank_Number" value="2"/>
+ </row>
+ <row stringID="row" value="60">
+ <item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="P60"/>
+ <item label="Pin&#xA;Usage" stringID="Pin_Usage" value="IOBM"/>
+ <item label="Pin&#xA;Name" sort="smart" stringID="Pin_Name" value="IO_L13P_M1_2"/>
+ <item stringID="Direction" value="UNUSED"/>
+ <item label="IO Bank&#xA;Number" stringID="IO_Bank_Number" value="2"/>
+ <item label="Constraint" stringID="Constraint" value="PROHIBITED"/>
+ </row>
+ <row stringID="row" value="61">
+ <item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="P61"/>
+ <item label="Pin&#xA;Usage" stringID="Pin_Usage" value="IOBS"/>
+ <item label="Pin&#xA;Name" sort="smart" stringID="Pin_Name" value="IO_L12N_D2_MISO3_2"/>
+ <item stringID="Direction" value="UNUSED"/>
+ <item label="IO Bank&#xA;Number" stringID="IO_Bank_Number" value="2"/>
+ </row>
+ <row stringID="row" value="62">
+ <item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="P62"/>
+ <item label="Pin&#xA;Usage" stringID="Pin_Usage" value="IOBM"/>
+ <item label="Pin&#xA;Name" sort="smart" stringID="Pin_Name" value="IO_L12P_D1_MISO2_2"/>
+ <item stringID="Direction" value="UNUSED"/>
+ <item label="IO Bank&#xA;Number" stringID="IO_Bank_Number" value="2"/>
+ </row>
+ <row stringID="row" value="63">
+ <item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="P63"/>
+ <item label="Pin&#xA;Name" sort="smart" stringID="Pin_Name" value="VCCO_2"/>
+ <item label="IO Bank&#xA;Number" stringID="IO_Bank_Number" value="2"/>
+ <item label="Voltage" stringID="Voltage" value="any******"/>
+ </row>
+ <row stringID="row" value="64">
+ <item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="P64"/>
+ <item label="Pin&#xA;Usage" stringID="Pin_Usage" value="IOBS"/>
+ <item label="Pin&#xA;Name" sort="smart" stringID="Pin_Name" value="IO_L3N_MOSI_CSI_B_MISO0_2"/>
+ <item stringID="Direction" value="UNUSED"/>
+ <item label="IO Bank&#xA;Number" stringID="IO_Bank_Number" value="2"/>
+ </row>
+ <row stringID="row" value="65">
+ <item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="P65"/>
+ <item label="Pin&#xA;Usage" stringID="Pin_Usage" value="IOBM"/>
+ <item label="Pin&#xA;Name" sort="smart" stringID="Pin_Name" value="IO_L3P_D0_DIN_MISO_MISO1_2"/>
+ <item stringID="Direction" value="UNUSED"/>
+ <item label="IO Bank&#xA;Number" stringID="IO_Bank_Number" value="2"/>
+ </row>
+ <row stringID="row" value="66">
+ <item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="P66"/>
+ <item label="Pin&#xA;Usage" stringID="Pin_Usage" value="IOBS"/>
+ <item label="Pin&#xA;Name" sort="smart" stringID="Pin_Name" value="IO_L2N_CMPMOSI_2"/>
+ <item stringID="Direction" value="UNUSED"/>
+ <item label="IO Bank&#xA;Number" stringID="IO_Bank_Number" value="2"/>
+ </row>
+ <row stringID="row" value="67">
+ <item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="P67"/>
+ <item label="Pin&#xA;Usage" stringID="Pin_Usage" value="IOBM"/>
+ <item label="Pin&#xA;Name" sort="smart" stringID="Pin_Name" value="IO_L2P_CMPCLK_2"/>
+ <item stringID="Direction" value="UNUSED"/>
+ <item label="IO Bank&#xA;Number" stringID="IO_Bank_Number" value="2"/>
+ </row>
+ <row stringID="row" value="68">
+ <item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="P68"/>
+ <item label="Pin&#xA;Name" sort="smart" stringID="Pin_Name" value="GND"/>
+ </row>
+ <row stringID="row" value="69">
+ <item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="P69"/>
+ <item label="Pin&#xA;Usage" stringID="Pin_Usage" value="IOBS"/>
+ <item label="Pin&#xA;Name" sort="smart" stringID="Pin_Name" value="IO_L1N_M0_CMPMISO_2"/>
+ <item stringID="Direction" value="UNUSED"/>
+ <item label="IO Bank&#xA;Number" stringID="IO_Bank_Number" value="2"/>
+ <item label="Constraint" stringID="Constraint" value="PROHIBITED"/>
+ </row>
+ <row stringID="row" value="70">
+ <item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="P70"/>
+ <item label="Pin&#xA;Usage" stringID="Pin_Usage" value="IOBM"/>
+ <item label="Pin&#xA;Name" sort="smart" stringID="Pin_Name" value="IO_L1P_CCLK_2"/>
+ <item stringID="Direction" value="UNUSED"/>
+ <item label="IO Bank&#xA;Number" stringID="IO_Bank_Number" value="2"/>
+ </row>
+ <row stringID="row" value="71">
+ <item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="P71"/>
+ <item label="Pin&#xA;Name" sort="smart" stringID="Pin_Name" value="DONE_2"/>
+ </row>
+ <row stringID="row" value="72">
+ <item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="P72"/>
+ <item label="Pin&#xA;Name" sort="smart" stringID="Pin_Name" value="CMPCS_B_2"/>
+ </row>
+ <row stringID="row" value="73">
+ <item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="P73"/>
+ <item label="Pin&#xA;Name" sort="smart" stringID="Pin_Name" value="SUSPEND"/>
+ </row>
+ <row stringID="row" value="74">
+ <item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="P74"/>
+ <item label="Pin&#xA;Usage" stringID="Pin_Usage" value="IOBS"/>
+ <item label="Pin&#xA;Name" sort="smart" stringID="Pin_Name" value="IO_L74N_DOUT_BUSY_1"/>
+ <item stringID="Direction" value="UNUSED"/>
+ <item label="IO Bank&#xA;Number" stringID="IO_Bank_Number" value="1"/>
+ </row>
+ <row stringID="row" value="75">
+ <item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="P75"/>
+ <item label="Pin&#xA;Usage" stringID="Pin_Usage" value="IOBM"/>
+ <item label="Pin&#xA;Name" sort="smart" stringID="Pin_Name" value="IO_L74P_AWAKE_1"/>
+ <item stringID="Direction" value="UNUSED"/>
+ <item label="IO Bank&#xA;Number" stringID="IO_Bank_Number" value="1"/>
+ </row>
+ <row stringID="row" value="76">
+ <item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="P76"/>
+ <item label="Pin&#xA;Name" sort="smart" stringID="Pin_Name" value="VCCO_1"/>
+ <item label="IO Bank&#xA;Number" stringID="IO_Bank_Number" value="1"/>
+ <item label="Voltage" stringID="Voltage" value="any******"/>
+ </row>
+ <row stringID="row" value="77">
+ <item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="P77"/>
+ <item label="Pin&#xA;Name" sort="smart" stringID="Pin_Name" value="GND"/>
+ </row>
+ <row stringID="row" value="78">
+ <item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="P78"/>
+ <item label="Pin&#xA;Usage" stringID="Pin_Usage" value="IOBS"/>
+ <item label="Pin&#xA;Name" sort="smart" stringID="Pin_Name" value="IO_L47N_1"/>
+ <item stringID="Direction" value="UNUSED"/>
+ <item label="IO Bank&#xA;Number" stringID="IO_Bank_Number" value="1"/>
+ </row>
+ <row stringID="row" value="79">
+ <item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="P79"/>
+ <item label="Pin&#xA;Usage" stringID="Pin_Usage" value="IOBM"/>
+ <item label="Pin&#xA;Name" sort="smart" stringID="Pin_Name" value="IO_L47P_1"/>
+ <item stringID="Direction" value="UNUSED"/>
+ <item label="IO Bank&#xA;Number" stringID="IO_Bank_Number" value="1"/>
+ </row>
+ <row stringID="row" value="80">
+ <item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="P80"/>
+ <item label="Pin&#xA;Usage" stringID="Pin_Usage" value="IOBS"/>
+ <item label="Pin&#xA;Name" sort="smart" stringID="Pin_Name" value="IO_L46N_1"/>
+ <item stringID="Direction" value="UNUSED"/>
+ <item label="IO Bank&#xA;Number" stringID="IO_Bank_Number" value="1"/>
+ </row>
+ <row stringID="row" value="81">
+ <item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="P81"/>
+ <item label="Pin&#xA;Usage" stringID="Pin_Usage" value="IOBM"/>
+ <item label="Pin&#xA;Name" sort="smart" stringID="Pin_Name" value="IO_L46P_1"/>
+ <item stringID="Direction" value="UNUSED"/>
+ <item label="IO Bank&#xA;Number" stringID="IO_Bank_Number" value="1"/>
+ </row>
+ <row stringID="row" value="82">
+ <item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="P82"/>
+ <item label="Pin&#xA;Usage" stringID="Pin_Usage" value="IOBS"/>
+ <item label="Pin&#xA;Name" sort="smart" stringID="Pin_Name" value="IO_L45N_1"/>
+ <item stringID="Direction" value="UNUSED"/>
+ <item label="IO Bank&#xA;Number" stringID="IO_Bank_Number" value="1"/>
+ </row>
+ <row stringID="row" value="83">
+ <item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="P83"/>
+ <item label="Pin&#xA;Usage" stringID="Pin_Usage" value="IOBM"/>
+ <item label="Pin&#xA;Name" sort="smart" stringID="Pin_Name" value="IO_L45P_1"/>
+ <item stringID="Direction" value="UNUSED"/>
+ <item label="IO Bank&#xA;Number" stringID="IO_Bank_Number" value="1"/>
+ </row>
+ <row stringID="row" value="84">
+ <item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="P84"/>
+ <item label="Pin&#xA;Usage" stringID="Pin_Usage" value="IOBS"/>
+ <item label="Pin&#xA;Name" sort="smart" stringID="Pin_Name" value="IO_L43N_GCLK4_1"/>
+ <item stringID="Direction" value="UNUSED"/>
+ <item label="IO Bank&#xA;Number" stringID="IO_Bank_Number" value="1"/>
+ </row>
+ <row stringID="row" value="85">
+ <item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="P85"/>
+ <item label="Pin&#xA;Usage" stringID="Pin_Usage" value="IOBM"/>
+ <item label="Pin&#xA;Name" sort="smart" stringID="Pin_Name" value="IO_L43P_GCLK5_1"/>
+ <item stringID="Direction" value="UNUSED"/>
+ <item label="IO Bank&#xA;Number" stringID="IO_Bank_Number" value="1"/>
+ </row>
+ <row stringID="row" value="86">
+ <item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="P86"/>
+ <item label="Pin&#xA;Name" sort="smart" stringID="Pin_Name" value="VCCO_1"/>
+ <item label="IO Bank&#xA;Number" stringID="IO_Bank_Number" value="1"/>
+ <item label="Voltage" stringID="Voltage" value="any******"/>
+ </row>
+ <row stringID="row" value="87">
+ <item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="P87"/>
+ <item label="Pin&#xA;Usage" stringID="Pin_Usage" value="IOBS"/>
+ <item label="Pin&#xA;Name" sort="smart" stringID="Pin_Name" value="IO_L42N_GCLK6_TRDY1_1"/>
+ <item stringID="Direction" value="UNUSED"/>
+ <item label="IO Bank&#xA;Number" stringID="IO_Bank_Number" value="1"/>
+ </row>
+ <row stringID="row" value="88">
+ <item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="P88"/>
+ <item label="Pin&#xA;Usage" stringID="Pin_Usage" value="IOBM"/>
+ <item label="Pin&#xA;Name" sort="smart" stringID="Pin_Name" value="IO_L42P_GCLK7_1"/>
+ <item stringID="Direction" value="UNUSED"/>
+ <item label="IO Bank&#xA;Number" stringID="IO_Bank_Number" value="1"/>
+ </row>
+ <row stringID="row" value="89">
+ <item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="P89"/>
+ <item label="Pin&#xA;Name" sort="smart" stringID="Pin_Name" value="VCCINT"/>
+ <item label="Voltage" stringID="Voltage" value="1.2"/>
+ </row>
+ <row stringID="row" value="90">
+ <item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="P90"/>
+ <item label="Pin&#xA;Name" sort="smart" stringID="Pin_Name" value="VCCAUX"/>
+ <item label="Voltage" stringID="Voltage" value="2.5"/>
+ </row>
+ <row stringID="row" value="91">
+ <item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="P91"/>
+ <item label="Pin&#xA;Name" sort="smart" stringID="Pin_Name" value="GND"/>
+ </row>
+ <row stringID="row" value="92">
+ <item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="P92"/>
+ <item label="Pin&#xA;Usage" stringID="Pin_Usage" value="IOBS"/>
+ <item label="Pin&#xA;Name" sort="smart" stringID="Pin_Name" value="IO_L41N_GCLK8_1"/>
+ <item stringID="Direction" value="UNUSED"/>
+ <item label="IO Bank&#xA;Number" stringID="IO_Bank_Number" value="1"/>
+ </row>
+ <row stringID="row" value="93">
+ <item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="P93"/>
+ <item label="Pin&#xA;Usage" stringID="Pin_Usage" value="IOBM"/>
+ <item label="Pin&#xA;Name" sort="smart" stringID="Pin_Name" value="IO_L41P_GCLK9_IRDY1_1"/>
+ <item stringID="Direction" value="UNUSED"/>
+ <item label="IO Bank&#xA;Number" stringID="IO_Bank_Number" value="1"/>
+ </row>
+ <row stringID="row" value="94">
+ <item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="P94"/>
+ <item label="Signal&#xA;Name" stringID="Signal_Name" value="CLK"/>
+ <item label="Pin&#xA;Usage" stringID="Pin_Usage" value="IOB"/>
+ <item label="Pin&#xA;Name" sort="smart" stringID="Pin_Name" value="IO_L40N_GCLK10_1"/>
+ <item stringID="Direction" value="INPUT"/>
+ <item label="IO&#xA;Standard" sort="smart" stringID="IO_Standard" value="LVTTL"/>
+ <item label="IO Bank&#xA;Number" stringID="IO_Bank_Number" value="1"/>
+ <item label="IOB&#xA;Delay" stringID="IOB_Delay" value="NONE"/>
+ <item label="Constraint" stringID="Constraint" value="LOCATED"/>
+ <item label="IO&#xA;Register" stringID="IO_Register" value="NO"/>
+ <item label="Signal&#xA;Integrity" stringID="Signal_Integrity" value="NONE"/>
+ </row>
+ <row stringID="row" value="95">
+ <item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="P95"/>
+ <item label="Pin&#xA;Usage" stringID="Pin_Usage" value="IOBM"/>
+ <item label="Pin&#xA;Name" sort="smart" stringID="Pin_Name" value="IO_L40P_GCLK11_1"/>
+ <item stringID="Direction" value="UNUSED"/>
+ <item label="IO Bank&#xA;Number" stringID="IO_Bank_Number" value="1"/>
+ </row>
+ <row stringID="row" value="96">
+ <item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="P96"/>
+ <item label="Pin&#xA;Name" sort="smart" stringID="Pin_Name" value="GND"/>
+ </row>
+ <row stringID="row" value="97">
+ <item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="P97"/>
+ <item label="Pin&#xA;Usage" stringID="Pin_Usage" value="IOBS"/>
+ <item label="Pin&#xA;Name" sort="smart" stringID="Pin_Name" value="IO_L34N_1"/>
+ <item stringID="Direction" value="UNUSED"/>
+ <item label="IO Bank&#xA;Number" stringID="IO_Bank_Number" value="1"/>
+ </row>
+ <row stringID="row" value="98">
+ <item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="P98"/>
+ <item label="Pin&#xA;Usage" stringID="Pin_Usage" value="IOBM"/>
+ <item label="Pin&#xA;Name" sort="smart" stringID="Pin_Name" value="IO_L34P_1"/>
+ <item stringID="Direction" value="UNUSED"/>
+ <item label="IO Bank&#xA;Number" stringID="IO_Bank_Number" value="1"/>
+ </row>
+ <row stringID="row" value="99">
+ <item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="P99"/>
+ <item label="Pin&#xA;Usage" stringID="Pin_Usage" value="IOBS"/>
+ <item label="Pin&#xA;Name" sort="smart" stringID="Pin_Name" value="IO_L33N_1"/>
+ <item stringID="Direction" value="UNUSED"/>
+ <item label="IO Bank&#xA;Number" stringID="IO_Bank_Number" value="1"/>
+ </row>
+ <row stringID="row" value="100">
+ <item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="P100"/>
+ <item label="Pin&#xA;Usage" stringID="Pin_Usage" value="IOBM"/>
+ <item label="Pin&#xA;Name" sort="smart" stringID="Pin_Name" value="IO_L33P_1"/>
+ <item stringID="Direction" value="UNUSED"/>
+ <item label="IO Bank&#xA;Number" stringID="IO_Bank_Number" value="1"/>
+ </row>
+ <row stringID="row" value="101">
+ <item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="P101"/>
+ <item label="Pin&#xA;Usage" stringID="Pin_Usage" value="IOBS"/>
+ <item label="Pin&#xA;Name" sort="smart" stringID="Pin_Name" value="IO_L32N_1"/>
+ <item stringID="Direction" value="UNUSED"/>
+ <item label="IO Bank&#xA;Number" stringID="IO_Bank_Number" value="1"/>
+ </row>
+ <row stringID="row" value="102">
+ <item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="P102"/>
+ <item label="Pin&#xA;Usage" stringID="Pin_Usage" value="IOBM"/>
+ <item label="Pin&#xA;Name" sort="smart" stringID="Pin_Name" value="IO_L32P_1"/>
+ <item stringID="Direction" value="UNUSED"/>
+ <item label="IO Bank&#xA;Number" stringID="IO_Bank_Number" value="1"/>
+ </row>
+ <row stringID="row" value="103">
+ <item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="P103"/>
+ <item label="Pin&#xA;Name" sort="smart" stringID="Pin_Name" value="VCCO_1"/>
+ <item label="IO Bank&#xA;Number" stringID="IO_Bank_Number" value="1"/>
+ <item label="Voltage" stringID="Voltage" value="any******"/>
+ </row>
+ <row stringID="row" value="104">
+ <item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="P104"/>
+ <item label="Pin&#xA;Usage" stringID="Pin_Usage" value="IOBS"/>
+ <item label="Pin&#xA;Name" sort="smart" stringID="Pin_Name" value="IO_L1N_VREF_1"/>
+ <item stringID="Direction" value="UNUSED"/>
+ <item label="IO Bank&#xA;Number" stringID="IO_Bank_Number" value="1"/>
+ </row>
+ <row stringID="row" value="105">
+ <item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="P105"/>
+ <item label="Pin&#xA;Usage" stringID="Pin_Usage" value="IOBM"/>
+ <item label="Pin&#xA;Name" sort="smart" stringID="Pin_Name" value="IO_L1P_1"/>
+ <item stringID="Direction" value="UNUSED"/>
+ <item label="IO Bank&#xA;Number" stringID="IO_Bank_Number" value="1"/>
+ </row>
+ <row stringID="row" value="106">
+ <item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="P106"/>
+ <item label="Pin&#xA;Name" sort="smart" stringID="Pin_Name" value="TDO"/>
+ </row>
+ <row stringID="row" value="107">
+ <item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="P107"/>
+ <item label="Pin&#xA;Name" sort="smart" stringID="Pin_Name" value="TMS"/>
+ </row>
+ <row stringID="row" value="108">
+ <item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="P108"/>
+ <item label="Pin&#xA;Name" sort="smart" stringID="Pin_Name" value="GND"/>
+ </row>
+ <row stringID="row" value="109">
+ <item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="P109"/>
+ <item label="Pin&#xA;Name" sort="smart" stringID="Pin_Name" value="TCK"/>
+ </row>
+ <row stringID="row" value="110">
+ <item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="P110"/>
+ <item label="Pin&#xA;Name" sort="smart" stringID="Pin_Name" value="TDI"/>
+ </row>
+ <row stringID="row" value="111">
+ <item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="P111"/>
+ <item label="Pin&#xA;Usage" stringID="Pin_Usage" value="IOBS"/>
+ <item label="Pin&#xA;Name" sort="smart" stringID="Pin_Name" value="IO_L66N_SCP0_0"/>
+ <item stringID="Direction" value="UNUSED"/>
+ <item label="IO Bank&#xA;Number" stringID="IO_Bank_Number" value="0"/>
+ </row>
+ <row stringID="row" value="112">
+ <item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="P112"/>
+ <item label="Signal&#xA;Name" stringID="Signal_Name" value="LED1"/>
+ <item label="Pin&#xA;Usage" stringID="Pin_Usage" value="IOB"/>
+ <item label="Pin&#xA;Name" sort="smart" stringID="Pin_Name" value="IO_L66P_SCP1_0"/>
+ <item stringID="Direction" value="OUTPUT"/>
+ <item label="IO&#xA;Standard" sort="smart" stringID="IO_Standard" value="LVTTL"/>
+ <item label="IO Bank&#xA;Number" stringID="IO_Bank_Number" value="0"/>
+ <item label="Drive&#xA;(mA)" stringID="Drive" value="8"/>
+ <item label="Slew&#xA;Rate" stringID="Slew_Rate" value="SLOW"/>
+ <item label="Constraint" stringID="Constraint" value="LOCATED"/>
+ <item label="IO&#xA;Register" stringID="IO_Register" value="NO"/>
+ <item label="Signal&#xA;Integrity" stringID="Signal_Integrity" value="NONE"/>
+ </row>
+ <row stringID="row" value="113">
+ <item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="P113"/>
+ <item label="Pin&#xA;Name" sort="smart" stringID="Pin_Name" value="GND"/>
+ </row>
+ <row stringID="row" value="114">
+ <item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="P114"/>
+ <item label="Pin&#xA;Usage" stringID="Pin_Usage" value="IOBS"/>
+ <item label="Pin&#xA;Name" sort="smart" stringID="Pin_Name" value="IO_L65N_SCP2_0"/>
+ <item stringID="Direction" value="UNUSED"/>
+ <item label="IO Bank&#xA;Number" stringID="IO_Bank_Number" value="0"/>
+ </row>
+ <row stringID="row" value="115">
+ <item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="P115"/>
+ <item label="Pin&#xA;Usage" stringID="Pin_Usage" value="IOBM"/>
+ <item label="Pin&#xA;Name" sort="smart" stringID="Pin_Name" value="IO_L65P_SCP3_0"/>
+ <item stringID="Direction" value="UNUSED"/>
+ <item label="IO Bank&#xA;Number" stringID="IO_Bank_Number" value="0"/>
+ </row>
+ <row stringID="row" value="116">
+ <item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="P116"/>
+ <item label="Pin&#xA;Usage" stringID="Pin_Usage" value="IOBS"/>
+ <item label="Pin&#xA;Name" sort="smart" stringID="Pin_Name" value="IO_L64N_SCP4_0"/>
+ <item stringID="Direction" value="UNUSED"/>
+ <item label="IO Bank&#xA;Number" stringID="IO_Bank_Number" value="0"/>
+ </row>
+ <row stringID="row" value="117">
+ <item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="P117"/>
+ <item label="Pin&#xA;Usage" stringID="Pin_Usage" value="IOBM"/>
+ <item label="Pin&#xA;Name" sort="smart" stringID="Pin_Name" value="IO_L64P_SCP5_0"/>
+ <item stringID="Direction" value="UNUSED"/>
+ <item label="IO Bank&#xA;Number" stringID="IO_Bank_Number" value="0"/>
+ </row>
+ <row stringID="row" value="118">
+ <item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="P118"/>
+ <item label="Pin&#xA;Usage" stringID="Pin_Usage" value="IOBS"/>
+ <item label="Pin&#xA;Name" sort="smart" stringID="Pin_Name" value="IO_L63N_SCP6_0"/>
+ <item stringID="Direction" value="UNUSED"/>
+ <item label="IO Bank&#xA;Number" stringID="IO_Bank_Number" value="0"/>
+ </row>
+ <row stringID="row" value="119">
+ <item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="P119"/>
+ <item label="Pin&#xA;Usage" stringID="Pin_Usage" value="IOBM"/>
+ <item label="Pin&#xA;Name" sort="smart" stringID="Pin_Name" value="IO_L63P_SCP7_0"/>
+ <item stringID="Direction" value="UNUSED"/>
+ <item label="IO Bank&#xA;Number" stringID="IO_Bank_Number" value="0"/>
+ </row>
+ <row stringID="row" value="120">
+ <item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="P120"/>
+ <item label="Pin&#xA;Usage" stringID="Pin_Usage" value="IOBS"/>
+ <item label="Pin&#xA;Name" sort="smart" stringID="Pin_Name" value="IO_L62N_VREF_0"/>
+ <item stringID="Direction" value="UNUSED"/>
+ <item label="IO Bank&#xA;Number" stringID="IO_Bank_Number" value="0"/>
+ </row>
+ <row stringID="row" value="121">
+ <item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="P121"/>
+ <item label="Pin&#xA;Usage" stringID="Pin_Usage" value="IOBM"/>
+ <item label="Pin&#xA;Name" sort="smart" stringID="Pin_Name" value="IO_L62P_0"/>
+ <item stringID="Direction" value="UNUSED"/>
+ <item label="IO Bank&#xA;Number" stringID="IO_Bank_Number" value="0"/>
+ </row>
+ <row stringID="row" value="122">
+ <item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="P122"/>
+ <item label="Pin&#xA;Name" sort="smart" stringID="Pin_Name" value="VCCO_0"/>
+ <item label="IO Bank&#xA;Number" stringID="IO_Bank_Number" value="0"/>
+ <item label="Voltage" stringID="Voltage" value="3.30"/>
+ </row>
+ <row stringID="row" value="123">
+ <item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="P123"/>
+ <item label="Pin&#xA;Usage" stringID="Pin_Usage" value="IOBS"/>
+ <item label="Pin&#xA;Name" sort="smart" stringID="Pin_Name" value="IO_L37N_GCLK12_0"/>
+ <item stringID="Direction" value="UNUSED"/>
+ <item label="IO Bank&#xA;Number" stringID="IO_Bank_Number" value="0"/>
+ </row>
+ <row stringID="row" value="124">
+ <item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="P124"/>
+ <item label="Pin&#xA;Usage" stringID="Pin_Usage" value="IOBM"/>
+ <item label="Pin&#xA;Name" sort="smart" stringID="Pin_Name" value="IO_L37P_GCLK13_0"/>
+ <item stringID="Direction" value="UNUSED"/>
+ <item label="IO Bank&#xA;Number" stringID="IO_Bank_Number" value="0"/>
+ </row>
+ <row stringID="row" value="125">
+ <item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="P125"/>
+ <item label="Pin&#xA;Name" sort="smart" stringID="Pin_Name" value="VCCO_0"/>
+ <item label="IO Bank&#xA;Number" stringID="IO_Bank_Number" value="0"/>
+ <item label="Voltage" stringID="Voltage" value="3.30"/>
+ </row>
+ <row stringID="row" value="126">
+ <item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="P126"/>
+ <item label="Pin&#xA;Usage" stringID="Pin_Usage" value="IOBS"/>
+ <item label="Pin&#xA;Name" sort="smart" stringID="Pin_Name" value="IO_L36N_GCLK14_0"/>
+ <item stringID="Direction" value="UNUSED"/>
+ <item label="IO Bank&#xA;Number" stringID="IO_Bank_Number" value="0"/>
+ </row>
+ <row stringID="row" value="127">
+ <item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="P127"/>
+ <item label="Pin&#xA;Usage" stringID="Pin_Usage" value="IOBM"/>
+ <item label="Pin&#xA;Name" sort="smart" stringID="Pin_Name" value="IO_L36P_GCLK15_0"/>
+ <item stringID="Direction" value="UNUSED"/>
+ <item label="IO Bank&#xA;Number" stringID="IO_Bank_Number" value="0"/>
+ </row>
+ <row stringID="row" value="128">
+ <item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="P128"/>
+ <item label="Pin&#xA;Name" sort="smart" stringID="Pin_Name" value="VCCINT"/>
+ <item label="Voltage" stringID="Voltage" value="1.2"/>
+ </row>
+ <row stringID="row" value="129">
+ <item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="P129"/>
+ <item label="Pin&#xA;Name" sort="smart" stringID="Pin_Name" value="VCCAUX"/>
+ <item label="Voltage" stringID="Voltage" value="2.5"/>
+ </row>
+ <row stringID="row" value="130">
+ <item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="P130"/>
+ <item label="Pin&#xA;Name" sort="smart" stringID="Pin_Name" value="GND"/>
+ </row>
+ <row stringID="row" value="131">
+ <item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="P131"/>
+ <item label="Pin&#xA;Usage" stringID="Pin_Usage" value="IOBS"/>
+ <item label="Pin&#xA;Name" sort="smart" stringID="Pin_Name" value="IO_L35N_GCLK16_0"/>
+ <item stringID="Direction" value="UNUSED"/>
+ <item label="IO Bank&#xA;Number" stringID="IO_Bank_Number" value="0"/>
+ </row>
+ <row stringID="row" value="132">
+ <item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="P132"/>
+ <item label="Pin&#xA;Usage" stringID="Pin_Usage" value="IOBM"/>
+ <item label="Pin&#xA;Name" sort="smart" stringID="Pin_Name" value="IO_L35P_GCLK17_0"/>
+ <item stringID="Direction" value="UNUSED"/>
+ <item label="IO Bank&#xA;Number" stringID="IO_Bank_Number" value="0"/>
+ </row>
+ <row stringID="row" value="133">
+ <item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="P133"/>
+ <item label="Pin&#xA;Usage" stringID="Pin_Usage" value="IOBS"/>
+ <item label="Pin&#xA;Name" sort="smart" stringID="Pin_Name" value="IO_L34N_GCLK18_0"/>
+ <item stringID="Direction" value="UNUSED"/>
+ <item label="IO Bank&#xA;Number" stringID="IO_Bank_Number" value="0"/>
+ </row>
+ <row stringID="row" value="134">
+ <item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="P134"/>
+ <item label="Pin&#xA;Usage" stringID="Pin_Usage" value="IOBM"/>
+ <item label="Pin&#xA;Name" sort="smart" stringID="Pin_Name" value="IO_L34P_GCLK19_0"/>
+ <item stringID="Direction" value="UNUSED"/>
+ <item label="IO Bank&#xA;Number" stringID="IO_Bank_Number" value="0"/>
+ </row>
+ <row stringID="row" value="135">
+ <item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="P135"/>
+ <item label="Pin&#xA;Name" sort="smart" stringID="Pin_Name" value="VCCO_0"/>
+ <item label="IO Bank&#xA;Number" stringID="IO_Bank_Number" value="0"/>
+ <item label="Voltage" stringID="Voltage" value="3.30"/>
+ </row>
+ <row stringID="row" value="136">
+ <item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="P136"/>
+ <item label="Pin&#xA;Name" sort="smart" stringID="Pin_Name" value="GND"/>
+ </row>
+ <row stringID="row" value="137">
+ <item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="P137"/>
+ <item label="Pin&#xA;Usage" stringID="Pin_Usage" value="IOBS"/>
+ <item label="Pin&#xA;Name" sort="smart" stringID="Pin_Name" value="IO_L4N_0"/>
+ <item stringID="Direction" value="UNUSED"/>
+ <item label="IO Bank&#xA;Number" stringID="IO_Bank_Number" value="0"/>
+ </row>
+ <row stringID="row" value="138">
+ <item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="P138"/>
+ <item label="Pin&#xA;Usage" stringID="Pin_Usage" value="IOBM"/>
+ <item label="Pin&#xA;Name" sort="smart" stringID="Pin_Name" value="IO_L4P_0"/>
+ <item stringID="Direction" value="UNUSED"/>
+ <item label="IO Bank&#xA;Number" stringID="IO_Bank_Number" value="0"/>
+ </row>
+ <row stringID="row" value="139">
+ <item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="P139"/>
+ <item label="Pin&#xA;Usage" stringID="Pin_Usage" value="IOBS"/>
+ <item label="Pin&#xA;Name" sort="smart" stringID="Pin_Name" value="IO_L3N_0"/>
+ <item stringID="Direction" value="UNUSED"/>
+ <item label="IO Bank&#xA;Number" stringID="IO_Bank_Number" value="0"/>
+ </row>
+ <row stringID="row" value="140">
+ <item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="P140"/>
+ <item label="Pin&#xA;Usage" stringID="Pin_Usage" value="IOBM"/>
+ <item label="Pin&#xA;Name" sort="smart" stringID="Pin_Name" value="IO_L3P_0"/>
+ <item stringID="Direction" value="UNUSED"/>
+ <item label="IO Bank&#xA;Number" stringID="IO_Bank_Number" value="0"/>
+ </row>
+ <row stringID="row" value="141">
+ <item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="P141"/>
+ <item label="Pin&#xA;Usage" stringID="Pin_Usage" value="IOBS"/>
+ <item label="Pin&#xA;Name" sort="smart" stringID="Pin_Name" value="IO_L2N_0"/>
+ <item stringID="Direction" value="UNUSED"/>
+ <item label="IO Bank&#xA;Number" stringID="IO_Bank_Number" value="0"/>
+ </row>
+ <row stringID="row" value="142">
+ <item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="P142"/>
+ <item label="Pin&#xA;Usage" stringID="Pin_Usage" value="IOBM"/>
+ <item label="Pin&#xA;Name" sort="smart" stringID="Pin_Name" value="IO_L2P_0"/>
+ <item stringID="Direction" value="UNUSED"/>
+ <item label="IO Bank&#xA;Number" stringID="IO_Bank_Number" value="0"/>
+ </row>
+ <row stringID="row" value="143">
+ <item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="P143"/>
+ <item label="Pin&#xA;Usage" stringID="Pin_Usage" value="IOBS"/>
+ <item label="Pin&#xA;Name" sort="smart" stringID="Pin_Name" value="IO_L1N_VREF_0"/>
+ <item stringID="Direction" value="UNUSED"/>
+ <item label="IO Bank&#xA;Number" stringID="IO_Bank_Number" value="0"/>
+ </row>
+ <row stringID="row" value="144">
+ <item label="Pin&#xA;Number" sort="smart" stringID="Pin_Number" value="P144"/>
+ <item label="Pin&#xA;Usage" stringID="Pin_Usage" value="IOBM"/>
+ <item label="Pin&#xA;Name" sort="smart" stringID="Pin_Name" value="IO_L1P_HSWAPEN_0"/>
+ <item stringID="Direction" value="UNUSED"/>
+ <item label="IO Bank&#xA;Number" stringID="IO_Bank_Number" value="0"/>
+ <item label="Constraint" stringID="Constraint" value="PROHIBITED"/>
+ </row>
+ </table>
+ <table stringID="PAR_PSEUDO_LOGIC">
+ <column label="Signal&#xA;Name" stringID="Signal_Name"/>
+ <column stringID="Type"/>
+ <column stringID="Site"/>
+ </table>
+ </section>
+ <section stringID="PAR_UNROUTES_REPORT">
+ <item dataType="int" stringID="PAR_UNROUTED_NETS" value="0"/>
+ <item dataType="int" stringID="PAR_TOTAL_SOURCELESS_NETS" value="0"/>
+ <item dataType="int" stringID="PAR_TOTAL_LOADLESS_NETS" value="0"/>
+ </section>
+ </task>
+ </application>
+
+ <application stringID="Par" timeStamp="Tue Feb 21 22:16:58 2017">
+ <section stringID="User_Env">
+ <table stringID="User_EnvVar">
+ <column stringID="variable"/>
+ <column stringID="value"/>
+ <row stringID="row" value="0">
+ <item stringID="variable" value="LD_LIBRARY_PATH"/>
+ <item stringID="value" value="/opt/Xilinx/14.7/ISE_DS/ISE//lib/lin64:/opt/Xilinx/14.7/ISE_DS/ISE/lib/lin64:/opt/Xilinx/14.7/ISE_DS/EDK/lib/lin64:/opt/Xilinx/14.7/ISE_DS/common/lib/lin64"/>
+ </row>
+ <row stringID="row" value="1">
+ <item stringID="variable" value="XILINX_DSP"/>
+ <item stringID="value" value="/opt/Xilinx/14.7/ISE_DS/ISE"/>
+ </row>
+ <row stringID="row" value="2">
+ <item stringID="variable" value="XILINX_PLANAHEAD"/>
+ <item stringID="value" value="/opt/Xilinx/14.7/ISE_DS/PlanAhead"/>
+ </row>
+ <row stringID="row" value="3">
+ <item stringID="variable" value="XILINX"/>
+ <item stringID="value" value="/opt/Xilinx/14.7/ISE_DS/ISE/"/>
+ </row>
+ <row stringID="row" value="4">
+ <item stringID="variable" value="XIL_MAP_LOCWARN"/>
+ <item stringID="value" value="1"/>
+ </row>
+ <row stringID="row" value="5">
+ <item stringID="variable" value="XILINX_EDK"/>
+ <item stringID="value" value="/opt/Xilinx/14.7/ISE_DS/EDK"/>
+ </row>
+ <row stringID="row" value="6">
+ <item stringID="variable" value="PATH"/>
+ <item stringID="value" value="/opt/Xilinx/14.7/ISE_DS/ISE//bin/lin64:/opt/Xilinx/14.7/ISE_DS/ISE/bin/lin64:/opt/Xilinx/14.7/ISE_DS/ISE/sysgen/util:/opt/Xilinx/14.7/ISE_DS/ISE/../../../DocNav:/opt/Xilinx/14.7/ISE_DS/PlanAhead/bin:/opt/Xilinx/14.7/ISE_DS/EDK/bin/lin64:/opt/Xilinx/14.7/ISE_DS/EDK/gnu/microblaze/lin/bin:/opt/Xilinx/14.7/ISE_DS/EDK/gnu/powerpc-eabi/lin/bin:/opt/Xilinx/14.7/ISE_DS/EDK/gnu/arm/lin/bin:/opt/Xilinx/14.7/ISE_DS/EDK/gnu/microblaze/linux_toolchain/lin64_be/bin:/opt/Xilinx/14.7/ISE_DS/EDK/gnu/microblaze/linux_toolchain/lin64_le/bin:/opt/Xilinx/14.7/ISE_DS/common/bin/lin64:/usr/local/sbin:/usr/local/bin:/usr/bin:/usr/lib/jvm/default/bin:/usr/bin/site_perl:/usr/bin/vendor_perl:/usr/bin/core_perl"/>
+ </row>
+ </table>
+ <item stringID="User_EnvOs" value="OS Information">
+ <item stringID="User_EnvOsname" value="unknown"/>
+ <item stringID="User_EnvOsrelease" value="unknown"/>
+ </item>
+ <item stringID="User_EnvHost" value="yann-arch"/>
+ <table stringID="User_EnvCpu">
+ <column stringID="arch"/>
+ <column stringID="speed"/>
+ <row stringID="row" value="0">
+ <item stringID="arch" value="Intel(R) Core(TM) i5-7200U CPU @ 2.50GHz"/>
+ <item stringID="speed" value="3100.122 MHz"/>
+ </row>
+ </table>
+ </section>
+ <task label="Device Utilization Summary" stringID="PAR_DEVICE_UTLIZATION">
+ <section stringID="PAR_SLICE_REPORTING">
+ <item AVAILABLE="11440" dataType="int" label="Number of Slice Registers" stringID="PAR_SLICE_REGISTERS" value="25">
+ <item dataType="int" stringID="PAR_NUM_SLICE_FF" value="25"/>
+ <item dataType="int" stringID="PAR_NUM_SLICE_LATCH" value="0"/>
+ <item dataType="int" stringID="PAR_NUM_SLICE_LATCHTHRU" value="0"/>
+ <item dataType="int" stringID="PAR_NUM_SLICE_LATCHLOGIC" value="0"/>
+ </item>
+ <item AVAILABLE="5720" dataType="int" label="Number of Slice LUTS" stringID="PAR_SLICE_LUTS" value="57">
+ <item dataType="int" stringID="PAR_NUM_LOGIC_O5ONLY" value="1"/>
+ <item dataType="int" stringID="PAR_NUM_LOGIC_O6ONLY" value="34"/>
+ <item dataType="int" stringID="PAR_NUM_LOGIC_O5ANDO6" value="22"/>
+ <item dataType="int" stringID="PAR_NUM_ROM_O5ONLY" value="0"/>
+ <item dataType="int" stringID="PAR_NUM_ROM_O6ONLY" value="0"/>
+ <item dataType="int" stringID="PAR_NUM_ROM_O5ANDO6" value="0"/>
+ <item dataType="int" stringID="PAR_NUM_DPRAM_O5ONLY" value="0"/>
+ <item dataType="int" stringID="PAR_NUM_DPRAM_O6ONLY" value="0"/>
+ <item dataType="int" stringID="PAR_NUM_DPRAM_O5ANDO6" value="0"/>
+ <item dataType="int" stringID="PAR_NUM_SPRAM_O5ONLY" value="0"/>
+ <item dataType="int" stringID="PAR_NUM_SPRAM_O6ONLY" value="0"/>
+ <item dataType="int" stringID="PAR_NUM_SPRAM_O5ANDO6" value="0"/>
+ <item dataType="int" stringID="PAR_NUM_SRL_O5ONLY" value="0"/>
+ <item dataType="int" stringID="PAR_NUM_SRL_O6ONLY" value="0"/>
+ <item dataType="int" stringID="PAR_NUM_SRL_O5ANDO6" value="0"/>
+ <item dataType="int" stringID="PAR_NUM_LUT_RT_EXO6" value="0"/>
+ <item dataType="int" stringID="PAR_NUM_LUT_RT_EXO5" value="0"/>
+ <item dataType="int" stringID="PAR_NUM_LUT_RT_O5ANDO6" value="0"/>
+ <item dataType="int" stringID="PAR_NUM_LUT_RT_DRIVES_FLOP" value="0"/>
+ <item dataType="int" stringID="PAR_NUM_LUT_RT_DRIVES_CARRY4" value="0"/>
+ <item dataType="int" stringID="PAR_NUM_LUT_RT_DRIVES_OTHERS" value="0"/>
+ </item>
+ <item AVAILABLE="1430" dataType="int" stringID="PAR_OCCUPIED_SLICES" value="15">
+ <item AVAILABLE="355" dataType="int" stringID="PAR_NUM_SLICEL" value="6"/>
+ <item AVAILABLE="360" dataType="int" stringID="PAR_NUM_SLICEM" value="0"/>
+ <item AVAILABLE="715" dataType="int" stringID="PAR_NUM_SLICEX" value="9"/>
+ </item>
+ <item dataType="int" stringID="PAR_OCCUPIED_LUT_AND_FF" value="57">
+ <item dataType="int" stringID="PAR_OCCUPIED_LUT_ONLY" value="32"/>
+ <item dataType="int" stringID="PAR_OCCUPIED_FF_ONLY" value="0"/>
+ <item dataType="int" stringID="PAR_OCCUPIED_FF_AND_LUT" value="25"/>
+ </item>
+ </section>
+ <section stringID="PAR_IOB_REPORTING">
+ <item AVAILABLE="102" dataType="int" stringID="PAR_AGG_BONDED_IO" value="2"/>
+ <item AVAILABLE="98" dataType="int" stringID="PAR_AGG_UNBONDED_IO" value="0"/>
+ <item AVAILABLE="0" dataType="int" stringID="PAR_NUM_IOB_FF" value="0"/>
+ <item AVAILABLE="0" dataType="int" stringID="PAR_NUM_IOB_LATCH" value="0"/>
+ <item AVAILABLE="49" dataType="int" stringID="PAR_NUM_IOBM" value="0"/>
+ <item AVAILABLE="51" dataType="int" stringID="PAR_NUM_BONDED_IOBM" value="0"/>
+ <item AVAILABLE="49" dataType="int" stringID="PAR_NUM_IOBS" value="0"/>
+ <item AVAILABLE="51" dataType="int" stringID="PAR_NUM_BONDED_IOBS" value="0"/>
+ <item AVAILABLE="0" dataType="int" stringID="PAR_NUM_IPAD" value="0"/>
+ <item AVAILABLE="0" dataType="int" stringID="PAR_NUM_BONDED_IPAD" value="0"/>
+ <item AVAILABLE="0" dataType="int" stringID="PAR_NUM_BONDED_OPAD" value="0"/>
+ <item AVAILABLE="0" dataType="int" stringID="PAR_NUM_OPAD" value="0"/>
+ </section>
+ <section stringID="PAR_HARD_IP_REPORTING"/>
+ <section stringID="PAR_RAM_FIFO_DATA">
+ <item AVAILABLE="32" dataType="int" stringID="PAR_NUM_RAMB16BWER" value="0"/>
+ <item AVAILABLE="64" dataType="int" stringID="PAR_NUM_RAMB8BWER" value="0"/>
+ </section>
+ <section stringID="PAR_IP_DATA">
+ <item AVAILABLE="4" dataType="int" stringID="PAR_NUM_BSCAN" value="0"/>
+ <item AVAILABLE="128" dataType="int" stringID="PAR_NUM_BUFH" value="0"/>
+ <item AVAILABLE="8" dataType="int" stringID="PAR_NUM_BUFPLL" value="0"/>
+ <item AVAILABLE="4" dataType="int" stringID="PAR_NUM_BUFPLL_MCB" value="0"/>
+ <item AVAILABLE="16" dataType="int" stringID="PAR_NUM_DSP48A1" value="0"/>
+ <item AVAILABLE="0" dataType="int" stringID="PAR_NUM_GTPA1_DUAL" value="0"/>
+ <item AVAILABLE="1" dataType="int" stringID="PAR_NUM_ICAP" value="0"/>
+ <item AVAILABLE="2" dataType="int" stringID="PAR_NUM_MCB" value="0"/>
+ <item AVAILABLE="0" dataType="int" stringID="PAR_NUM_PCIE_A1" value="0"/>
+ <item AVAILABLE="2" dataType="int" stringID="PAR_NUM_PCILOGICSE" value="0"/>
+ <item AVAILABLE="2" dataType="int" stringID="PAR_NUM_PLL_ADV" value="0"/>
+ <item AVAILABLE="1" dataType="int" stringID="PAR_NUM_PMV" value="0"/>
+ <item AVAILABLE="1" dataType="int" stringID="PAR_NUM_STARTUP" value="0"/>
+ <item AVAILABLE="1" dataType="int" stringID="PAR_NUM_SUSPEND_SYNC" value="0"/>
+ </section>
+ <section stringID="PAR_BUFG_DATA">
+ <item dataType="int" stringID="PAR_NUM_BUFG" value="1"/>
+ <item dataType="int" stringID="PAR_NUM_BUFGMUX" value="0"/>
+ <item dataType="int" stringID="PAR_AVAILABLE" value="16"/>
+ </section>
+ </task>
+ </application>
+
+</document>
diff --git a/led_summary.html b/led_summary.html
index 5387a17..7839b75 100644
--- a/led_summary.html
+++ b/led_summary.html
@@ -2,7 +2,7 @@
<BODY TEXT='#000000' BGCOLOR='#FFFFFF' LINK='#0000EE' VLINK='#551A8B' ALINK='#FF0000'>
<TABLE BORDER CELLSPACING=0 CELLPADDING=3 WIDTH='100%'>
<TR ALIGN=CENTER BGCOLOR='#99CCFF'>
-<TD ALIGN=CENTER COLSPAN='4'><B>led Project Status</B></TD></TR>
+<TD ALIGN=CENTER COLSPAN='4'><B>led Project Status (02/21/2017 - 22:10:44)</B></TD></TR>
<TR ALIGN=LEFT>
<TD BGCOLOR='#FFFF99'><B>Project File:</B></TD>
<TD>FPGA-led-lights.xise</TD>
@@ -13,33 +13,33 @@
<TD BGCOLOR='#FFFF99'><B>Module Name:</B></TD>
<TD>led</TD>
<TD BGCOLOR='#FFFF99'><B>Implementation State:</B></TD>
-<TD>Synthesized</TD>
+<TD>Programming File Generated</TD>
</TR>
<TR ALIGN=LEFT>
<TD BGCOLOR='#FFFF99'><B>Target Device:</B></TD>
-<TD>xc3s250e-4vq100</TD>
+<TD>xc6slx9-2tqg144</TD>
<TD BGCOLOR='#FFFF99'><UL><LI><B>Errors:</B></LI></UL></TD>
<TD>
-<font color="red"; face="Arial"><b>X </b></font>
-<A HREF_DISABLED='/home/yannherklotz/Github/FPGA-led-lights/_xmsgs/*.xmsgs?&DataKey=Error'>1 Error (1 new)</A></TD>
+No Errors</TD>
</TR>
<TR ALIGN=LEFT>
<TD BGCOLOR='#FFFF99'><B>Product Version:</B></TD><TD>ISE 14.7</TD>
<TD BGCOLOR='#FFFF99'><UL><LI><B>Warnings:</B></LI></UL></TD>
-<TD ALIGN=LEFT>No Warnings</TD>
+<TD ALIGN=LEFT><A HREF_DISABLED='/home/yannherklotz/Github/FPGA-led-lights/_xmsgs/*.xmsgs?&DataKey=Warning'>98 Warnings (2 new)</A></TD>
</TR>
<TR ALIGN=LEFT>
<TD BGCOLOR='#FFFF99'><B>Design Goal:</B></dif></TD>
<TD>Balanced</TD>
<TD BGCOLOR='#FFFF99'><UL><LI><B>Routing Results:</B></LI></UL></TD>
<TD>
-&nbsp;</TD>
+<A HREF_DISABLED='/home/yannherklotz/Github/FPGA-led-lights/led.unroutes'>All Signals Completely Routed</A></TD>
</TR>
<TR ALIGN=LEFT>
<TD BGCOLOR='#FFFF99'><B>Design Strategy:</B></dif></TD>
<TD><A HREF_DISABLED='Xilinx Default (unlocked)?&DataKey=Strategy'>Xilinx Default (unlocked)</A></TD>
<TD BGCOLOR='#FFFF99'><UL><LI><B>Timing Constraints:</B></LI></UL></TD>
-<TD>&nbsp;</TD>
+<TD>
+<A HREF_DISABLED='/home/yannherklotz/Github/FPGA-led-lights/led.ptwx?&DataKey=ConstraintsData'>All Constraints Met</A></TD>
</TR>
<TR ALIGN=LEFT>
<TD BGCOLOR='#FFFF99'><B>Environment:</B></dif></TD>
@@ -48,17 +48,318 @@
System Settings</A>
</TD>
<TD BGCOLOR='#FFFF99'><UL><LI><B>Final Timing Score:</B></LI></UL></TD>
-<TD>&nbsp;&nbsp;</TD>
+<TD>0 &nbsp;<A HREF_DISABLED='/home/yannherklotz/Github/FPGA-led-lights/led.twx?&DataKey=XmlTimingReport'>(Timing Report)</A></TD>
</TR>
</TABLE>
+&nbsp;<BR><TABLE BORDER CELLSPACING=0 CELLPADDING=3 WIDTH='100%'>
+<TR ALIGN=CENTER BGCOLOR='#99CCFF'><TD ALIGN=CENTER COLSPAN='5'><B>Device Utilization Summary</B></TD><TD ALIGN=RIGHT WIDTH='10%'COLSPAN=1> <A HREF_DISABLED="?&ExpandedTable=DeviceUtilizationSummary"><B>[-]</B></a></TD></TR>
+<TR ALIGN=CENTER BGCOLOR='#FFFF99'>
+<TD ALIGN=LEFT><B>Slice Logic Utilization</B></TD><TD><B>Used</B></TD><TD><B>Available</B></TD><TD><B>Utilization</B></TD><TD COLSPAN='2'><B>Note(s)</B></TD>
+</TR>
+<TR ALIGN=RIGHT><TD ALIGN=LEFT>Number of Slice Registers</TD>
+<TD ALIGN=RIGHT>25</TD>
+<TD ALIGN=RIGHT>11,440</TD>
+<TD ALIGN=RIGHT>1%</TD>
+<TD COLSPAN='2'>&nbsp;</TD>
+</TR>
+<TR ALIGN=RIGHT><TD ALIGN=LEFT>&nbsp;&nbsp;&nbsp;&nbsp;Number used as Flip Flops</TD>
+<TD ALIGN=RIGHT>25</TD>
+<TD>&nbsp;</TD>
+<TD>&nbsp;</TD>
+<TD COLSPAN='2'>&nbsp;</TD>
+</TR>
+<TR ALIGN=RIGHT><TD ALIGN=LEFT>&nbsp;&nbsp;&nbsp;&nbsp;Number used as Latches</TD>
+<TD ALIGN=RIGHT>0</TD>
+<TD>&nbsp;</TD>
+<TD>&nbsp;</TD>
+<TD COLSPAN='2'>&nbsp;</TD>
+</TR>
+<TR ALIGN=RIGHT><TD ALIGN=LEFT>&nbsp;&nbsp;&nbsp;&nbsp;Number used as Latch-thrus</TD>
+<TD ALIGN=RIGHT>0</TD>
+<TD>&nbsp;</TD>
+<TD>&nbsp;</TD>
+<TD COLSPAN='2'>&nbsp;</TD>
+</TR>
+<TR ALIGN=RIGHT><TD ALIGN=LEFT>&nbsp;&nbsp;&nbsp;&nbsp;Number used as AND/OR logics</TD>
+<TD ALIGN=RIGHT>0</TD>
+<TD>&nbsp;</TD>
+<TD>&nbsp;</TD>
+<TD COLSPAN='2'>&nbsp;</TD>
+</TR>
+<TR ALIGN=RIGHT><TD ALIGN=LEFT>Number of Slice LUTs</TD>
+<TD ALIGN=RIGHT>57</TD>
+<TD ALIGN=RIGHT>5,720</TD>
+<TD ALIGN=RIGHT>1%</TD>
+<TD COLSPAN='2'>&nbsp;</TD>
+</TR>
+<TR ALIGN=RIGHT><TD ALIGN=LEFT>&nbsp;&nbsp;&nbsp;&nbsp;Number used as logic</TD>
+<TD ALIGN=RIGHT>57</TD>
+<TD ALIGN=RIGHT>5,720</TD>
+<TD ALIGN=RIGHT>1%</TD>
+<TD COLSPAN='2'>&nbsp;</TD>
+</TR>
+<TR ALIGN=RIGHT><TD ALIGN=LEFT>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;Number using O6 output only</TD>
+<TD ALIGN=RIGHT>34</TD>
+<TD>&nbsp;</TD>
+<TD>&nbsp;</TD>
+<TD COLSPAN='2'>&nbsp;</TD>
+</TR>
+<TR ALIGN=RIGHT><TD ALIGN=LEFT>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;Number using O5 output only</TD>
+<TD ALIGN=RIGHT>1</TD>
+<TD>&nbsp;</TD>
+<TD>&nbsp;</TD>
+<TD COLSPAN='2'>&nbsp;</TD>
+</TR>
+<TR ALIGN=RIGHT><TD ALIGN=LEFT>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;Number using O5 and O6</TD>
+<TD ALIGN=RIGHT>22</TD>
+<TD>&nbsp;</TD>
+<TD>&nbsp;</TD>
+<TD COLSPAN='2'>&nbsp;</TD>
+</TR>
+<TR ALIGN=RIGHT><TD ALIGN=LEFT>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;Number used as ROM</TD>
+<TD ALIGN=RIGHT>0</TD>
+<TD>&nbsp;</TD>
+<TD>&nbsp;</TD>
+<TD COLSPAN='2'>&nbsp;</TD>
+</TR>
+<TR ALIGN=RIGHT><TD ALIGN=LEFT>&nbsp;&nbsp;&nbsp;&nbsp;Number used as Memory</TD>
+<TD ALIGN=RIGHT>0</TD>
+<TD ALIGN=RIGHT>1,440</TD>
+<TD ALIGN=RIGHT>0%</TD>
+<TD COLSPAN='2'>&nbsp;</TD>
+</TR>
+<TR ALIGN=RIGHT><TD ALIGN=LEFT>Number of occupied Slices</TD>
+<TD ALIGN=RIGHT>15</TD>
+<TD ALIGN=RIGHT>1,430</TD>
+<TD ALIGN=RIGHT>1%</TD>
+<TD COLSPAN='2'>&nbsp;</TD>
+</TR>
+<TR ALIGN=RIGHT><TD ALIGN=LEFT>Number of MUXCYs used</TD>
+<TD ALIGN=RIGHT>24</TD>
+<TD ALIGN=RIGHT>2,860</TD>
+<TD ALIGN=RIGHT>1%</TD>
+<TD COLSPAN='2'>&nbsp;</TD>
+</TR>
+<TR ALIGN=RIGHT><TD ALIGN=LEFT>Number of LUT Flip Flop pairs used</TD>
+<TD ALIGN=RIGHT>57</TD>
+<TD>&nbsp;</TD>
+<TD>&nbsp;</TD>
+<TD COLSPAN='2'>&nbsp;</TD>
+</TR>
+<TR ALIGN=RIGHT><TD ALIGN=LEFT>&nbsp;&nbsp;&nbsp;&nbsp;Number with an unused Flip Flop</TD>
+<TD ALIGN=RIGHT>32</TD>
+<TD ALIGN=RIGHT>57</TD>
+<TD ALIGN=RIGHT>56%</TD>
+<TD COLSPAN='2'>&nbsp;</TD>
+</TR>
+<TR ALIGN=RIGHT><TD ALIGN=LEFT>&nbsp;&nbsp;&nbsp;&nbsp;Number with an unused LUT</TD>
+<TD ALIGN=RIGHT>0</TD>
+<TD ALIGN=RIGHT>57</TD>
+<TD ALIGN=RIGHT>0%</TD>
+<TD COLSPAN='2'>&nbsp;</TD>
+</TR>
+<TR ALIGN=RIGHT><TD ALIGN=LEFT>&nbsp;&nbsp;&nbsp;&nbsp;Number of fully used LUT-FF pairs</TD>
+<TD ALIGN=RIGHT>25</TD>
+<TD ALIGN=RIGHT>57</TD>
+<TD ALIGN=RIGHT>43%</TD>
+<TD COLSPAN='2'>&nbsp;</TD>
+</TR>
+<TR ALIGN=RIGHT><TD ALIGN=LEFT>&nbsp;&nbsp;&nbsp;&nbsp;Number of unique control sets</TD>
+<TD ALIGN=RIGHT>1</TD>
+<TD>&nbsp;</TD>
+<TD>&nbsp;</TD>
+<TD COLSPAN='2'>&nbsp;</TD>
+</TR>
+<TR ALIGN=RIGHT><TD ALIGN=LEFT>&nbsp;&nbsp;&nbsp;&nbsp;Number of slice register sites lost<BR>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;to control set restrictions</TD>
+<TD ALIGN=RIGHT>7</TD>
+<TD ALIGN=RIGHT>11,440</TD>
+<TD ALIGN=RIGHT>1%</TD>
+<TD COLSPAN='2'>&nbsp;</TD>
+</TR>
+<TR ALIGN=RIGHT><TD ALIGN=LEFT>Number of bonded <A HREF_DISABLED='/home/yannherklotz/Github/FPGA-led-lights/led_map.xrpt?&DataKey=IOBProperties'>IOBs</A></TD>
+<TD ALIGN=RIGHT>2</TD>
+<TD ALIGN=RIGHT>102</TD>
+<TD ALIGN=RIGHT>1%</TD>
+<TD COLSPAN='2'>&nbsp;</TD>
+</TR>
+<TR ALIGN=RIGHT><TD ALIGN=LEFT>&nbsp;&nbsp;&nbsp;&nbsp;Number of LOCed IOBs</TD>
+<TD ALIGN=RIGHT>2</TD>
+<TD ALIGN=RIGHT>2</TD>
+<TD ALIGN=RIGHT>100%</TD>
+<TD COLSPAN='2'>&nbsp;</TD>
+</TR>
+<TR ALIGN=RIGHT><TD ALIGN=LEFT>Number of RAMB16BWERs</TD>
+<TD ALIGN=RIGHT>0</TD>
+<TD ALIGN=RIGHT>32</TD>
+<TD ALIGN=RIGHT>0%</TD>
+<TD COLSPAN='2'>&nbsp;</TD>
+</TR>
+<TR ALIGN=RIGHT><TD ALIGN=LEFT>Number of RAMB8BWERs</TD>
+<TD ALIGN=RIGHT>0</TD>
+<TD ALIGN=RIGHT>64</TD>
+<TD ALIGN=RIGHT>0%</TD>
+<TD COLSPAN='2'>&nbsp;</TD>
+</TR>
+<TR ALIGN=RIGHT><TD ALIGN=LEFT>Number of BUFIO2/BUFIO2_2CLKs</TD>
+<TD ALIGN=RIGHT>0</TD>
+<TD ALIGN=RIGHT>32</TD>
+<TD ALIGN=RIGHT>0%</TD>
+<TD COLSPAN='2'>&nbsp;</TD>
+</TR>
+<TR ALIGN=RIGHT><TD ALIGN=LEFT>Number of BUFIO2FB/BUFIO2FB_2CLKs</TD>
+<TD ALIGN=RIGHT>0</TD>
+<TD ALIGN=RIGHT>32</TD>
+<TD ALIGN=RIGHT>0%</TD>
+<TD COLSPAN='2'>&nbsp;</TD>
+</TR>
+<TR ALIGN=RIGHT><TD ALIGN=LEFT>Number of BUFG/BUFGMUXs</TD>
+<TD ALIGN=RIGHT>1</TD>
+<TD ALIGN=RIGHT>16</TD>
+<TD ALIGN=RIGHT>6%</TD>
+<TD COLSPAN='2'>&nbsp;</TD>
+</TR>
+<TR ALIGN=RIGHT><TD ALIGN=LEFT>&nbsp;&nbsp;&nbsp;&nbsp;Number used as BUFGs</TD>
+<TD ALIGN=RIGHT>1</TD>
+<TD>&nbsp;</TD>
+<TD>&nbsp;</TD>
+<TD COLSPAN='2'>&nbsp;</TD>
+</TR>
+<TR ALIGN=RIGHT><TD ALIGN=LEFT>&nbsp;&nbsp;&nbsp;&nbsp;Number used as BUFGMUX</TD>
+<TD ALIGN=RIGHT>0</TD>
+<TD>&nbsp;</TD>
+<TD>&nbsp;</TD>
+<TD COLSPAN='2'>&nbsp;</TD>
+</TR>
+<TR ALIGN=RIGHT><TD ALIGN=LEFT>Number of DCM/DCM_CLKGENs</TD>
+<TD ALIGN=RIGHT>0</TD>
+<TD ALIGN=RIGHT>4</TD>
+<TD ALIGN=RIGHT>0%</TD>
+<TD COLSPAN='2'>&nbsp;</TD>
+</TR>
+<TR ALIGN=RIGHT><TD ALIGN=LEFT>Number of ILOGIC2/ISERDES2s</TD>
+<TD ALIGN=RIGHT>0</TD>
+<TD ALIGN=RIGHT>200</TD>
+<TD ALIGN=RIGHT>0%</TD>
+<TD COLSPAN='2'>&nbsp;</TD>
+</TR>
+<TR ALIGN=RIGHT><TD ALIGN=LEFT>Number of IODELAY2/IODRP2/IODRP2_MCBs</TD>
+<TD ALIGN=RIGHT>0</TD>
+<TD ALIGN=RIGHT>200</TD>
+<TD ALIGN=RIGHT>0%</TD>
+<TD COLSPAN='2'>&nbsp;</TD>
+</TR>
+<TR ALIGN=RIGHT><TD ALIGN=LEFT>Number of OLOGIC2/OSERDES2s</TD>
+<TD ALIGN=RIGHT>0</TD>
+<TD ALIGN=RIGHT>200</TD>
+<TD ALIGN=RIGHT>0%</TD>
+<TD COLSPAN='2'>&nbsp;</TD>
+</TR>
+<TR ALIGN=RIGHT><TD ALIGN=LEFT>Number of BSCANs</TD>
+<TD ALIGN=RIGHT>0</TD>
+<TD ALIGN=RIGHT>4</TD>
+<TD ALIGN=RIGHT>0%</TD>
+<TD COLSPAN='2'>&nbsp;</TD>
+</TR>
+<TR ALIGN=RIGHT><TD ALIGN=LEFT>Number of BUFHs</TD>
+<TD ALIGN=RIGHT>0</TD>
+<TD ALIGN=RIGHT>128</TD>
+<TD ALIGN=RIGHT>0%</TD>
+<TD COLSPAN='2'>&nbsp;</TD>
+</TR>
+<TR ALIGN=RIGHT><TD ALIGN=LEFT>Number of BUFPLLs</TD>
+<TD ALIGN=RIGHT>0</TD>
+<TD ALIGN=RIGHT>8</TD>
+<TD ALIGN=RIGHT>0%</TD>
+<TD COLSPAN='2'>&nbsp;</TD>
+</TR>
+<TR ALIGN=RIGHT><TD ALIGN=LEFT>Number of BUFPLL_MCBs</TD>
+<TD ALIGN=RIGHT>0</TD>
+<TD ALIGN=RIGHT>4</TD>
+<TD ALIGN=RIGHT>0%</TD>
+<TD COLSPAN='2'>&nbsp;</TD>
+</TR>
+<TR ALIGN=RIGHT><TD ALIGN=LEFT>Number of DSP48A1s</TD>
+<TD ALIGN=RIGHT>0</TD>
+<TD ALIGN=RIGHT>16</TD>
+<TD ALIGN=RIGHT>0%</TD>
+<TD COLSPAN='2'>&nbsp;</TD>
+</TR>
+<TR ALIGN=RIGHT><TD ALIGN=LEFT>Number of ICAPs</TD>
+<TD ALIGN=RIGHT>0</TD>
+<TD ALIGN=RIGHT>1</TD>
+<TD ALIGN=RIGHT>0%</TD>
+<TD COLSPAN='2'>&nbsp;</TD>
+</TR>
+<TR ALIGN=RIGHT><TD ALIGN=LEFT>Number of MCBs</TD>
+<TD ALIGN=RIGHT>0</TD>
+<TD ALIGN=RIGHT>2</TD>
+<TD ALIGN=RIGHT>0%</TD>
+<TD COLSPAN='2'>&nbsp;</TD>
+</TR>
+<TR ALIGN=RIGHT><TD ALIGN=LEFT>Number of PCILOGICSEs</TD>
+<TD ALIGN=RIGHT>0</TD>
+<TD ALIGN=RIGHT>2</TD>
+<TD ALIGN=RIGHT>0%</TD>
+<TD COLSPAN='2'>&nbsp;</TD>
+</TR>
+<TR ALIGN=RIGHT><TD ALIGN=LEFT>Number of PLL_ADVs</TD>
+<TD ALIGN=RIGHT>0</TD>
+<TD ALIGN=RIGHT>2</TD>
+<TD ALIGN=RIGHT>0%</TD>
+<TD COLSPAN='2'>&nbsp;</TD>
+</TR>
+<TR ALIGN=RIGHT><TD ALIGN=LEFT>Number of PMVs</TD>
+<TD ALIGN=RIGHT>0</TD>
+<TD ALIGN=RIGHT>1</TD>
+<TD ALIGN=RIGHT>0%</TD>
+<TD COLSPAN='2'>&nbsp;</TD>
+</TR>
+<TR ALIGN=RIGHT><TD ALIGN=LEFT>Number of STARTUPs</TD>
+<TD ALIGN=RIGHT>0</TD>
+<TD ALIGN=RIGHT>1</TD>
+<TD ALIGN=RIGHT>0%</TD>
+<TD COLSPAN='2'>&nbsp;</TD>
+</TR>
+<TR ALIGN=RIGHT><TD ALIGN=LEFT>Number of SUSPEND_SYNCs</TD>
+<TD ALIGN=RIGHT>0</TD>
+<TD ALIGN=RIGHT>1</TD>
+<TD ALIGN=RIGHT>0%</TD>
+<TD COLSPAN='2'>&nbsp;</TD>
+</TR>
+<TR ALIGN=RIGHT><TD ALIGN=LEFT>Average Fanout of Non-Clock Nets</TD>
+<TD ALIGN=RIGHT>3.24</TD>
+<TD>&nbsp;</TD>
+<TD>&nbsp;</TD>
+<TD COLSPAN='2'>&nbsp;</TD>
+</TR>
+</TABLE>
-
-
+&nbsp;<BR><TABLE BORDER CELLSPACING=0 CELLPADDING=3 WIDTH='100%'>
+<TR ALIGN=CENTER BGCOLOR='#99CCFF'><TD ALIGN=CENTER COLSPAN='4'><B>Performance Summary</B></TD><TD ALIGN=RIGHT WIDTH='10%'COLSPAN=1> <A HREF_DISABLED="?&ExpandedTable=PerformanceSummary"><B>[-]</B></a></TD></TR>
+<TR ALIGN=LEFT>
+<TD BGCOLOR='#FFFF99'><B>Final Timing Score:</B></TD>
+<TD>0 (Setup: 0, Hold: 0, Component Switching Limit: 0)</TD>
+<TD BGCOLOR='#FFFF99'><B>Pinout Data:</B></TD>
+<TD COLSPAN='2'><A HREF_DISABLED='/home/yannherklotz/Github/FPGA-led-lights/led_par.xrpt?&DataKey=PinoutData'>Pinout Report</A></TD>
+</TR>
+<TR ALIGN=LEFT>
+<TD BGCOLOR='#FFFF99'><B>Routing Results:</B></TD><TD>
+<A HREF_DISABLED='/home/yannherklotz/Github/FPGA-led-lights/led.unroutes'>All Signals Completely Routed</A></TD>
+<TD BGCOLOR='#FFFF99'><B>Clock Data:</B></TD>
+<TD COLSPAN='2'><A HREF_DISABLED='/home/yannherklotz/Github/FPGA-led-lights/led_par.xrpt?&DataKey=ClocksData'>Clock Report</A></TD>
+</TR>
+<TR ALIGN=LEFT>
+<TD BGCOLOR='#FFFF99'><B>Timing Constraints:</B></TD>
+<TD>
+<A HREF_DISABLED='/home/yannherklotz/Github/FPGA-led-lights/led.ptwx?&DataKey=ConstraintsData'>All Constraints Met</A></TD>
+<TD BGCOLOR='#FFFF99'><B>&nbsp;</B></TD>
+<TD COLSPAN='2'>&nbsp;</TD>
+</TABLE>
@@ -66,19 +367,21 @@ System Settings</A>
<TR ALIGN=CENTER BGCOLOR='#99CCFF'><TD ALIGN=CENTER COLSPAN='6'><B>Detailed Reports</B></TD><TD ALIGN=RIGHT WIDTH='10%'COLSPAN=1> <A HREF_DISABLED="?&ExpandedTable=DetailedReports"><B>[-]</B></a></TD></TR>
<TR BGCOLOR='#FFFF99'><TD><B>Report Name</B></TD><TD><B>Status</B></TD><TD><B>Generated</B></TD>
<TD ALIGN=LEFT><B>Errors</B></TD><TD ALIGN=LEFT><B>Warnings</B></TD><TD ALIGN=LEFT COLSPAN='2'><B>Infos</B></TD></TR>
-<TR ALIGN=LEFT><TD><A HREF_DISABLED='/home/yannherklotz/Github/FPGA-led-lights/led.syr'>Synthesis Report</A></TD><TD>Current</TD><TD>Sun Feb 19 23:15:32 2017</TD><TD ALIGN=LEFT><font color="red"; face="Arial"><b>X </b></font><A HREF_DISABLED='/home/yannherklotz/Github/FPGA-led-lights/_xmsgs/xst.xmsgs?&DataKey=Error'>1 Error (1 new)</A></TD><TD ALIGN=LEFT>0</TD><TD ALIGN=LEFT COLSPAN='2'>0</TD></TR>
-<TR ALIGN=LEFT><TD>Translation Report</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD COLSPAN='2'>&nbsp;</TD></TR>
-<TR ALIGN=LEFT><TD>Map Report</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD COLSPAN='2'>&nbsp;</TD></TR>
-<TR ALIGN=LEFT><TD>Place and Route Report</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD COLSPAN='2'>&nbsp;</TD></TR>
+<TR ALIGN=LEFT><TD><A HREF_DISABLED='/home/yannherklotz/Github/FPGA-led-lights/led.syr'>Synthesis Report</A></TD><TD>Current</TD><TD>Tue Feb 21 22:10:17 2017</TD><TD ALIGN=LEFT>0</TD><TD ALIGN=LEFT><A HREF_DISABLED='/home/yannherklotz/Github/FPGA-led-lights/_xmsgs/xst.xmsgs?&DataKey=Warning'>3 Warnings (2 new)</A></TD><TD ALIGN=LEFT COLSPAN='2'>0</TD></TR>
+<TR ALIGN=LEFT><TD><A HREF_DISABLED='/home/yannherklotz/Github/FPGA-led-lights/led.bld'>Translation Report</A></TD><TD>Current</TD><TD>Tue Feb 21 22:16:44 2017</TD><TD ALIGN=LEFT>0</TD><TD ALIGN=LEFT><A HREF_DISABLED='/home/yannherklotz/Github/FPGA-led-lights/_xmsgs/ngdbuild.xmsgs?&DataKey=Warning'>95 Warnings (0 new)</A></TD><TD ALIGN=LEFT COLSPAN='2'><A HREF_DISABLED='/home/yannherklotz/Github/FPGA-led-lights/_xmsgs/ngdbuild.xmsgs?&DataKey=Info'>207 Infos (0 new)</A></TD></TR>
+<TR ALIGN=LEFT><TD><A HREF_DISABLED='/home/yannherklotz/Github/FPGA-led-lights/led_map.mrp'>Map Report</A></TD><TD>Current</TD><TD>Tue Feb 21 22:16:54 2017</TD><TD ALIGN=LEFT>0</TD><TD ALIGN=LEFT>0</TD><TD ALIGN=LEFT COLSPAN='2'><A HREF_DISABLED='/home/yannherklotz/Github/FPGA-led-lights/_xmsgs/map.xmsgs?&DataKey=Info'>8 Infos (0 new)</A></TD></TR>
+<TR ALIGN=LEFT><TD><A HREF_DISABLED='/home/yannherklotz/Github/FPGA-led-lights/led.par'>Place and Route Report</A></TD><TD>Current</TD><TD>Tue Feb 21 22:16:59 2017</TD><TD ALIGN=LEFT>0</TD><TD ALIGN=LEFT>0</TD><TD ALIGN=LEFT COLSPAN='2'>0</TD></TR>
<TR ALIGN=LEFT><TD>Power Report</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD COLSPAN='2'>&nbsp;</TD></TR>
-<TR ALIGN=LEFT><TD>Post-PAR Static Timing Report</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD COLSPAN='2'>&nbsp;</TD></TR>
-<TR ALIGN=LEFT><TD>Bitgen Report</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD COLSPAN='2'>&nbsp;</TD></TR>
+<TR ALIGN=LEFT><TD><A HREF_DISABLED='/home/yannherklotz/Github/FPGA-led-lights/led.twr'>Post-PAR Static Timing Report</A></TD><TD>Current</TD><TD>Tue Feb 21 22:17:03 2017</TD><TD ALIGN=LEFT>0</TD><TD ALIGN=LEFT>0</TD><TD ALIGN=LEFT COLSPAN='2'><A HREF_DISABLED='/home/yannherklotz/Github/FPGA-led-lights/_xmsgs/trce.xmsgs?&DataKey=Info'>3 Infos (0 new)</A></TD></TR>
+<TR ALIGN=LEFT><TD><A HREF_DISABLED='/home/yannherklotz/Github/FPGA-led-lights/led.bgn'>Bitgen Report</A></TD><TD>Current</TD><TD>Tue Feb 21 22:17:08 2017</TD><TD ALIGN=LEFT>0</TD><TD ALIGN=LEFT>0</TD><TD ALIGN=LEFT COLSPAN='2'>0</TD></TR>
</TABLE>
&nbsp;<BR><TABLE BORDER CELLSPACING=0 CELLPADDING=3 WIDTH='100%'>
<TR ALIGN=CENTER BGCOLOR='#99CCFF'><TD ALIGN=CENTER COLSPAN='3'><B>Secondary Reports</B></TD><TD ALIGN=RIGHT WIDTH='10%'COLSPAN=1> <A HREF_DISABLED="?&ExpandedTable=SecondaryReports"><B>[-]</B></a></TD></TR>
<TR BGCOLOR='#FFFF99'><TD><B>Report Name</B></TD><TD><B>Status</B></TD><TD COLSPAN='2'><B>Generated</B></TD></TR>
+<TR ALIGN=LEFT><TD><A HREF_DISABLED='/home/yannherklotz/Github/FPGA-led-lights/usage_statistics_webtalk.html'>WebTalk Report</A></TD><TD>Current</TD><TD COLSPAN='2'>Tue Feb 21 22:17:09 2017</TD></TR>
+<TR ALIGN=LEFT><TD><A HREF_DISABLED='/home/yannherklotz/Github/FPGA-led-lights/webtalk.log'>WebTalk Log File</A></TD><TD>Current</TD><TD COLSPAN='2'>Tue Feb 21 22:17:10 2017</TD></TR>
</TABLE>
-<br><center><b>Date Generated:</b> 02/20/2017 - 16:00:35</center>
+<br><center><b>Date Generated:</b> 02/21/2017 - 23:44:54</center>
</BODY></HTML> \ No newline at end of file
diff --git a/led_summary.xml b/led_summary.xml
new file mode 100644
index 0000000..d46d958
--- /dev/null
+++ b/led_summary.xml
@@ -0,0 +1,10 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<!-- IMPORTANT: This is an internal file that has been generated
+ by the Xilinx ISE software. Any direct editing or
+ changes made to this file may result in unpredictable
+ behavior or data corruption. It is strongly advised that
+ users do not edit the contents of this file. -->
+<DesignSummary rev="37">
+<CmdHistory>
+</CmdHistory>
+</DesignSummary>
diff --git a/led_usage.xml b/led_usage.xml
new file mode 100644
index 0000000..83b1dce
--- /dev/null
+++ b/led_usage.xml
@@ -0,0 +1,612 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<!-- IMPORTANT: This is an internal file that has been generated
+ by the Xilinx ISE software. Any direct editing or
+ changes made to this file may result in unpredictable
+ behavior or data corruption. It is strongly advised that
+ users do not edit the contents of this file. -->
+<DeviceUsageSummary rev="37">
+<DesignStatistics TimeStamp="Tue Feb 21 22:17:08 2017"><group name="NetStatistics">
+<item name="NumNets_Active" rev="37">
+<attrib name="value" value="66"/></item>
+<item name="NumNets_Vcc" rev="37">
+<attrib name="value" value="1"/></item>
+<item name="NumNodesOfType_Active_BOUNCEACROSS" rev="37">
+<attrib name="value" value="2"/></item>
+<item name="NumNodesOfType_Active_BOUNCEIN" rev="37">
+<attrib name="value" value="7"/></item>
+<item name="NumNodesOfType_Active_BUFGOUT" rev="37">
+<attrib name="value" value="1"/></item>
+<item name="NumNodesOfType_Active_BUFHINP2OUT" rev="37">
+<attrib name="value" value="1"/></item>
+<item name="NumNodesOfType_Active_CLKPIN" rev="37">
+<attrib name="value" value="8"/></item>
+<item name="NumNodesOfType_Active_CLKPINFEED" rev="37">
+<attrib name="value" value="1"/></item>
+<item name="NumNodesOfType_Active_DOUBLE" rev="37">
+<attrib name="value" value="41"/></item>
+<item name="NumNodesOfType_Active_GENERIC" rev="37">
+<attrib name="value" value="2"/></item>
+<item name="NumNodesOfType_Active_GLOBAL" rev="37">
+<attrib name="value" value="10"/></item>
+<item name="NumNodesOfType_Active_INPUT" rev="37">
+<attrib name="value" value="6"/></item>
+<item name="NumNodesOfType_Active_IOBIN2OUT" rev="37">
+<attrib name="value" value="1"/></item>
+<item name="NumNodesOfType_Active_IOBOUTPUT" rev="37">
+<attrib name="value" value="1"/></item>
+<item name="NumNodesOfType_Active_LUTINPUT" rev="37">
+<attrib name="value" value="197"/></item>
+<item name="NumNodesOfType_Active_OUTBOUND" rev="37">
+<attrib name="value" value="60"/></item>
+<item name="NumNodesOfType_Active_OUTPUT" rev="37">
+<attrib name="value" value="66"/></item>
+<item name="NumNodesOfType_Active_PADINPUT" rev="37">
+<attrib name="value" value="1"/></item>
+<item name="NumNodesOfType_Active_PADOUTPUT" rev="37">
+<attrib name="value" value="1"/></item>
+<item name="NumNodesOfType_Active_PINBOUNCE" rev="37">
+<attrib name="value" value="17"/></item>
+<item name="NumNodesOfType_Active_PINFEED" rev="37">
+<attrib name="value" value="207"/></item>
+<item name="NumNodesOfType_Active_QUAD" rev="37">
+<attrib name="value" value="7"/></item>
+<item name="NumNodesOfType_Active_SINGLE" rev="37">
+<attrib name="value" value="76"/></item>
+<item name="NumNodesOfType_Vcc_HVCCOUT" rev="37">
+<attrib name="value" value="6"/></item>
+<item name="NumNodesOfType_Vcc_LUTINPUT" rev="37">
+<attrib name="value" value="23"/></item>
+<item name="NumNodesOfType_Vcc_PINFEED" rev="37">
+<attrib name="value" value="23"/></item>
+</group>
+<group name="SiteStatistics">
+<item name="BUFG-BUFGMUX" rev="37">
+<attrib name="value" value="1"/></item>
+<item name="IOB-IOBM" rev="37">
+<attrib name="value" value="1"/></item>
+<item name="IOB-IOBS" rev="37">
+<attrib name="value" value="1"/></item>
+<item name="SLICEL-SLICEM" rev="37">
+<attrib name="value" value="6"/></item>
+<item name="SLICEX-SLICEL" rev="37">
+<attrib name="value" value="1"/></item>
+<item name="SLICEX-SLICEM" rev="37">
+<attrib name="value" value="1"/></item>
+</group>
+<group name="MiscellaneousStatistics">
+<item name="AGG_BONDED_IO" rev="36">
+<attrib name="value" value="2"/></item>
+<item name="AGG_IO" rev="36">
+<attrib name="value" value="2"/></item>
+<item name="AGG_LOCED_IO" rev="36">
+<attrib name="value" value="2"/></item>
+<item name="AGG_SLICE" rev="36">
+<attrib name="value" value="15"/></item>
+<item name="NUM_BONDED_IOB" rev="36">
+<attrib name="value" value="2"/></item>
+<item name="NUM_BSFULL" rev="36">
+<attrib name="value" value="25"/></item>
+<item name="NUM_BSLUTONLY" rev="36">
+<attrib name="value" value="32"/></item>
+<item name="NUM_BSUSED" rev="36">
+<attrib name="value" value="57"/></item>
+<item name="NUM_BUFG" rev="36">
+<attrib name="value" value="1"/></item>
+<item name="NUM_LOCED_IOB" rev="36">
+<attrib name="value" value="2"/></item>
+<item name="NUM_LOGIC_O5ANDO6" rev="36">
+<attrib name="value" value="22"/></item>
+<item name="NUM_LOGIC_O5ONLY" rev="36">
+<attrib name="value" value="1"/></item>
+<item name="NUM_LOGIC_O6ONLY" rev="36">
+<attrib name="value" value="34"/></item>
+<item name="NUM_LUT_RT_O6" rev="36">
+<attrib name="value" value="1"/></item>
+<item name="NUM_SLICEL" rev="36">
+<attrib name="value" value="6"/></item>
+<item name="NUM_SLICEX" rev="36">
+<attrib name="value" value="9"/></item>
+<item name="NUM_SLICE_CARRY4" rev="36">
+<attrib name="value" value="6"/></item>
+<item name="NUM_SLICE_CONTROLSET" rev="36">
+<attrib name="value" value="1"/></item>
+<item name="NUM_SLICE_CYINIT" rev="36">
+<attrib name="value" value="81"/></item>
+<item name="NUM_SLICE_FF" rev="36">
+<attrib name="value" value="25"/></item>
+<item name="NUM_SLICE_UNUSEDCTRL" rev="36">
+<attrib name="value" value="7"/></item>
+<item name="NUM_UNUSABLE_FF_BELS" rev="36">
+<attrib name="value" value="7"/></item>
+</group>
+</DesignStatistics>
+<DeviceUsage TimeStamp="Tue Feb 21 22:17:08 2017"><group name="SiteSummary">
+<item name="BUFG" rev="37">
+<attrib name="total" value="1000000"/><attrib name="used" value="1"/></item>
+<item name="BUFG_BUFG" rev="37">
+<attrib name="total" value="1000000"/><attrib name="used" value="1"/></item>
+<item name="CARRY4" rev="37">
+<attrib name="total" value="1000000"/><attrib name="used" value="6"/></item>
+<item name="HARD1" rev="37">
+<attrib name="total" value="1000000"/><attrib name="used" value="1"/></item>
+<item name="IOB" rev="37">
+<attrib name="total" value="1000000"/><attrib name="used" value="2"/></item>
+<item name="IOB_IMUX" rev="37">
+<attrib name="total" value="1000000"/><attrib name="used" value="1"/></item>
+<item name="IOB_INBUF" rev="37">
+<attrib name="total" value="1000000"/><attrib name="used" value="1"/></item>
+<item name="IOB_OUTBUF" rev="37">
+<attrib name="total" value="1000000"/><attrib name="used" value="1"/></item>
+<item name="LUT5" rev="37">
+<attrib name="total" value="1000000"/><attrib name="used" value="23"/></item>
+<item name="LUT6" rev="37">
+<attrib name="total" value="1000000"/><attrib name="used" value="57"/></item>
+<item name="PAD" rev="37">
+<attrib name="total" value="1000000"/><attrib name="used" value="2"/></item>
+<item name="REG_SR" rev="37">
+<attrib name="total" value="1000000"/><attrib name="used" value="25"/></item>
+<item name="SLICEL" rev="37">
+<attrib name="total" value="1000000"/><attrib name="used" value="6"/></item>
+<item name="SLICEX" rev="37">
+<attrib name="total" value="1000000"/><attrib name="used" value="9"/></item>
+</group>
+</DeviceUsage>
+<ReportConfigData TimeStamp="Tue Feb 21 22:17:08 2017"><group name="SLICEL_CYMUXF">
+<item name="0" rev="9">
+<attrib name="0" value="8"/><attrib name="0_INV" value="0"/></item>
+<item name="1" rev="9">
+<attrib name="1_INV" value="0"/><attrib name="1" value="8"/></item>
+</group>
+<group name="SLICEL_CYMUXG">
+<item name="0" rev="9">
+<attrib name="0" value="7"/><attrib name="0_INV" value="0"/></item>
+</group>
+<group name="REG_SR">
+<item name="CK" rev="37">
+<attrib name="CK" value="25"/><attrib name="CK_INV" value="0"/></item>
+<item name="LATCH_OR_FF" rev="37">
+<attrib name="FF" value="25"/></item>
+<item name="SRINIT" rev="37">
+<attrib name="SRINIT0" value="18"/><attrib name="SRINIT1" value="7"/></item>
+<item name="SYNC_ATTR" rev="37">
+<attrib name="ASYNC" value="25"/></item>
+</group>
+<group name="IBUF_PAD">
+<item name="IOATTRBOX" rev="9">
+<attrib name="LVTTL" value="1"/></item>
+</group>
+<group name="SLICEL">
+<item name="BX" rev="9">
+<attrib name="BX_INV" value="0"/><attrib name="BX" value="1"/></item>
+<item name="BY" rev="9">
+<attrib name="BY" value="0"/><attrib name="BY_INV" value="1"/></item>
+<item name="CE" rev="9">
+<attrib name="CE" value="1"/><attrib name="CE_INV" value="0"/></item>
+<item name="CIN" rev="9">
+<attrib name="CIN_INV" value="0"/><attrib name="CIN" value="7"/></item>
+<item name="CLK" rev="9">
+<attrib name="CLK" value="9"/><attrib name="CLK_INV" value="0"/></item>
+<item name="SR" rev="9">
+<attrib name="SR" value="8"/><attrib name="SR_INV" value="0"/></item>
+</group>
+<group name="IOB_OUTBUF">
+<item name="DRIVEATTRBOX" rev="37">
+<attrib name="8" value="1"/></item>
+<item name="SLEW" rev="37">
+<attrib name="SLOW" value="1"/></item>
+<item name="SUSPEND" rev="37">
+<attrib name="3STATE" value="1"/></item>
+</group>
+<group name="SLICEX">
+<item name="CLK" rev="37">
+<attrib name="CLK" value="8"/><attrib name="CLK_INV" value="0"/></item>
+</group>
+<group name="SLICEL_FFX">
+<item name="CK" rev="9">
+<attrib name="CK" value="8"/><attrib name="CK_INV" value="0"/></item>
+<item name="D" rev="9">
+<attrib name="D" value="8"/><attrib name="D_INV" value="0"/></item>
+<item name="FFX_INIT_ATTR" rev="9">
+<attrib name="INIT0" value="3"/><attrib name="INIT1" value="5"/></item>
+<item name="FFX_SR_ATTR" rev="9">
+<attrib name="SRLOW" value="3"/><attrib name="SRHIGH" value="5"/></item>
+<item name="LATCH_OR_FF" rev="9">
+<attrib name="FF" value="8"/></item>
+<item name="SR" rev="9">
+<attrib name="SR" value="8"/><attrib name="SR_INV" value="0"/></item>
+<item name="SYNC_ATTR" rev="9">
+<attrib name="SYNC" value="8"/></item>
+</group>
+<group name="SLICEL_XORF">
+<item name="1" rev="9">
+<attrib name="1_INV" value="0"/><attrib name="1" value="8"/></item>
+</group>
+<group name="SLICEL_FFY">
+<item name="CE" rev="9">
+<attrib name="CE" value="1"/><attrib name="CE_INV" value="0"/></item>
+<item name="CK" rev="9">
+<attrib name="CK" value="9"/><attrib name="CK_INV" value="0"/></item>
+<item name="D" rev="9">
+<attrib name="D" value="8"/><attrib name="D_INV" value="1"/></item>
+<item name="FFY_INIT_ATTR" rev="9">
+<attrib name="INIT0" value="5"/><attrib name="INIT1" value="4"/></item>
+<item name="FFY_SR_ATTR" rev="9">
+<attrib name="SRLOW" value="5"/><attrib name="SRHIGH" value="4"/></item>
+<item name="LATCH_OR_FF" rev="9">
+<attrib name="FF" value="9"/></item>
+<item name="SR" rev="9">
+<attrib name="SR" value="8"/><attrib name="SR_INV" value="0"/></item>
+<item name="SYNC_ATTR" rev="9">
+<attrib name="ASYNC" value="1"/><attrib name="SYNC" value="8"/></item>
+</group>
+<group name="BUFGMUX_GCLKMUX">
+<item name="DISABLE_ATTR" rev="9">
+<attrib name="LOW" value="1"/></item>
+<item name="S" rev="9">
+<attrib name="S_INV" value="1"/><attrib name="S" value="0"/></item>
+</group>
+<group name="IOB_PAD">
+<item name="DRIVEATTRBOX" rev="9">
+<attrib name="8" value="1"/></item>
+<item name="IOATTRBOX" rev="9">
+<attrib name="LVTTL" value="1"/></item>
+<item name="SLEW" rev="9">
+<attrib name="SLOW" value="1"/></item>
+</group>
+<group name="IOB">
+<item name="O1" rev="9">
+<attrib name="O1_INV" value="0"/><attrib name="O1" value="1"/></item>
+</group>
+<group name="BUFGMUX">
+<item name="S" rev="9">
+<attrib name="S_INV" value="1"/><attrib name="S" value="0"/></item>
+</group>
+</ReportConfigData>
+<ReportPinData TimeStamp="Tue Feb 21 22:17:08 2017"><group name="SLICEL_CYMUXF">
+<item name="0" rev="9">
+<attrib name="value" value="8"/></item>
+<item name="1" rev="9">
+<attrib name="value" value="8"/></item>
+<item name="OUT" rev="9">
+<attrib name="value" value="8"/></item>
+<item name="S0" rev="9">
+<attrib name="value" value="8"/></item>
+</group>
+<group name="SLICEL_CYMUXG">
+<item name="0" rev="9">
+<attrib name="value" value="7"/></item>
+<item name="1" rev="9">
+<attrib name="value" value="7"/></item>
+<item name="OUT" rev="9">
+<attrib name="value" value="7"/></item>
+<item name="S0" rev="9">
+<attrib name="value" value="7"/></item>
+</group>
+<group name="REG_SR">
+<item name="CK" rev="37">
+<attrib name="value" value="25"/></item>
+<item name="D" rev="37">
+<attrib name="value" value="25"/></item>
+<item name="Q" rev="37">
+<attrib name="value" value="25"/></item>
+</group>
+<group name="IBUF_PAD">
+<item name="PAD" rev="9">
+<attrib name="value" value="1"/></item>
+</group>
+<group name="IBUF_INBUF">
+<item name="IN" rev="9">
+<attrib name="value" value="1"/></item>
+<item name="OUT" rev="9">
+<attrib name="value" value="1"/></item>
+</group>
+<group name="BUFGMUX_GCLK_BUFFER">
+<item name="IN" rev="9">
+<attrib name="value" value="1"/></item>
+<item name="OUT" rev="9">
+<attrib name="value" value="1"/></item>
+</group>
+<group name="SLICEL">
+<item name="A5" rev="37">
+<attrib name="value" value="6"/></item>
+<item name="A6" rev="37">
+<attrib name="value" value="6"/></item>
+<item name="AMUX" rev="37">
+<attrib name="value" value="6"/></item>
+<item name="B5" rev="37">
+<attrib name="value" value="6"/></item>
+<item name="B6" rev="37">
+<attrib name="value" value="6"/></item>
+<item name="BMUX" rev="37">
+<attrib name="value" value="6"/></item>
+<item name="C5" rev="37">
+<attrib name="value" value="6"/></item>
+<item name="C6" rev="37">
+<attrib name="value" value="6"/></item>
+<item name="CIN" rev="37">
+<attrib name="value" value="5"/></item>
+<item name="CMUX" rev="37">
+<attrib name="value" value="6"/></item>
+<item name="COUT" rev="37">
+<attrib name="value" value="5"/></item>
+<item name="D5" rev="37">
+<attrib name="value" value="5"/></item>
+<item name="D6" rev="37">
+<attrib name="value" value="6"/></item>
+<item name="DMUX" rev="37">
+<attrib name="value" value="6"/></item>
+</group>
+<group name="IOB_OUTBUF">
+<item name="IN" rev="37">
+<attrib name="value" value="1"/></item>
+<item name="OUT" rev="37">
+<attrib name="value" value="1"/></item>
+</group>
+<group name="SLICEX">
+<item name="A" rev="37">
+<attrib name="value" value="1"/></item>
+<item name="A1" rev="37">
+<attrib name="value" value="1"/></item>
+<item name="A2" rev="37">
+<attrib name="value" value="9"/></item>
+<item name="A3" rev="37">
+<attrib name="value" value="9"/></item>
+<item name="A4" rev="37">
+<attrib name="value" value="9"/></item>
+<item name="A5" rev="37">
+<attrib name="value" value="9"/></item>
+<item name="A6" rev="37">
+<attrib name="value" value="9"/></item>
+<item name="AQ" rev="37">
+<attrib name="value" value="8"/></item>
+<item name="B" rev="37">
+<attrib name="value" value="3"/></item>
+<item name="B1" rev="37">
+<attrib name="value" value="3"/></item>
+<item name="B2" rev="37">
+<attrib name="value" value="8"/></item>
+<item name="B3" rev="37">
+<attrib name="value" value="8"/></item>
+<item name="B4" rev="37">
+<attrib name="value" value="8"/></item>
+<item name="B5" rev="37">
+<attrib name="value" value="8"/></item>
+<item name="B6" rev="37">
+<attrib name="value" value="8"/></item>
+<item name="BQ" rev="37">
+<attrib name="value" value="5"/></item>
+<item name="C" rev="37">
+<attrib name="value" value="2"/></item>
+<item name="C1" rev="37">
+<attrib name="value" value="2"/></item>
+<item name="C2" rev="37">
+<attrib name="value" value="8"/></item>
+<item name="C3" rev="37">
+<attrib name="value" value="8"/></item>
+<item name="C4" rev="37">
+<attrib name="value" value="8"/></item>
+<item name="C5" rev="37">
+<attrib name="value" value="8"/></item>
+<item name="C6" rev="37">
+<attrib name="value" value="8"/></item>
+<item name="CLK" rev="37">
+<attrib name="value" value="8"/></item>
+<item name="CQ" rev="37">
+<attrib name="value" value="6"/></item>
+<item name="D" rev="37">
+<attrib name="value" value="2"/></item>
+<item name="D1" rev="37">
+<attrib name="value" value="2"/></item>
+<item name="D2" rev="37">
+<attrib name="value" value="8"/></item>
+<item name="D3" rev="37">
+<attrib name="value" value="8"/></item>
+<item name="D4" rev="37">
+<attrib name="value" value="8"/></item>
+<item name="D5" rev="37">
+<attrib name="value" value="8"/></item>
+<item name="D6" rev="37">
+<attrib name="value" value="8"/></item>
+<item name="DQ" rev="37">
+<attrib name="value" value="6"/></item>
+</group>
+<group name="BUFG_BUFG">
+<item name="I0" rev="37">
+<attrib name="value" value="1"/></item>
+<item name="O" rev="37">
+<attrib name="value" value="1"/></item>
+</group>
+<group name="IBUF">
+<item name="I" rev="9">
+<attrib name="value" value="1"/></item>
+<item name="PAD" rev="9">
+<attrib name="value" value="1"/></item>
+</group>
+<group name="PAD">
+<item name="PAD" rev="37">
+<attrib name="value" value="2"/></item>
+</group>
+<group name="IOB_INBUF">
+<item name="OUT" rev="37">
+<attrib name="value" value="1"/></item>
+<item name="PAD" rev="37">
+<attrib name="value" value="1"/></item>
+</group>
+<group name="SLICEL_C2VDD">
+<item name="1" rev="9">
+<attrib name="value" value="7"/></item>
+</group>
+<group name="SLICEL_XORF">
+<item name="0" rev="9">
+<attrib name="value" value="8"/></item>
+<item name="1" rev="9">
+<attrib name="value" value="8"/></item>
+<item name="O" rev="9">
+<attrib name="value" value="8"/></item>
+</group>
+<group name="SLICEL_FFX">
+<item name="CK" rev="9">
+<attrib name="value" value="8"/></item>
+<item name="D" rev="9">
+<attrib name="value" value="8"/></item>
+<item name="Q" rev="9">
+<attrib name="value" value="8"/></item>
+<item name="SR" rev="9">
+<attrib name="value" value="8"/></item>
+</group>
+<group name="SLICEL_FFY">
+<item name="CE" rev="9">
+<attrib name="value" value="1"/></item>
+<item name="CK" rev="9">
+<attrib name="value" value="9"/></item>
+<item name="D" rev="9">
+<attrib name="value" value="9"/></item>
+<item name="Q" rev="9">
+<attrib name="value" value="9"/></item>
+<item name="SR" rev="9">
+<attrib name="value" value="8"/></item>
+</group>
+<group name="SLICEL_XORG">
+<item name="0" rev="9">
+<attrib name="value" value="8"/></item>
+<item name="1" rev="9">
+<attrib name="value" value="8"/></item>
+<item name="O" rev="9">
+<attrib name="value" value="8"/></item>
+</group>
+<group name="BUFGMUX_GCLKMUX">
+<item name="I0" rev="9">
+<attrib name="value" value="1"/></item>
+<item name="OUT" rev="9">
+<attrib name="value" value="1"/></item>
+<item name="S" rev="9">
+<attrib name="value" value="1"/></item>
+</group>
+<group name="CARRY4">
+<item name="CIN" rev="37">
+<attrib name="value" value="5"/></item>
+<item name="CO3" rev="37">
+<attrib name="value" value="5"/></item>
+<item name="CYINIT" rev="37">
+<attrib name="value" value="1"/></item>
+<item name="DI0" rev="37">
+<attrib name="value" value="6"/></item>
+<item name="DI1" rev="37">
+<attrib name="value" value="6"/></item>
+<item name="DI2" rev="37">
+<attrib name="value" value="6"/></item>
+<item name="DI3" rev="37">
+<attrib name="value" value="5"/></item>
+<item name="O0" rev="37">
+<attrib name="value" value="6"/></item>
+<item name="O1" rev="37">
+<attrib name="value" value="6"/></item>
+<item name="O2" rev="37">
+<attrib name="value" value="6"/></item>
+<item name="O3" rev="37">
+<attrib name="value" value="6"/></item>
+<item name="S0" rev="37">
+<attrib name="value" value="6"/></item>
+<item name="S1" rev="37">
+<attrib name="value" value="6"/></item>
+<item name="S2" rev="37">
+<attrib name="value" value="6"/></item>
+<item name="S3" rev="37">
+<attrib name="value" value="6"/></item>
+</group>
+<group name="LUT5">
+<item name="O5" rev="37">
+<attrib name="value" value="23"/></item>
+</group>
+<group name="LUT6">
+<item name="A1" rev="37">
+<attrib name="value" value="8"/></item>
+<item name="A2" rev="37">
+<attrib name="value" value="33"/></item>
+<item name="A3" rev="37">
+<attrib name="value" value="33"/></item>
+<item name="A4" rev="37">
+<attrib name="value" value="33"/></item>
+<item name="A5" rev="37">
+<attrib name="value" value="56"/></item>
+<item name="A6" rev="37">
+<attrib name="value" value="57"/></item>
+<item name="O6" rev="37">
+<attrib name="value" value="57"/></item>
+</group>
+<group name="IOB_PAD">
+<item name="PAD" rev="9">
+<attrib name="value" value="1"/></item>
+</group>
+<group name="IOB_IMUX">
+<item name="I" rev="37">
+<attrib name="value" value="1"/></item>
+<item name="OUT" rev="37">
+<attrib name="value" value="1"/></item>
+</group>
+<group name="IOB">
+<item name="I" rev="37">
+<attrib name="value" value="1"/></item>
+<item name="O" rev="37">
+<attrib name="value" value="1"/></item>
+<item name="PAD" rev="37">
+<attrib name="value" value="2"/></item>
+</group>
+<group name="SLICEL_C1VDD">
+<item name="1" rev="9">
+<attrib name="value" value="7"/></item>
+</group>
+<group name="BUFGMUX">
+<item name="I0" rev="9">
+<attrib name="value" value="1"/></item>
+<item name="O" rev="9">
+<attrib name="value" value="1"/></item>
+<item name="S" rev="9">
+<attrib name="value" value="1"/></item>
+</group>
+<group name="HARD1">
+<item name="1" rev="37">
+<attrib name="value" value="1"/></item>
+</group>
+<group name="BUFG">
+<item name="I0" rev="37">
+<attrib name="value" value="1"/></item>
+<item name="O" rev="37">
+<attrib name="value" value="1"/></item>
+</group>
+<group name="SLICEL_F">
+<item name="A1" rev="9">
+<attrib name="value" value="12"/></item>
+<item name="A2" rev="9">
+<attrib name="value" value="4"/></item>
+<item name="A3" rev="9">
+<attrib name="value" value="4"/></item>
+<item name="A4" rev="9">
+<attrib name="value" value="4"/></item>
+<item name="D" rev="9">
+<attrib name="value" value="12"/></item>
+</group>
+<group name="SLICEL_G">
+<item name="A1" rev="9">
+<attrib name="value" value="9"/></item>
+<item name="A2" rev="9">
+<attrib name="value" value="1"/></item>
+<item name="A3" rev="9">
+<attrib name="value" value="1"/></item>
+<item name="A4" rev="9">
+<attrib name="value" value="1"/></item>
+<item name="D" rev="9">
+<attrib name="value" value="9"/></item>
+</group>
+<group name="SLICEL_GNDF">
+<item name="0" rev="9">
+<attrib name="value" value="1"/></item>
+</group>
+<group name="SLICEL_GNDG">
+<item name="0" rev="7">
+<attrib name="value" value="7"/></item>
+</group>
+</ReportPinData>
+<CmdHistory>
+</CmdHistory>
+</DeviceUsageSummary>
diff --git a/led_xst.xrpt b/led_xst.xrpt
index 799a43f..dedbc1c 100644
--- a/led_xst.xrpt
+++ b/led_xst.xrpt
@@ -5,7 +5,7 @@
The structure and the elements are likely to change over the next few releases.
This means code written to parse this file will need to be revisited each subsequent release.-->
- <application stringID="Xst" timeStamp="Sun Feb 19 23:15:31 2017">
+ <application stringID="Xst" timeStamp="Tue Feb 21 22:10:13 2017">
<section stringID="User_Env">
<table stringID="User_EnvVar">
<column stringID="variable"/>
@@ -27,10 +27,14 @@
<item stringID="value" value="/opt/Xilinx/14.7/ISE_DS/ISE/"/>
</row>
<row stringID="row" value="4">
+ <item stringID="variable" value="XIL_MAP_LOCWARN"/>
+ <item stringID="value" value="1"/>
+ </row>
+ <row stringID="row" value="5">
<item stringID="variable" value="XILINX_EDK"/>
<item stringID="value" value="/opt/Xilinx/14.7/ISE_DS/EDK"/>
</row>
- <row stringID="row" value="5">
+ <row stringID="row" value="6">
<item stringID="variable" value="PATH"/>
<item stringID="value" value="/opt/Xilinx/14.7/ISE_DS/ISE//bin/lin64:/opt/Xilinx/14.7/ISE_DS/ISE/bin/lin64:/opt/Xilinx/14.7/ISE_DS/ISE/sysgen/util:/opt/Xilinx/14.7/ISE_DS/ISE/../../../DocNav:/opt/Xilinx/14.7/ISE_DS/PlanAhead/bin:/opt/Xilinx/14.7/ISE_DS/EDK/bin/lin64:/opt/Xilinx/14.7/ISE_DS/EDK/gnu/microblaze/lin/bin:/opt/Xilinx/14.7/ISE_DS/EDK/gnu/powerpc-eabi/lin/bin:/opt/Xilinx/14.7/ISE_DS/EDK/gnu/arm/lin/bin:/opt/Xilinx/14.7/ISE_DS/EDK/gnu/microblaze/linux_toolchain/lin64_be/bin:/opt/Xilinx/14.7/ISE_DS/EDK/gnu/microblaze/linux_toolchain/lin64_le/bin:/opt/Xilinx/14.7/ISE_DS/common/bin/lin64:/usr/local/sbin:/usr/local/bin:/usr/bin:/usr/lib/jvm/default/bin:/usr/bin/site_perl:/usr/bin/vendor_perl:/usr/bin/core_perl"/>
</row>
@@ -51,63 +55,124 @@
</section>
<section stringID="XST_OPTION_SUMMARY">
<item DEFAULT="" label="-ifn" stringID="XST_IFN" value="led.prj"/>
- <item DEFAULT="MIXED" label="-ifmt" stringID="XST_IFMT" value="mixed"/>
<item DEFAULT="" label="-ofn" stringID="XST_OFN" value="led"/>
<item DEFAULT="NGC" label="-ofmt" stringID="XST_OFMT" value="NGC"/>
- <item DEFAULT="" label="-p" stringID="XST_P" value="xc3s250e-4-vq100"/>
+ <item DEFAULT="" label="-p" stringID="XST_P" value="xc6slx9-2-tqg144"/>
<item DEFAULT="" label="-top" stringID="XST_TOP" value="led"/>
- <item DEFAULT="SPEED" label="-opt_mode" stringID="XST_OPTMODE" value="Speed"/>
+ <item DEFAULT="Speed" label="-opt_mode" stringID="XST_OPTMODE" value="Speed"/>
<item DEFAULT="1" label="-opt_level" stringID="XST_OPTLEVEL" value="1"/>
- <item DEFAULT="NO" label="-iuc" stringID="XST_IUC" value="NO"/>
- <item DEFAULT="NO" label="-keep_hierarchy" stringID="XST_KEEPHIERARCHY" value="No"/>
- <item DEFAULT="as_optimized" label="-netlist_hierarchy" stringID="XST_NETLISTHIERARCHY" value="As_Optimized"/>
- <item DEFAULT="NO" label="-rtlview" stringID="XST_RTLVIEW" value="Yes"/>
- <item DEFAULT="ALLCLOCKNETS" label="-glob_opt" stringID="XST_GLOBOPT" value="AllClockNets"/>
- <item DEFAULT="YES" label="-read_cores" stringID="XST_READCORES" value="YES"/>
- <item DEFAULT="NO" label="-write_timing_constraints" stringID="XST_WRITETIMINGCONSTRAINTS" value="NO"/>
- <item DEFAULT="NO" label="-cross_clock_analysis" stringID="XST_CROSSCLOCKANALYSIS" value="NO"/>
+ <item DEFAULT="No" label="-power" stringID="XST_POWER" value="NO"/>
+ <item DEFAULT="No" label="-iuc" stringID="XST_IUC" value="NO"/>
+ <item DEFAULT="No" label="-keep_hierarchy" stringID="XST_KEEPHIERARCHY" value="No"/>
+ <item DEFAULT="As_Optimized" label="-netlist_hierarchy" stringID="XST_NETLISTHIERARCHY" value="As_Optimized"/>
+ <item DEFAULT="No" label="-rtlview" stringID="XST_RTLVIEW" value="Yes"/>
+ <item DEFAULT="AllClockNets" label="-glob_opt" stringID="XST_GLOBOPT" value="AllClockNets"/>
+ <item DEFAULT="Yes" label="-read_cores" stringID="XST_READCORES" value="YES"/>
+ <item DEFAULT="No" label="-write_timing_constraints" stringID="XST_WRITETIMINGCONSTRAINTS" value="NO"/>
+ <item DEFAULT="No" label="-cross_clock_analysis" stringID="XST_CROSSCLOCKANALYSIS" value="NO"/>
<item DEFAULT="/" stringID="XST_HIERARCHYSEPARATOR" value="/"/>
<item DEFAULT="&lt;>" label="-bus_delimiter" stringID="XST_BUSDELIMITER" value="&lt;>"/>
- <item DEFAULT="MAINTAIN" stringID="XST_CASE" value="Maintain"/>
- <item DEFAULT="100%" label="-slice_utilization_ratio" stringID="XST_SLICEUTILIZATIONRATIO" value="100"/>
- <item DEFAULT="100%" label="-bram_utilization_ratio" stringID="XST_BRAMUTILIZATIONRATIO" value="100"/>
- <item DEFAULT="YES" label="-verilog2001" stringID="XST_VERILOG2001" value="YES"/>
- <item DEFAULT="YES" label="-fsm_extract" stringID="XST_FSMEXTRACT" value="YES"/>
- <item DEFAULT="AUTO" label="-fsm_encoding" stringID="XST_FSMENCODING" value="Auto"/>
- <item DEFAULT="NO" label="-safe_implementation" stringID="XST_SAFEIMPLEMENTATION" value="No"/>
+ <item DEFAULT="Maintain" stringID="XST_CASE" value="Maintain"/>
+ <item DEFAULT="100" label="-slice_utilization_ratio" stringID="XST_SLICEUTILIZATIONRATIO" value="100"/>
+ <item DEFAULT="100" label="-bram_utilization_ratio" stringID="XST_BRAMUTILIZATIONRATIO" value="100"/>
+ <item DEFAULT="100" label="-dsp_utilization_ratio" stringID="XST_DSPUTILIZATIONRATIO" value="100"/>
+ <item DEFAULT="Auto" stringID="XST_LC" value="Auto"/>
+ <item DEFAULT="Auto" label="-reduce_control_sets" stringID="XST_REDUCECONTROLSETS" value="Auto"/>
+ <item DEFAULT="Yes" label="-fsm_extract" stringID="XST_FSMEXTRACT" value="YES"/>
+ <item DEFAULT="Auto" label="-fsm_encoding" stringID="XST_FSMENCODING" value="Auto"/>
+ <item DEFAULT="No" label="-safe_implementation" stringID="XST_SAFEIMPLEMENTATION" value="No"/>
<item DEFAULT="LUT" label="-fsm_style" stringID="XST_FSMSTYLE" value="LUT"/>
- <item DEFAULT="YES" label="-ram_extract" stringID="XST_RAMEXTRACT" value="Yes"/>
- <item DEFAULT="AUTO" label="-ram_style" stringID="XST_RAMSTYLE" value="Auto"/>
- <item DEFAULT="YES" label="-rom_extract" stringID="XST_ROMEXTRACT" value="Yes"/>
- <item DEFAULT="AUTO" stringID="XST_MUXSTYLE" value="Auto"/>
- <item DEFAULT="NO" stringID="XST_DECODEREXTRACT" value="YES"/>
- <item DEFAULT="NO" stringID="XST_PRIORITYEXTRACT" value="Yes"/>
- <item DEFAULT="YES" label="-shreg_extract" stringID="XST_SHREGEXTRACT" value="YES"/>
- <item DEFAULT="YES" stringID="XST_SHIFTEXTRACT" value="YES"/>
- <item DEFAULT="YES" stringID="XST_XORCOLLAPSE" value="YES"/>
- <item DEFAULT="AUTO" label="-rom_style" stringID="XST_ROMSTYLE" value="Auto"/>
- <item DEFAULT="NO" label="-auto_bram_packing" stringID="XST_AUTOBRAMPACKING" value="NO"/>
- <item DEFAULT="YES" stringID="XST_MUXEXTRACT" value="Yes"/>
- <item DEFAULT="YES" label="-resource_sharing" stringID="XST_RESOURCESHARING" value="YES"/>
- <item DEFAULT="NO" label="-async_to_sync" stringID="XST_ASYNCTOSYNC" value="NO"/>
- <item DEFAULT="AUTO" label="-mult_style" stringID="XST_MULTSTYLE" value="Auto"/>
- <item DEFAULT="YES" label="-iobuf" stringID="XST_IOBUF" value="YES"/>
- <item DEFAULT="500" label="-max_fanout" stringID="XST_MAXFANOUT" value="500"/>
- <item DEFAULT="24" label="-bufg" stringID="XST_BUFG" value="24"/>
- <item DEFAULT="YES" label="-register_duplication" stringID="XST_REGISTERDUPLICATION" value="YES"/>
- <item DEFAULT="NO" label="-register_balancing" stringID="XST_REGISTERBALANCING" value="No"/>
- <item DEFAULT="YES" stringID="XST_SLICEPACKING" value="YES"/>
- <item DEFAULT="NO" label="-optimize_primitives" stringID="XST_OPTIMIZEPRIMITIVES" value="NO"/>
- <item DEFAULT="YES" label="-use_clock_enable" stringID="XST_USECLOCKENABLE" value="Yes"/>
- <item DEFAULT="YES" label="-use_sync_set" stringID="XST_USESYNCSET" value="Yes"/>
- <item DEFAULT="YES" label="-use_sync_reset" stringID="XST_USESYNCRESET" value="Yes"/>
- <item DEFAULT="AUTO" label="-iob" stringID="XST_IOB" value="Auto"/>
- <item DEFAULT="YES" label="-equivalent_register_removal" stringID="XST_EQUIVALENTREGISTERREMOVAL" value="YES"/>
- <item DEFAULT="0%" label="-slice_utilization_ratio_maxmargin" stringID="XST_SLICEUTILIZATIONRATIOMAXMARGIN" value="5"/>
+ <item DEFAULT="Yes" label="-ram_extract" stringID="XST_RAMEXTRACT" value="Yes"/>
+ <item DEFAULT="Auto" label="-ram_style" stringID="XST_RAMSTYLE" value="Auto"/>
+ <item DEFAULT="Yes" label="-rom_extract" stringID="XST_ROMEXTRACT" value="Yes"/>
+ <item DEFAULT="Yes" label="-shreg_extract" stringID="XST_SHREGEXTRACT" value="YES"/>
+ <item DEFAULT="Auto" label="-rom_style" stringID="XST_ROMSTYLE" value="Auto"/>
+ <item DEFAULT="No" label="-auto_bram_packing" stringID="XST_AUTOBRAMPACKING" value="NO"/>
+ <item DEFAULT="Yes" label="-resource_sharing" stringID="XST_RESOURCESHARING" value="YES"/>
+ <item DEFAULT="No" label="-async_to_sync" stringID="XST_ASYNCTOSYNC" value="NO"/>
+ <item DEFAULT="2" stringID="XST_SHREGMINSIZE" value="2"/>
+ <item DEFAULT="Auto" label="-use_dsp48" stringID="XST_USEDSP48" value="Auto"/>
+ <item DEFAULT="Yes" label="-iobuf" stringID="XST_IOBUF" value="YES"/>
+ <item DEFAULT="100000" label="-max_fanout" stringID="XST_MAXFANOUT" value="100000"/>
+ <item DEFAULT="16" label="-bufg" stringID="XST_BUFG" value="16"/>
+ <item DEFAULT="Yes" label="-register_duplication" stringID="XST_REGISTERDUPLICATION" value="YES"/>
+ <item DEFAULT="No" label="-register_balancing" stringID="XST_REGISTERBALANCING" value="No"/>
+ <item DEFAULT="No" label="-optimize_primitives" stringID="XST_OPTIMIZEPRIMITIVES" value="NO"/>
+ <item DEFAULT="Auto" label="-use_clock_enable" stringID="XST_USECLOCKENABLE" value="Auto"/>
+ <item DEFAULT="Auto" label="-use_sync_set" stringID="XST_USESYNCSET" value="Auto"/>
+ <item DEFAULT="Auto" label="-use_sync_reset" stringID="XST_USESYNCRESET" value="Auto"/>
+ <item DEFAULT="Auto" label="-iob" stringID="XST_IOB" value="Auto"/>
+ <item DEFAULT="Yes" label="-equivalent_register_removal" stringID="XST_EQUIVALENTREGISTERREMOVAL" value="YES"/>
+ <item DEFAULT="0" label="-slice_utilization_ratio_maxmargin" stringID="XST_SLICEUTILIZATIONRATIOMAXMARGIN" value="5"/>
+ </section>
+ <section stringID="XST_HDL_SYNTHESIS_REPORT">
+ <item dataType="int" stringID="XST_ADDERSSUBTRACTORS" value="1"></item>
+ <item dataType="int" stringID="XST_REGISTERS" value="2">
+ <item dataType="int" stringID="XST_1BIT_REGISTER" value="1"/>
+ </item>
+ </section>
+ <section stringID="XST_ADVANCED_HDL_SYNTHESIS_REPORT">
+ <item dataType="int" stringID="XST_COUNTERS" value="1"></item>
+ <item dataType="int" stringID="XST_REGISTERS" value="1">
+ <item dataType="int" stringID="XST_FLIPFLOPS" value="1"/>
+ </item>
+ </section>
+ <section stringID="XST_FINAL_REGISTER_REPORT">
+ <item dataType="int" stringID="XST_REGISTERS" value="25">
+ <item dataType="int" stringID="XST_FLIPFLOPS" value="25"/>
+ </item>
+ </section>
+ <section stringID="XST_PARTITION_REPORT">
+ <section stringID="XST_PARTITION_IMPLEMENTATION_STATUS">
+ <section stringID="XST_NO_PARTITIONS_WERE_FOUND_IN_THIS_DESIGN"/>
+ </section>
+ </section>
+ <section stringID="XST_DESIGN_SUMMARY">
+ <section stringID="XST_">
+ <item stringID="XST_TOP_LEVEL_OUTPUT_FILE_NAME" value="led.ngc"/>
+ </section>
+ <section stringID="XST_PRIMITIVE_AND_BLACK_BOX_USAGE">
+ <item dataType="int" stringID="XST_BELS" value="106">
+ <item dataType="int" stringID="XST_GND" value="1"/>
+ <item dataType="int" stringID="XST_INV" value="23"/>
+ <item dataType="int" stringID="XST_LUT1" value="1"/>
+ <item dataType="int" stringID="XST_LUT5" value="25"/>
+ <item dataType="int" stringID="XST_LUT6" value="8"/>
+ <item dataType="int" stringID="XST_MUXCY" value="23"/>
+ <item dataType="int" stringID="XST_VCC" value="1"/>
+ <item dataType="int" stringID="XST_XORCY" value="24"/>
+ </item>
+ <item dataType="int" stringID="XST_FLIPFLOPSLATCHES" value="25">
+ <item dataType="int" stringID="XST_FD" value="25"/>
+ </item>
+ <item dataType="int" stringID="XST_CLOCK_BUFFERS" value="1">
+ <item dataType="int" stringID="XST_BUFGP" value="1"/>
+ </item>
+ <item dataType="int" stringID="XST_IO_BUFFERS" value="1">
+ <item dataType="int" stringID="XST_OBUF" value="1"/>
+ </item>
+ </section>
+ </section>
+ <section stringID="XST_DEVICE_UTILIZATION_SUMMARY">
+ <item stringID="XST_SELECTED_DEVICE" value="6slx9tqg144-2"/>
+ <item AVAILABLE="11440" dataType="int" label="Number of Slice Registers" stringID="XST_NUMBER_OF_SLICE_REGISTERS" value="25"/>
+ <item AVAILABLE="5720" dataType="int" label="Number of Slice LUTs" stringID="XST_NUMBER_OF_SLICE_LUTS" value="57"/>
+ <item AVAILABLE="5720" dataType="int" label="Number used as Logic" stringID="XST_NUMBER_USED_AS_LOGIC" value="57"/>
+ <item dataType="int" label="Number of LUT Flip Flop pairs used" stringID="XST_NUMBER_OF_LUT_FLIP_FLOP_PAIRS_USED" value="57"/>
+ <item AVAILABLE="57" dataType="int" label="Number with an unused Flip Flop" stringID="XST_NUMBER_WITH_AN_UNUSED_FLIP_FLOP" value="32"/>
+ <item AVAILABLE="57" dataType="int" label="Number with an unused LUT" stringID="XST_NUMBER_WITH_AN_UNUSED_LUT" value="0"/>
+ <item AVAILABLE="57" dataType="int" label="Number of fully used LUT-FF pairs" stringID="XST_NUMBER_OF_FULLY_USED_LUTFF_PAIRS" value="25"/>
+ <item dataType="int" label="Number of unique control sets" stringID="XST_NUMBER_OF_UNIQUE_CONTROL_SETS" value="1"/>
+ <item dataType="int" label="Number of IOs" stringID="XST_NUMBER_OF_IOS" value="2"/>
+ <item AVAILABLE="102" dataType="int" label="Number of bonded IOBs" stringID="XST_NUMBER_OF_BONDED_IOBS" value="2"/>
+ <item AVAILABLE="16" dataType="int" label="Number of BUFG/BUFGCTRLs" stringID="XST_NUMBER_OF_BUFGBUFGCTRLS" value="1"/>
+ </section>
+ <section stringID="XST_PARTITION_RESOURCE_SUMMARY">
+ <section stringID="XST_NO_PARTITIONS_WERE_FOUND_IN_THIS_DESIGN"/>
</section>
<section stringID="XST_ERRORS_STATISTICS">
- <item dataType="int" filtered="0" stringID="XST_NUMBER_OF_ERRORS" value="1"/>
- <item dataType="int" filtered="0" stringID="XST_NUMBER_OF_WARNINGS" value="0"/>
+ <item dataType="int" filtered="0" stringID="XST_NUMBER_OF_ERRORS" value="0"/>
+ <item dataType="int" filtered="0" stringID="XST_NUMBER_OF_WARNINGS" value="3"/>
<item dataType="int" filtered="0" stringID="XST_NUMBER_OF_INFOS" value="0"/>
</section>
</application>
diff --git a/pa.fromHdl.tcl b/pa.fromHdl.tcl
new file mode 100644
index 0000000..3ee492f
--- /dev/null
+++ b/pa.fromHdl.tcl
@@ -0,0 +1,13 @@
+
+# PlanAhead Launch Script for Pre-Synthesis Floorplanning, created by Project Navigator
+
+create_project -name FPGA-led-lights -dir "/home/yannherklotz/Github/FPGA-led-lights/planAhead_run_2" -part xc3s250evq100-4
+set_param project.pinAheadLayout yes
+set srcset [get_property srcset [current_run -impl]]
+set_property target_constrs_file "/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf" [current_fileset -constrset]
+set hdlfile [add_files [list {led.v}]]
+set_property file_type Verilog $hdlfile
+set_property library work $hdlfile
+set_property top led $srcset
+add_files [list {/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf}] -fileset [get_property constrset [current_run]]
+open_rtl_design -part xc3s250evq100-4
diff --git a/pa.fromNcd.tcl b/pa.fromNcd.tcl
new file mode 100644
index 0000000..f862f3a
--- /dev/null
+++ b/pa.fromNcd.tcl
@@ -0,0 +1,15 @@
+
+# PlanAhead Launch Script for Post PAR Floorplanning, created by Project Navigator
+
+create_project -name FPGA-led-lights -dir "/home/yannherklotz/Github/FPGA-led-lights/planAhead_run_4" -part xc6slx9tqg144-2
+set srcset [get_property srcset [current_run -impl]]
+set_property design_mode GateLvl $srcset
+set_property edif_top_file "/home/yannherklotz/Github/FPGA-led-lights/led.ngc" [ get_property srcset [ current_run ] ]
+add_files -norecurse { {/home/yannherklotz/Github/FPGA-led-lights} }
+set_property target_constrs_file "/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf" [current_fileset -constrset]
+add_files [list {/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf}] -fileset [get_property constrset [current_run]]
+link_design
+read_xdl -file "/home/yannherklotz/Github/FPGA-led-lights/led.ncd"
+if {[catch {read_twx -name results_1 -file "/home/yannherklotz/Github/FPGA-led-lights/led.twx"} eInfo]} {
+ puts "WARNING: there was a problem importing \"/home/yannherklotz/Github/FPGA-led-lights/led.twx\": $eInfo"
+}
diff --git a/pa.fromNetlist.tcl b/pa.fromNetlist.tcl
new file mode 100644
index 0000000..1d59b15
--- /dev/null
+++ b/pa.fromNetlist.tcl
@@ -0,0 +1,11 @@
+
+# PlanAhead Launch Script for Post-Synthesis pin planning, created by Project Navigator
+
+create_project -name FPGA-led-lights -dir "/home/yannherklotz/Github/FPGA-led-lights/planAhead_run_3" -part xc6slx9tqg144-2
+set_property design_mode GateLvl [get_property srcset [current_run -impl]]
+set_property edif_top_file "/home/yannherklotz/Github/FPGA-led-lights/led.ngc" [ get_property srcset [ current_run ] ]
+add_files -norecurse { {/home/yannherklotz/Github/FPGA-led-lights} }
+set_param project.pinAheadLayout yes
+set_property target_constrs_file "/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf" [current_fileset -constrset]
+add_files [list {/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf}] -fileset [get_property constrset [current_run]]
+link_design
diff --git a/par_usage_statistics.html b/par_usage_statistics.html
new file mode 100644
index 0000000..8378d6c
--- /dev/null
+++ b/par_usage_statistics.html
@@ -0,0 +1,32 @@
+<TABLE BORDER CELLSPACING=0 WIDTH='100%'>
+<xtag-section name="ParStatistics">
+<TR ALIGN=CENTER BGCOLOR='#99CCFF'><TD COLSPAN=1><B>Par Statistics</B></TD></TR>
+<TR><TD><xtag-par-property-name>Total Non-vccgnd Signals</xtag-par-property-name>=<xtag-par-property-value>64</xtag-par-property-value></TD></TR>
+<TR><TD><xtag-par-property-name>Total Non-vccgnd Design Pins</xtag-par-property-name>=<xtag-par-property-value>212</xtag-par-property-value></TD></TR>
+<TR><TD><xtag-par-property-name>Total Non-vccgnd Conns</xtag-par-property-name>=<xtag-par-property-value>212</xtag-par-property-value></TD></TR>
+<TR><TD><xtag-par-property-name>Total Non-vccgnd Timing Constrained Conns</xtag-par-property-name>=<xtag-par-property-value>211</xtag-par-property-value></TD></TR>
+<TR><TD><xtag-par-property-name>Phase 1 CPU</xtag-par-property-name>=<xtag-par-property-value>2.9 sec</xtag-par-property-value></TD></TR>
+<TR><TD><xtag-par-property-name>Phase 2 CPU</xtag-par-property-name>=<xtag-par-property-value>3.0 sec</xtag-par-property-value></TD></TR>
+<TR><TD><xtag-par-property-name>Phase 3 CPU</xtag-par-property-name>=<xtag-par-property-value>3.1 sec</xtag-par-property-value></TD></TR>
+<TR><TD><xtag-par-property-name>Phase 4 CPU</xtag-par-property-name>=<xtag-par-property-value>3.3 sec</xtag-par-property-value></TD></TR>
+<TR><TD><xtag-par-property-name>Phase 5 CPU</xtag-par-property-name>=<xtag-par-property-value>3.4 sec</xtag-par-property-value></TD></TR>
+<TR><TD><xtag-par-property-name>Phase 6 CPU</xtag-par-property-name>=<xtag-par-property-value>3.4 sec</xtag-par-property-value></TD></TR>
+<TR><TD><xtag-par-property-name>Phase 7 CPU</xtag-par-property-name>=<xtag-par-property-value>3.4 sec</xtag-par-property-value></TD></TR>
+<TR><TD><xtag-par-property-name>Phase 8 CPU</xtag-par-property-name>=<xtag-par-property-value>3.4 sec</xtag-par-property-value></TD></TR>
+<TR><TD><xtag-par-property-name>Phase 9 CPU</xtag-par-property-name>=<xtag-par-property-value>3.4 sec</xtag-par-property-value></TD></TR>
+<TR><TD><xtag-par-property-name>Phase 10 CPU</xtag-par-property-name>=<xtag-par-property-value>3.4 sec</xtag-par-property-value></TD></TR>
+<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 1</xtag-par-property-name>=<xtag-par-property-value>0.0</xtag-par-property-value></TD></TR>
+<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 2</xtag-par-property-name>=<xtag-par-property-value>13.0</xtag-par-property-value></TD></TR>
+<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 3</xtag-par-property-name>=<xtag-par-property-value>1.3</xtag-par-property-value></TD></TR>
+<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 4</xtag-par-property-name>=<xtag-par-property-value>0.0</xtag-par-property-value></TD></TR>
+<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 10</xtag-par-property-name>=<xtag-par-property-value>4.2</xtag-par-property-value></TD></TR>
+<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 50</xtag-par-property-name>=<xtag-par-property-value>0.5</xtag-par-property-value></TD></TR>
+<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 100</xtag-par-property-name>=<xtag-par-property-value>0.0</xtag-par-property-value></TD></TR>
+<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 500</xtag-par-property-name>=<xtag-par-property-value>0.0</xtag-par-property-value></TD></TR>
+<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 5000</xtag-par-property-name>=<xtag-par-property-value>0.0</xtag-par-property-value></TD></TR>
+<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 20000</xtag-par-property-name>=<xtag-par-property-value>0.0</xtag-par-property-value></TD></TR>
+<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 50000</xtag-par-property-name>=<xtag-par-property-value>0.0</xtag-par-property-value></TD></TR>
+<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 100000</xtag-par-property-name>=<xtag-par-property-value>0.0</xtag-par-property-value></TD></TR>
+<TR><TD><xtag-par-property-name>IRR Gamma</xtag-par-property-name>=<xtag-par-property-value>1.0237</xtag-par-property-value></TD></TR>
+</xtag-section>
+</TABLE>
diff --git a/planAhead.ngc2edif.log b/planAhead.ngc2edif.log
new file mode 100644
index 0000000..8f1603c
--- /dev/null
+++ b/planAhead.ngc2edif.log
@@ -0,0 +1,33 @@
+Release 14.7 - ngc2edif P.20131013 (lin64)
+Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved.
+Reading design led.ngc ...
+WARNING:NetListWriters:298 - No output is written to led.xncf, ignored.
+Processing design ...
+ Preping design's networks ...
+ Preping design's macros ...
+ finished :Prep
+Writing EDIF netlist file led.edif ...
+ngc2edif: Total memory usage is 103520 kilobytes
+
+Release 14.7 - ngc2edif P.20131013 (lin64)
+Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved.
+Reading design led.ngc ...
+WARNING:NetListWriters:298 - No output is written to led.xncf, ignored.
+Processing design ...
+ Preping design's networks ...
+ Preping design's macros ...
+ finished :Prep
+Writing EDIF netlist file led.edif ...
+ngc2edif: Total memory usage is 103532 kilobytes
+
+Release 14.7 - ngc2edif P.20131013 (lin64)
+Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved.
+Reading design led.ngc ...
+WARNING:NetListWriters:298 - No output is written to led.xncf, ignored.
+Processing design ...
+ Preping design's networks ...
+ Preping design's macros ...
+ finished :Prep
+Writing EDIF netlist file led.edif ...
+ngc2edif: Total memory usage is 103540 kilobytes
+
diff --git a/planAhead_pid24048.debug b/planAhead_pid24048.debug
new file mode 100644
index 0000000..ad75ce3
--- /dev/null
+++ b/planAhead_pid24048.debug
@@ -0,0 +1,90 @@
+#-------------------------------------------------------------------------------
+# PlanAhead v14.7 (64-bit)
+# Build 321239 by xbuild on Fri Sep 27 19:24:36 MDT 2013
+# Current time: 2/21/17 9:46:53 PM
+# Process ID: 24048
+# Platform: Unix
+#
+# This file is an indication that an internal application error occurred.
+# This information is useful for debugging. Please open a case with Xilinx
+# Technical Support with this file and a testcase attached.
+#-------------------------------------------------------------------------------
+2/21/17 9:46:53 PM
+ui.h.b: Found deleted key in HTclEventBroker. Verify if the classes listed here call cleanup()
+HTclEvent: SIGNAL_MODIFY Classes: ui.views.aR
+HTclEvent: DEBUG_PORT_CONFIG_CHANGE Classes: ui.views.aR
+HTclEvent: DEBUG_CORE_CONFIG_CHANGE Classes: ui.views.aR
+HTclEvent: SIGNAL_BUS_MODIFY Classes: ui.views.aR
+
+ at ui.h.e.CF(SourceFile:217)
+ at ui.h.I.CF(SourceFile:702)
+ at ui.frmwork.HTclEventBroker.a(SourceFile:368)
+ at ui.frmwork.HTclEventBroker.bb(SourceFile:354)
+ at ui.project.a.een(SourceFile:759)
+ at ui.project.a.cleanup(SourceFile:608)
+ at ui.project.r.cleanup(SourceFile:631)
+ at ui.PlanAhead.aJj(SourceFile:335)
+ at ui.PlanAhead.a(SourceFile:1192)
+ at ui.frmwork.a.i.c(SourceFile:35)
+ at ui.frmwork.HTclEventBroker.a(SourceFile:233)
+ at ui.frmwork.HTclEventBroker.fireTclEvent(SourceFile:325)
+ at ui.frmwork.tcltasksi.task_manager_eval_in_tcl_or_bad_alloc(Native Method)
+ at ui.e.gY(SourceFile:195)
+ at ui.bl.run(SourceFile:882)
+ at ui.cd.run(SourceFile:1821)
+ at ui.views.F.aw.a(SourceFile:341)
+ at ui.cd.b(SourceFile:1809)
+ at ui.cd.a(SourceFile:1784)
+ at ui.PlanAhead.a(SourceFile:778)
+ at ui.aL.c(SourceFile:885)
+ at ui.aL.aHs(SourceFile:824)
+ at ui.bk.windowClosing(SourceFile:503)
+ at java.awt.AWTEventMulticaster.windowClosing(AWTEventMulticaster.java:350)
+ at java.awt.AWTEventMulticaster.windowClosing(AWTEventMulticaster.java:349)
+ at java.awt.AWTEventMulticaster.windowClosing(AWTEventMulticaster.java:349)
+ at java.awt.AWTEventMulticaster.windowClosing(AWTEventMulticaster.java:349)
+ at java.awt.AWTEventMulticaster.windowClosing(AWTEventMulticaster.java:349)
+ at java.awt.AWTEventMulticaster.windowClosing(AWTEventMulticaster.java:349)
+ at java.awt.AWTEventMulticaster.windowClosing(AWTEventMulticaster.java:349)
+ at java.awt.AWTEventMulticaster.windowClosing(AWTEventMulticaster.java:349)
+ at java.awt.AWTEventMulticaster.windowClosing(AWTEventMulticaster.java:349)
+ at java.awt.AWTEventMulticaster.windowClosing(AWTEventMulticaster.java:349)
+ at java.awt.AWTEventMulticaster.windowClosing(AWTEventMulticaster.java:349)
+ at java.awt.AWTEventMulticaster.windowClosing(AWTEventMulticaster.java:349)
+ at java.awt.AWTEventMulticaster.windowClosing(AWTEventMulticaster.java:349)
+ at java.awt.AWTEventMulticaster.windowClosing(AWTEventMulticaster.java:349)
+ at java.awt.AWTEventMulticaster.windowClosing(AWTEventMulticaster.java:349)
+ at java.awt.AWTEventMulticaster.windowClosing(AWTEventMulticaster.java:349)
+ at java.awt.AWTEventMulticaster.windowClosing(AWTEventMulticaster.java:349)
+ at java.awt.AWTEventMulticaster.windowClosing(AWTEventMulticaster.java:349)
+ at java.awt.AWTEventMulticaster.windowClosing(AWTEventMulticaster.java:349)
+ at java.awt.AWTEventMulticaster.windowClosing(AWTEventMulticaster.java:349)
+ at java.awt.AWTEventMulticaster.windowClosing(AWTEventMulticaster.java:349)
+ at java.awt.Window.processWindowEvent(Window.java:2051)
+ at javax.swing.JFrame.processWindowEvent(JFrame.java:296)
+ at java.awt.Window.processEvent(Window.java:2009)
+ at ui.aL.processEvent(SourceFile:1214)
+ at java.awt.Component.dispatchEventImpl(Component.java:4861)
+ at java.awt.Container.dispatchEventImpl(Container.java:2287)
+ at java.awt.Window.dispatchEventImpl(Window.java:2719)
+ at java.awt.Component.dispatchEvent(Component.java:4687)
+ at java.awt.EventQueue.dispatchEventImpl(EventQueue.java:729)
+ at java.awt.EventQueue.access$200(EventQueue.java:103)
+ at java.awt.EventQueue$3.run(EventQueue.java:688)
+ at java.awt.EventQueue$3.run(EventQueue.java:686)
+ at java.security.AccessController.doPrivileged(Native Method)
+ at java.security.ProtectionDomain$1.doIntersectionPrivilege(ProtectionDomain.java:76)
+ at java.security.ProtectionDomain$1.doIntersectionPrivilege(ProtectionDomain.java:87)
+ at java.awt.EventQueue$4.run(EventQueue.java:702)
+ at java.awt.EventQueue$4.run(EventQueue.java:700)
+ at java.security.AccessController.doPrivileged(Native Method)
+ at java.security.ProtectionDomain$1.doIntersectionPrivilege(ProtectionDomain.java:76)
+ at java.awt.EventQueue.dispatchEvent(EventQueue.java:699)
+ at ui.frmwork.a.e.dispatchEvent(SourceFile:73)
+ at java.awt.EventDispatchThread.pumpOneEventForFilters(EventDispatchThread.java:242)
+ at java.awt.EventDispatchThread.pumpEventsForFilter(EventDispatchThread.java:161)
+ at java.awt.EventDispatchThread.pumpEventsForHierarchy(EventDispatchThread.java:150)
+ at java.awt.EventDispatchThread.pumpEvents(EventDispatchThread.java:146)
+ at java.awt.EventDispatchThread.pumpEvents(EventDispatchThread.java:138)
+ at java.awt.EventDispatchThread.run(EventDispatchThread.java:91)
+
diff --git a/planAhead_pid24218.debug b/planAhead_pid24218.debug
new file mode 100644
index 0000000..b1ca267
--- /dev/null
+++ b/planAhead_pid24218.debug
@@ -0,0 +1,91 @@
+#-------------------------------------------------------------------------------
+# PlanAhead v14.7 (64-bit)
+# Build 321239 by xbuild on Fri Sep 27 19:24:36 MDT 2013
+# Current time: 2/21/17 9:53:14 PM
+# Process ID: 24218
+# Platform: Unix
+#
+# This file is an indication that an internal application error occurred.
+# This information is useful for debugging. Please open a case with Xilinx
+# Technical Support with this file and a testcase attached.
+#-------------------------------------------------------------------------------
+2/21/17 9:53:14 PM
+ui.h.b: Found deleted key in HTclEventBroker. Verify if the classes listed here call cleanup()
+HTclEvent: DEBUG_PORT_CONFIG_CHANGE Classes: ui.views.aR
+HTclEvent: SIGNAL_BUS_MODIFY Classes: ui.views.aR
+HTclEvent: SIGNAL_MODIFY Classes: ui.views.aR
+HTclEvent: DEBUG_CORE_CONFIG_CHANGE Classes: ui.views.aR
+
+ at ui.h.e.CF(SourceFile:217)
+ at ui.h.I.CF(SourceFile:702)
+ at ui.frmwork.HTclEventBroker.a(SourceFile:368)
+ at ui.frmwork.HTclEventBroker.bb(SourceFile:354)
+ at ui.project.a.een(SourceFile:759)
+ at ui.project.a.cleanup(SourceFile:608)
+ at ui.project.r.cleanup(SourceFile:631)
+ at ui.PlanAhead.aJj(SourceFile:335)
+ at ui.PlanAhead.a(SourceFile:1192)
+ at ui.frmwork.a.i.c(SourceFile:35)
+ at ui.frmwork.HTclEventBroker.a(SourceFile:233)
+ at ui.frmwork.HTclEventBroker.fireTclEvent(SourceFile:325)
+ at ui.frmwork.tcltasksi.task_manager_eval_in_tcl_or_bad_alloc(Native Method)
+ at ui.e.gY(SourceFile:195)
+ at ui.bl.run(SourceFile:882)
+ at ui.cd.run(SourceFile:1821)
+ at ui.views.F.aw.a(SourceFile:341)
+ at ui.cd.b(SourceFile:1809)
+ at ui.cd.a(SourceFile:1784)
+ at ui.PlanAhead.a(SourceFile:778)
+ at ui.aL.c(SourceFile:885)
+ at ui.aL.aHs(SourceFile:824)
+ at ui.bk.windowClosing(SourceFile:503)
+ at java.awt.AWTEventMulticaster.windowClosing(AWTEventMulticaster.java:350)
+ at java.awt.AWTEventMulticaster.windowClosing(AWTEventMulticaster.java:349)
+ at java.awt.AWTEventMulticaster.windowClosing(AWTEventMulticaster.java:349)
+ at java.awt.AWTEventMulticaster.windowClosing(AWTEventMulticaster.java:349)
+ at java.awt.AWTEventMulticaster.windowClosing(AWTEventMulticaster.java:349)
+ at java.awt.AWTEventMulticaster.windowClosing(AWTEventMulticaster.java:349)
+ at java.awt.AWTEventMulticaster.windowClosing(AWTEventMulticaster.java:349)
+ at java.awt.AWTEventMulticaster.windowClosing(AWTEventMulticaster.java:349)
+ at java.awt.AWTEventMulticaster.windowClosing(AWTEventMulticaster.java:349)
+ at java.awt.AWTEventMulticaster.windowClosing(AWTEventMulticaster.java:349)
+ at java.awt.AWTEventMulticaster.windowClosing(AWTEventMulticaster.java:349)
+ at java.awt.AWTEventMulticaster.windowClosing(AWTEventMulticaster.java:349)
+ at java.awt.AWTEventMulticaster.windowClosing(AWTEventMulticaster.java:349)
+ at java.awt.AWTEventMulticaster.windowClosing(AWTEventMulticaster.java:349)
+ at java.awt.AWTEventMulticaster.windowClosing(AWTEventMulticaster.java:349)
+ at java.awt.AWTEventMulticaster.windowClosing(AWTEventMulticaster.java:349)
+ at java.awt.AWTEventMulticaster.windowClosing(AWTEventMulticaster.java:349)
+ at java.awt.AWTEventMulticaster.windowClosing(AWTEventMulticaster.java:349)
+ at java.awt.AWTEventMulticaster.windowClosing(AWTEventMulticaster.java:349)
+ at java.awt.AWTEventMulticaster.windowClosing(AWTEventMulticaster.java:349)
+ at java.awt.AWTEventMulticaster.windowClosing(AWTEventMulticaster.java:349)
+ at java.awt.AWTEventMulticaster.windowClosing(AWTEventMulticaster.java:349)
+ at java.awt.Window.processWindowEvent(Window.java:2051)
+ at javax.swing.JFrame.processWindowEvent(JFrame.java:296)
+ at java.awt.Window.processEvent(Window.java:2009)
+ at ui.aL.processEvent(SourceFile:1214)
+ at java.awt.Component.dispatchEventImpl(Component.java:4861)
+ at java.awt.Container.dispatchEventImpl(Container.java:2287)
+ at java.awt.Window.dispatchEventImpl(Window.java:2719)
+ at java.awt.Component.dispatchEvent(Component.java:4687)
+ at java.awt.EventQueue.dispatchEventImpl(EventQueue.java:729)
+ at java.awt.EventQueue.access$200(EventQueue.java:103)
+ at java.awt.EventQueue$3.run(EventQueue.java:688)
+ at java.awt.EventQueue$3.run(EventQueue.java:686)
+ at java.security.AccessController.doPrivileged(Native Method)
+ at java.security.ProtectionDomain$1.doIntersectionPrivilege(ProtectionDomain.java:76)
+ at java.security.ProtectionDomain$1.doIntersectionPrivilege(ProtectionDomain.java:87)
+ at java.awt.EventQueue$4.run(EventQueue.java:702)
+ at java.awt.EventQueue$4.run(EventQueue.java:700)
+ at java.security.AccessController.doPrivileged(Native Method)
+ at java.security.ProtectionDomain$1.doIntersectionPrivilege(ProtectionDomain.java:76)
+ at java.awt.EventQueue.dispatchEvent(EventQueue.java:699)
+ at ui.frmwork.a.e.dispatchEvent(SourceFile:73)
+ at java.awt.EventDispatchThread.pumpOneEventForFilters(EventDispatchThread.java:242)
+ at java.awt.EventDispatchThread.pumpEventsForFilter(EventDispatchThread.java:161)
+ at java.awt.EventDispatchThread.pumpEventsForHierarchy(EventDispatchThread.java:150)
+ at java.awt.EventDispatchThread.pumpEvents(EventDispatchThread.java:146)
+ at java.awt.EventDispatchThread.pumpEvents(EventDispatchThread.java:138)
+ at java.awt.EventDispatchThread.run(EventDispatchThread.java:91)
+
diff --git a/planAhead_pid7603.debug b/planAhead_pid7603.debug
new file mode 100644
index 0000000..2e8492f
--- /dev/null
+++ b/planAhead_pid7603.debug
@@ -0,0 +1,90 @@
+#-------------------------------------------------------------------------------
+# PlanAhead v14.7 (64-bit)
+# Build 321239 by xbuild on Fri Sep 27 19:24:36 MDT 2013
+# Current time: 2/21/17 8:21:03 PM
+# Process ID: 7603
+# Platform: Unix
+#
+# This file is an indication that an internal application error occurred.
+# This information is useful for debugging. Please open a case with Xilinx
+# Technical Support with this file and a testcase attached.
+#-------------------------------------------------------------------------------
+2/21/17 8:21:03 PM
+ui.h.b: Found deleted key in HTclEventBroker. Verify if the classes listed here call cleanup()
+HTclEvent: DEBUG_PORT_CONFIG_CHANGE Classes: ui.views.aR
+HTclEvent: SIGNAL_BUS_MODIFY Classes: ui.views.aR
+HTclEvent: DEBUG_CORE_CONFIG_CHANGE Classes: ui.views.aR
+HTclEvent: SIGNAL_MODIFY Classes: ui.views.aR
+
+ at ui.h.e.CF(SourceFile:217)
+ at ui.h.I.CF(SourceFile:702)
+ at ui.frmwork.HTclEventBroker.a(SourceFile:368)
+ at ui.frmwork.HTclEventBroker.bb(SourceFile:354)
+ at ui.project.a.een(SourceFile:759)
+ at ui.project.a.cleanup(SourceFile:608)
+ at ui.project.r.cleanup(SourceFile:631)
+ at ui.PlanAhead.aJj(SourceFile:335)
+ at ui.PlanAhead.a(SourceFile:1192)
+ at ui.frmwork.a.i.c(SourceFile:35)
+ at ui.frmwork.HTclEventBroker.a(SourceFile:233)
+ at ui.frmwork.HTclEventBroker.fireTclEvent(SourceFile:325)
+ at ui.frmwork.tcltasksi.task_manager_eval_in_tcl_or_bad_alloc(Native Method)
+ at ui.e.gY(SourceFile:195)
+ at ui.bl.run(SourceFile:882)
+ at ui.cd.run(SourceFile:1821)
+ at ui.views.F.aw.a(SourceFile:341)
+ at ui.cd.b(SourceFile:1809)
+ at ui.cd.a(SourceFile:1784)
+ at ui.PlanAhead.a(SourceFile:778)
+ at ui.aL.c(SourceFile:885)
+ at ui.aL.aHs(SourceFile:824)
+ at ui.bk.windowClosing(SourceFile:503)
+ at java.awt.AWTEventMulticaster.windowClosing(AWTEventMulticaster.java:350)
+ at java.awt.AWTEventMulticaster.windowClosing(AWTEventMulticaster.java:349)
+ at java.awt.AWTEventMulticaster.windowClosing(AWTEventMulticaster.java:349)
+ at java.awt.AWTEventMulticaster.windowClosing(AWTEventMulticaster.java:349)
+ at java.awt.AWTEventMulticaster.windowClosing(AWTEventMulticaster.java:349)
+ at java.awt.AWTEventMulticaster.windowClosing(AWTEventMulticaster.java:349)
+ at java.awt.AWTEventMulticaster.windowClosing(AWTEventMulticaster.java:349)
+ at java.awt.AWTEventMulticaster.windowClosing(AWTEventMulticaster.java:349)
+ at java.awt.AWTEventMulticaster.windowClosing(AWTEventMulticaster.java:349)
+ at java.awt.AWTEventMulticaster.windowClosing(AWTEventMulticaster.java:349)
+ at java.awt.AWTEventMulticaster.windowClosing(AWTEventMulticaster.java:349)
+ at java.awt.AWTEventMulticaster.windowClosing(AWTEventMulticaster.java:349)
+ at java.awt.AWTEventMulticaster.windowClosing(AWTEventMulticaster.java:349)
+ at java.awt.AWTEventMulticaster.windowClosing(AWTEventMulticaster.java:349)
+ at java.awt.AWTEventMulticaster.windowClosing(AWTEventMulticaster.java:349)
+ at java.awt.AWTEventMulticaster.windowClosing(AWTEventMulticaster.java:349)
+ at java.awt.AWTEventMulticaster.windowClosing(AWTEventMulticaster.java:349)
+ at java.awt.AWTEventMulticaster.windowClosing(AWTEventMulticaster.java:349)
+ at java.awt.AWTEventMulticaster.windowClosing(AWTEventMulticaster.java:349)
+ at java.awt.AWTEventMulticaster.windowClosing(AWTEventMulticaster.java:349)
+ at java.awt.AWTEventMulticaster.windowClosing(AWTEventMulticaster.java:349)
+ at java.awt.Window.processWindowEvent(Window.java:2051)
+ at javax.swing.JFrame.processWindowEvent(JFrame.java:296)
+ at java.awt.Window.processEvent(Window.java:2009)
+ at ui.aL.processEvent(SourceFile:1214)
+ at java.awt.Component.dispatchEventImpl(Component.java:4861)
+ at java.awt.Container.dispatchEventImpl(Container.java:2287)
+ at java.awt.Window.dispatchEventImpl(Window.java:2719)
+ at java.awt.Component.dispatchEvent(Component.java:4687)
+ at java.awt.EventQueue.dispatchEventImpl(EventQueue.java:729)
+ at java.awt.EventQueue.access$200(EventQueue.java:103)
+ at java.awt.EventQueue$3.run(EventQueue.java:688)
+ at java.awt.EventQueue$3.run(EventQueue.java:686)
+ at java.security.AccessController.doPrivileged(Native Method)
+ at java.security.ProtectionDomain$1.doIntersectionPrivilege(ProtectionDomain.java:76)
+ at java.security.ProtectionDomain$1.doIntersectionPrivilege(ProtectionDomain.java:87)
+ at java.awt.EventQueue$4.run(EventQueue.java:702)
+ at java.awt.EventQueue$4.run(EventQueue.java:700)
+ at java.security.AccessController.doPrivileged(Native Method)
+ at java.security.ProtectionDomain$1.doIntersectionPrivilege(ProtectionDomain.java:76)
+ at java.awt.EventQueue.dispatchEvent(EventQueue.java:699)
+ at ui.frmwork.a.e.dispatchEvent(SourceFile:73)
+ at java.awt.EventDispatchThread.pumpOneEventForFilters(EventDispatchThread.java:242)
+ at java.awt.EventDispatchThread.pumpEventsForFilter(EventDispatchThread.java:161)
+ at java.awt.EventDispatchThread.pumpEventsForHierarchy(EventDispatchThread.java:150)
+ at java.awt.EventDispatchThread.pumpEvents(EventDispatchThread.java:146)
+ at java.awt.EventDispatchThread.pumpEvents(EventDispatchThread.java:138)
+ at java.awt.EventDispatchThread.run(EventDispatchThread.java:91)
+
diff --git a/planAhead_pid7744.debug b/planAhead_pid7744.debug
new file mode 100644
index 0000000..6b26846
--- /dev/null
+++ b/planAhead_pid7744.debug
@@ -0,0 +1,90 @@
+#-------------------------------------------------------------------------------
+# PlanAhead v14.7 (64-bit)
+# Build 321239 by xbuild on Fri Sep 27 19:24:36 MDT 2013
+# Current time: 2/21/17 8:24:50 PM
+# Process ID: 7744
+# Platform: Unix
+#
+# This file is an indication that an internal application error occurred.
+# This information is useful for debugging. Please open a case with Xilinx
+# Technical Support with this file and a testcase attached.
+#-------------------------------------------------------------------------------
+2/21/17 8:24:50 PM
+ui.h.b: Found deleted key in HTclEventBroker. Verify if the classes listed here call cleanup()
+HTclEvent: SIGNAL_BUS_MODIFY Classes: ui.views.aR
+HTclEvent: DEBUG_CORE_CONFIG_CHANGE Classes: ui.views.aR
+HTclEvent: DEBUG_PORT_CONFIG_CHANGE Classes: ui.views.aR
+HTclEvent: SIGNAL_MODIFY Classes: ui.views.aR
+
+ at ui.h.e.CF(SourceFile:217)
+ at ui.h.I.CF(SourceFile:702)
+ at ui.frmwork.HTclEventBroker.a(SourceFile:368)
+ at ui.frmwork.HTclEventBroker.bb(SourceFile:354)
+ at ui.project.a.een(SourceFile:759)
+ at ui.project.a.cleanup(SourceFile:608)
+ at ui.project.r.cleanup(SourceFile:631)
+ at ui.PlanAhead.aJj(SourceFile:335)
+ at ui.PlanAhead.a(SourceFile:1192)
+ at ui.frmwork.a.i.c(SourceFile:35)
+ at ui.frmwork.HTclEventBroker.a(SourceFile:233)
+ at ui.frmwork.HTclEventBroker.fireTclEvent(SourceFile:325)
+ at ui.frmwork.tcltasksi.task_manager_eval_in_tcl_or_bad_alloc(Native Method)
+ at ui.e.gY(SourceFile:195)
+ at ui.bl.run(SourceFile:882)
+ at ui.cd.run(SourceFile:1821)
+ at ui.views.F.aw.a(SourceFile:341)
+ at ui.cd.b(SourceFile:1809)
+ at ui.cd.a(SourceFile:1784)
+ at ui.PlanAhead.a(SourceFile:778)
+ at ui.aL.c(SourceFile:885)
+ at ui.aL.aHs(SourceFile:824)
+ at ui.bk.windowClosing(SourceFile:503)
+ at java.awt.AWTEventMulticaster.windowClosing(AWTEventMulticaster.java:350)
+ at java.awt.AWTEventMulticaster.windowClosing(AWTEventMulticaster.java:349)
+ at java.awt.AWTEventMulticaster.windowClosing(AWTEventMulticaster.java:349)
+ at java.awt.AWTEventMulticaster.windowClosing(AWTEventMulticaster.java:349)
+ at java.awt.AWTEventMulticaster.windowClosing(AWTEventMulticaster.java:349)
+ at java.awt.AWTEventMulticaster.windowClosing(AWTEventMulticaster.java:349)
+ at java.awt.AWTEventMulticaster.windowClosing(AWTEventMulticaster.java:349)
+ at java.awt.AWTEventMulticaster.windowClosing(AWTEventMulticaster.java:349)
+ at java.awt.AWTEventMulticaster.windowClosing(AWTEventMulticaster.java:349)
+ at java.awt.AWTEventMulticaster.windowClosing(AWTEventMulticaster.java:349)
+ at java.awt.AWTEventMulticaster.windowClosing(AWTEventMulticaster.java:349)
+ at java.awt.AWTEventMulticaster.windowClosing(AWTEventMulticaster.java:349)
+ at java.awt.AWTEventMulticaster.windowClosing(AWTEventMulticaster.java:349)
+ at java.awt.AWTEventMulticaster.windowClosing(AWTEventMulticaster.java:349)
+ at java.awt.AWTEventMulticaster.windowClosing(AWTEventMulticaster.java:349)
+ at java.awt.AWTEventMulticaster.windowClosing(AWTEventMulticaster.java:349)
+ at java.awt.AWTEventMulticaster.windowClosing(AWTEventMulticaster.java:349)
+ at java.awt.AWTEventMulticaster.windowClosing(AWTEventMulticaster.java:349)
+ at java.awt.AWTEventMulticaster.windowClosing(AWTEventMulticaster.java:349)
+ at java.awt.AWTEventMulticaster.windowClosing(AWTEventMulticaster.java:349)
+ at java.awt.AWTEventMulticaster.windowClosing(AWTEventMulticaster.java:349)
+ at java.awt.Window.processWindowEvent(Window.java:2051)
+ at javax.swing.JFrame.processWindowEvent(JFrame.java:296)
+ at java.awt.Window.processEvent(Window.java:2009)
+ at ui.aL.processEvent(SourceFile:1214)
+ at java.awt.Component.dispatchEventImpl(Component.java:4861)
+ at java.awt.Container.dispatchEventImpl(Container.java:2287)
+ at java.awt.Window.dispatchEventImpl(Window.java:2719)
+ at java.awt.Component.dispatchEvent(Component.java:4687)
+ at java.awt.EventQueue.dispatchEventImpl(EventQueue.java:729)
+ at java.awt.EventQueue.access$200(EventQueue.java:103)
+ at java.awt.EventQueue$3.run(EventQueue.java:688)
+ at java.awt.EventQueue$3.run(EventQueue.java:686)
+ at java.security.AccessController.doPrivileged(Native Method)
+ at java.security.ProtectionDomain$1.doIntersectionPrivilege(ProtectionDomain.java:76)
+ at java.security.ProtectionDomain$1.doIntersectionPrivilege(ProtectionDomain.java:87)
+ at java.awt.EventQueue$4.run(EventQueue.java:702)
+ at java.awt.EventQueue$4.run(EventQueue.java:700)
+ at java.security.AccessController.doPrivileged(Native Method)
+ at java.security.ProtectionDomain$1.doIntersectionPrivilege(ProtectionDomain.java:76)
+ at java.awt.EventQueue.dispatchEvent(EventQueue.java:699)
+ at ui.frmwork.a.e.dispatchEvent(SourceFile:73)
+ at java.awt.EventDispatchThread.pumpOneEventForFilters(EventDispatchThread.java:242)
+ at java.awt.EventDispatchThread.pumpEventsForFilter(EventDispatchThread.java:161)
+ at java.awt.EventDispatchThread.pumpEventsForHierarchy(EventDispatchThread.java:150)
+ at java.awt.EventDispatchThread.pumpEvents(EventDispatchThread.java:146)
+ at java.awt.EventDispatchThread.pumpEvents(EventDispatchThread.java:138)
+ at java.awt.EventDispatchThread.run(EventDispatchThread.java:91)
+
diff --git a/planAhead_run_1/FPGA-led-lights.data/constrs_1/fileset.xml b/planAhead_run_1/FPGA-led-lights.data/constrs_1/fileset.xml
new file mode 100644
index 0000000..27cbdc8
--- /dev/null
+++ b/planAhead_run_1/FPGA-led-lights.data/constrs_1/fileset.xml
@@ -0,0 +1,16 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<DARoots Version="1" Minor="26">
+ <FileSet Name="constrs_1" Type="Constrs" RelSrcDir="$PSRCDIR/constrs_1">
+ <Filter Type="Constrs"/>
+ <File Path="$PPRDIR/../../../Downloads/BPC3011-Papilio_Pro-general.ucf">
+ <FileInfo>
+ <Attr Name="UsedInSynthesis" Val="1"/>
+ <Attr Name="UsedInImplementation" Val="1"/>
+ </FileInfo>
+ </File>
+ <Config>
+ <Option Name="TargetConstrsFile" Val="$PPRDIR/../../../Downloads/BPC3011-Papilio_Pro-general.ucf"/>
+ <Option Name="ConstrsType" Val="UCF"/>
+ </Config>
+ </FileSet>
+</DARoots>
diff --git a/planAhead_run_1/FPGA-led-lights.data/sim_1/fileset.xml b/planAhead_run_1/FPGA-led-lights.data/sim_1/fileset.xml
new file mode 100644
index 0000000..ec597fc
--- /dev/null
+++ b/planAhead_run_1/FPGA-led-lights.data/sim_1/fileset.xml
@@ -0,0 +1,13 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<DARoots Version="1" Minor="26">
+ <FileSet Name="sim_1" Type="SimulationSrcs" RelSrcDir="$PSRCDIR/sim_1">
+ <Config>
+ <Option Name="DesignMode" Val="RTL"/>
+ <Option Name="TopModule" Val="led"/>
+ <Option Name="TopLib" Val="work"/>
+ <Option Name="TopRTLFile" Val="$PPRDIR/../led.v"/>
+ <Option Name="TopAutoSet" Val="TRUE"/>
+ <Option Name="SrcSet" Val="sources_1"/>
+ </Config>
+ </FileSet>
+</DARoots>
diff --git a/planAhead_run_1/FPGA-led-lights.data/sources_1/fileset.xml b/planAhead_run_1/FPGA-led-lights.data/sources_1/fileset.xml
new file mode 100644
index 0000000..40e913b
--- /dev/null
+++ b/planAhead_run_1/FPGA-led-lights.data/sources_1/fileset.xml
@@ -0,0 +1,17 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<DARoots Version="1" Minor="26">
+ <FileSet Name="sources_1" Type="DesignSrcs" RelSrcDir="$PSRCDIR/sources_1">
+ <Filter Type="Srcs"/>
+ <File Path="$PPRDIR/../led.v">
+ <FileInfo>
+ <Attr Name="UsedInSynthesis" Val="1"/>
+ <Attr Name="UsedInImplementation" Val="1"/>
+ <Attr Name="UsedInSimulation" Val="1"/>
+ </FileInfo>
+ </File>
+ <Config>
+ <Option Name="DesignMode" Val="RTL"/>
+ <Option Name="TopModule" Val="led"/>
+ </Config>
+ </FileSet>
+</DARoots>
diff --git a/planAhead_run_1/FPGA-led-lights.data/wt/project.wpc b/planAhead_run_1/FPGA-led-lights.data/wt/project.wpc
new file mode 100644
index 0000000..5fed558
--- /dev/null
+++ b/planAhead_run_1/FPGA-led-lights.data/wt/project.wpc
@@ -0,0 +1,4 @@
+version:1
+6d6f64655f636f756e7465727c4755494d6f6465:1
+6d6f64655f636f756e7465727c4953454d6f6465:1
+eof:
diff --git a/planAhead_run_1/FPGA-led-lights.data/wt/webtalk_pa.xml b/planAhead_run_1/FPGA-led-lights.data/wt/webtalk_pa.xml
new file mode 100644
index 0000000..828cdf0
--- /dev/null
+++ b/planAhead_run_1/FPGA-led-lights.data/wt/webtalk_pa.xml
@@ -0,0 +1,27 @@
+<?xml version="1.0" encoding="UTF-8" ?>
+<document>
+<!--The data in this file is primarily intended for consumption by Xilinx tools.
+The structure and the elements are likely to change over the next few releases.
+This means code written to parse this file will need to be revisited each subsequent release.-->
+<application name="pa" timeStamp="Tue Feb 21 20:21:00 2017">
+<section name="Project Information" visible="false">
+<property name="ProjectID" value="5162b49b77234a039edc109f3f038375" type="ProjectID"/>
+<property name="ProjectIteration" value="1" type="ProjectIteration"/>
+</section>
+<section name="PlanAhead Usage" visible="true">
+<item name="Project Data">
+<property name="SrcSetCount" value="1" type="SrcSetCount"/>
+<property name="ConstraintSetCount" value="1" type="ConstraintSetCount"/>
+<property name="DesignMode" value="RTL" type="DesignMode"/>
+<property name="SynthesisStrategy" value="PlanAhead Defaults" type="SynthesisStrategy"/>
+<property name="ImplStrategy" value="ISE Defaults" type="ImplStrategy"/>
+</item>
+<item name="Other">
+<property name="GuiMode" value="0" type="GuiMode"/>
+<property name="BatchMode" value="0" type="BatchMode"/>
+<property name="TclMode" value="0" type="TclMode"/>
+<property name="ISEMode" value="1" type="ISEMode"/>
+</item>
+</section>
+</application>
+</document>
diff --git a/planAhead_run_1/FPGA-led-lights.ppr b/planAhead_run_1/FPGA-led-lights.ppr
new file mode 100644
index 0000000..31d6ba7
--- /dev/null
+++ b/planAhead_run_1/FPGA-led-lights.ppr
@@ -0,0 +1,27 @@
+<?xml version="1.0"?>
+<!--Product Version: PlanAhead v14.7 (64-bit)-->
+<Project Version="4" Minor="36">
+ <FileSet Dir="sources_1" File="fileset.xml"/>
+ <FileSet Dir="constrs_1" File="fileset.xml"/>
+ <FileSet Dir="sim_1" File="fileset.xml"/>
+ <DefaultLaunch Dir="$PRUNDIR"/>
+ <DefaultPromote Dir="$PROMOTEDIR"/>
+ <Config>
+ <Option Name="Id" Val="26f160cfb2d947038c3a341ff51c7e3c"/>
+ <Option Name="Part" Val="xc3s250evq100-4"/>
+ <Option Name="CompiledLibDir" Val="$PCACHEDIR/compile_simlib"/>
+ <Option Name="TargetLanguage" Val="Verilog"/>
+ <Option Name="TargetSimulator" Val="ISim"/>
+ <Option Name="Board" Val=""/>
+ <Option Name="SourceMgmtMode" Val="All"/>
+ <Option Name="ActiveSimSet" Val="sim_1"/>
+ <Option Name="CxlOverwriteLibs" Val="1"/>
+ <Option Name="CxlFuncsim" Val="1"/>
+ <Option Name="CxlTimesim" Val="1"/>
+ <Option Name="CxlCore" Val="1"/>
+ <Option Name="CxlEdk" Val="0"/>
+ <Option Name="CxlExcludeCores" Val="1"/>
+ <Option Name="CxlExcludeSubLibs" Val="0"/>
+ </Config>
+</Project>
+
diff --git a/planAhead_run_1/planAhead.jou b/planAhead_run_1/planAhead.jou
new file mode 100644
index 0000000..1826b7c
--- /dev/null
+++ b/planAhead_run_1/planAhead.jou
@@ -0,0 +1,11 @@
+#-----------------------------------------------------------
+# PlanAhead v14.7 (64-bit)
+# Build 321239 by xbuild on Fri Sep 27 19:24:36 MDT 2013
+# Start of session at: Tue Feb 21 20:20:00 2017
+# Process ID: 7603
+# Log file: /home/yannherklotz/Github/FPGA-led-lights/planAhead_run_1/planAhead.log
+# Journal file: /home/yannherklotz/Github/FPGA-led-lights/planAhead_run_1/planAhead.jou
+#-----------------------------------------------------------
+start_gui
+source /home/yannherklotz/Github/FPGA-led-lights/pa.fromHdl.tcl
+update_compile_order -fileset sim_1
diff --git a/planAhead_run_1/planAhead.log b/planAhead_run_1/planAhead.log
new file mode 100644
index 0000000..fce3fae
--- /dev/null
+++ b/planAhead_run_1/planAhead.log
@@ -0,0 +1,160 @@
+#-----------------------------------------------------------
+# PlanAhead v14.7 (64-bit)
+# Build 321239 by xbuild on Fri Sep 27 19:24:36 MDT 2013
+# Start of session at: Tue Feb 21 20:20:00 2017
+# Process ID: 7603
+# Log file: /home/yannherklotz/Github/FPGA-led-lights/planAhead_run_1/planAhead.log
+# Journal file: /home/yannherklotz/Github/FPGA-led-lights/planAhead_run_1/planAhead.jou
+#-----------------------------------------------------------
+INFO: [Common 17-78] Attempting to get a license: PlanAhead
+INFO: [Common 17-290] Got license for PlanAhead
+INFO: [Device 21-36] Loading parts and site information from /opt/Xilinx/14.7/ISE_DS/PlanAhead/data/parts/arch.xml
+Parsing RTL primitives file [/opt/Xilinx/14.7/ISE_DS/PlanAhead/data/parts/xilinx/rtl/prims/rtl_prims.xml]
+Finished parsing RTL primitives file [/opt/Xilinx/14.7/ISE_DS/PlanAhead/data/parts/xilinx/rtl/prims/rtl_prims.xml]
+start_gui
+source /home/yannherklotz/Github/FPGA-led-lights/pa.fromHdl.tcl
+# create_project -name FPGA-led-lights -dir "/home/yannherklotz/Github/FPGA-led-lights/planAhead_run_1" -part xc3s250evq100-4
+# set_param project.pinAheadLayout yes
+# set srcset [get_property srcset [current_run -impl]]
+# set_property target_constrs_file "/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf" [current_fileset -constrset]
+Adding file '/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf' to fileset 'constrs_1'
+# set hdlfile [add_files [list {led.v}]]
+# set_property file_type Verilog $hdlfile
+# set_property library work $hdlfile
+# set_property top led $srcset
+# add_files [list {/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf}] -fileset [get_property constrset [current_run]]
+# open_rtl_design -part xc3s250evq100-4
+Using Verific elaboration
+Parsing VHDL file "/opt/Xilinx/14.7/ISE_DS/PlanAhead/data/parts/xilinx/rtl/lib/synplify/synattr.vhd" into library synplify
+Analyzing Verilog file "/home/yannherklotz/Github/FPGA-led-lights/led.v" into library work
+INFO: [Designutils 20-910] Reading macro library /opt/Xilinx/14.7/ISE_DS/PlanAhead/data/./parts/xilinx/spartan3e/spartan3e/hd_int_macros.edn
+Parsing EDIF File [/opt/Xilinx/14.7/ISE_DS/PlanAhead/data/./parts/xilinx/spartan3e/spartan3e/hd_int_macros.edn]
+Finished Parsing EDIF File [/opt/Xilinx/14.7/ISE_DS/PlanAhead/data/./parts/xilinx/spartan3e/spartan3e/hd_int_macros.edn]
+Loading clock regions from /opt/Xilinx/14.7/ISE_DS/PlanAhead/data/parts/xilinx/spartan3e/spartan3e/xc3s250e/ClockRegion.xml
+Loading clock buffers from /opt/Xilinx/14.7/ISE_DS/PlanAhead/data/parts/xilinx/spartan3e/spartan3e/xc3s250e/ClockBuffers.xml
+Loading package from /opt/Xilinx/14.7/ISE_DS/PlanAhead/data/parts/xilinx/spartan3e/spartan3e/xc3s250e/vq100/Package.xml
+Loading io standards from /opt/Xilinx/14.7/ISE_DS/PlanAhead/data/./parts/xilinx/spartan3e/IOStandards.xml
+INFO: [Device 21-19] Loading pkg sso from /opt/Xilinx/14.7/ISE_DS/PlanAhead/data/parts/xilinx/spartan3e/spartan3e/xc3s250e/vq100/SSORules.xml
+Loading list of drcs for the architecture : /opt/Xilinx/14.7/ISE_DS/PlanAhead/data/./parts/xilinx/spartan3e/spartan3e/drc.xml
+INFO: [Timing 38-77] Reading timing library /opt/Xilinx/14.7/ISE_DS/PlanAhead/data/parts/xilinx/spartan3e/spartan3e/spartan3e-4.lib.
+INFO: [Timing 38-34] Done reading timing library /opt/Xilinx/14.7/ISE_DS/PlanAhead/data/parts/xilinx/spartan3e/spartan3e/spartan3e-4.lib.
+Parsing UCF File [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P144 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:25]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P101 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:30]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P51 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:33]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P56 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:34]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P75 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:39]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P81 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:41]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P93 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:45]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P100 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:47]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'B(0)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:48]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'B(0)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:48]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P97 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:49]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'B(2)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:50]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'B(2)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:50]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P87 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:51]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'B(4)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:52]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'B(4)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:52]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P82 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:53]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P80 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:54]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'B(7)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:55]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'B(7)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:55]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P74 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:56]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'B(9)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:57]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'B(9)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:57]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'B(10)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:58]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'B(10)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:58]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P59 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:59]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'B(12)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:60]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'B(12)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:60]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P55 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:61]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'B(14)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:62]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'B(14)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:62]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'B(15)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:63]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'B(15)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:63]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P114 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:64]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P115 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:65]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P116 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:66]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P117 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:67]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P118 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:68]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P119 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:69]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P120 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:70]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P121 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:71]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P123 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:72]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P124 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:73]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P126 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:74]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P127 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:75]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P131 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:76]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P132 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:77]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P133 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:78]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P134 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:79]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P140 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:80]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P139 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:81]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P138 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:82]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P137 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:83]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P46 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:84]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P45 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:85]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'SDRAM_ADDR(6)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:86]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'SDRAM_ADDR(6)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:86]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'SDRAM_ADDR(7)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:87]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'SDRAM_ADDR(7)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:87]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'SDRAM_ADDR(8)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:88]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'SDRAM_ADDR(8)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:88]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'SDRAM_ADDR(9)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:89]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'SDRAM_ADDR(9)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:89]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P141 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:90]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'SDRAM_ADDR(11)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:91]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'SDRAM_ADDR(11)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:91]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'SDRAM_ADDR(12)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:92]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'SDRAM_ADDR(12)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:92]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'SDRAM_DATA(0)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:93]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'SDRAM_DATA(0)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:93]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'SDRAM_DATA(1)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:94]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'SDRAM_DATA(1)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:94]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'SDRAM_DATA(2)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:95]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'SDRAM_DATA(2)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:95]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'SDRAM_DATA(3)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:96]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'SDRAM_DATA(3)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:96]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P14 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:97]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'SDRAM_DATA(5)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:98]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'SDRAM_DATA(5)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:98]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'SDRAM_DATA(6)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:99]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'SDRAM_DATA(6)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:99]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P8 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:100]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P21 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:101]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'SDRAM_DATA(9)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:102]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'SDRAM_DATA(9)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:102]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'SDRAM_DATA(10)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:103]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'SDRAM_DATA(10)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:103]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'SDRAM_DATA(11)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:104]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'SDRAM_DATA(11)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:104]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'SDRAM_DATA(12)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:105]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'SDRAM_DATA(12)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:105]
+INFO: [Common 17-14] Message 'Constraints 18-11' appears 50 times and further instances of the messages will be disabled. Use the Tcl command set_msg_limit to change the current settings.
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P29 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:107]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P7 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:109]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P143 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:111]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P142 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:112]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P6 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:113]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P1 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:116]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P112 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:119]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P107 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:120]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P109 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:121]
+INFO: [Common 17-14] Message 'Designutils 20-30' appears 50 times and further instances of the messages will be disabled. Use the Tcl command set_msg_limit to change the current settings.
+Finished Parsing UCF File [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf]
+INFO: [Designutils 20-20] Invalid constraints found, use command 'write_ucf -constraints invalid <file>' to save all the invalid constraints to a file
+INFO: [Project 1-111] Unisim Transformation Summary:
+No Unisim elements were transformed.
+
+Phase 0 | Netlist Checksum: a999cfc1
+update_compile_order -fileset sim_1
+exit
+ERROR: [#UNDEF] *** Exception: ui.h.b: Found deleted key in HTclEventBroker. Verify if the classes listed here call cleanup()
+HTclEvent: DEBUG_PORT_CONFIG_CHANGE Classes: ui.views.aR
+HTclEvent: SIGNAL_BUS_MODIFY Classes: ui.views.aR
+HTclEvent: DEBUG_CORE_CONFIG_CHANGE Classes: ui.views.aR
+HTclEvent: SIGNAL_MODIFY Classes: ui.views.aR
+ (See /home/yannherklotz/Github/FPGA-led-lights/planAhead_pid7603.debug)
+ERROR: [Common 17-39] 'stop_gui' failed due to earlier errors.
+INFO: [Common 17-206] Exiting PlanAhead at Tue Feb 21 20:21:04 2017...
+INFO: [Common 17-83] Releasing license: PlanAhead
diff --git a/planAhead_run_1/planAhead_run.log b/planAhead_run_1/planAhead_run.log
new file mode 100644
index 0000000..cfddfda
--- /dev/null
+++ b/planAhead_run_1/planAhead_run.log
@@ -0,0 +1,189 @@
+
+****** PlanAhead v14.7 (64-bit)
+ **** Build 321239 by xbuild on Fri Sep 27 19:24:36 MDT 2013
+ ** Copyright 1986-1999, 2001-2013 Xilinx, Inc. All Rights Reserved.
+
+INFO: [Common 17-78] Attempting to get a license: PlanAhead
+INFO: [Common 17-290] Got license for PlanAhead
+INFO: [Device 21-36] Loading parts and site information from /opt/Xilinx/14.7/ISE_DS/PlanAhead/data/parts/arch.xml
+Parsing RTL primitives file [/opt/Xilinx/14.7/ISE_DS/PlanAhead/data/parts/xilinx/rtl/prims/rtl_prims.xml]
+Finished parsing RTL primitives file [/opt/Xilinx/14.7/ISE_DS/PlanAhead/data/parts/xilinx/rtl/prims/rtl_prims.xml]
+start_gui
+source /home/yannherklotz/Github/FPGA-led-lights/pa.fromHdl.tcl
+# create_project -name FPGA-led-lights -dir "/home/yannherklotz/Github/FPGA-led-lights/planAhead_run_1" -part xc3s250evq100-4
+# set_param project.pinAheadLayout yes
+# set srcset [get_property srcset [current_run -impl]]
+# set_property target_constrs_file "/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf" [current_fileset -constrset]
+Adding file '/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf' to fileset 'constrs_1'
+# set hdlfile [add_files [list {led.v}]]
+# set_property file_type Verilog $hdlfile
+# set_property library work $hdlfile
+# set_property top led $srcset
+# add_files [list {/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf}] -fileset [get_property constrset [current_run]]
+# open_rtl_design -part xc3s250evq100-4
+Using Verific elaboration
+Parsing VHDL file "/opt/Xilinx/14.7/ISE_DS/PlanAhead/data/parts/xilinx/rtl/lib/synplify/synattr.vhd" into library synplify
+Analyzing Verilog file "/home/yannherklotz/Github/FPGA-led-lights/led.v" into library work
+INFO: [Designutils 20-910] Reading macro library /opt/Xilinx/14.7/ISE_DS/PlanAhead/data/./parts/xilinx/spartan3e/spartan3e/hd_int_macros.edn
+Parsing EDIF File [/opt/Xilinx/14.7/ISE_DS/PlanAhead/data/./parts/xilinx/spartan3e/spartan3e/hd_int_macros.edn]
+Finished Parsing EDIF File [/opt/Xilinx/14.7/ISE_DS/PlanAhead/data/./parts/xilinx/spartan3e/spartan3e/hd_int_macros.edn]
+Loading clock regions from /opt/Xilinx/14.7/ISE_DS/PlanAhead/data/parts/xilinx/spartan3e/spartan3e/xc3s250e/ClockRegion.xml
+Loading clock buffers from /opt/Xilinx/14.7/ISE_DS/PlanAhead/data/parts/xilinx/spartan3e/spartan3e/xc3s250e/ClockBuffers.xml
+Loading package from /opt/Xilinx/14.7/ISE_DS/PlanAhead/data/parts/xilinx/spartan3e/spartan3e/xc3s250e/vq100/Package.xml
+Loading io standards from /opt/Xilinx/14.7/ISE_DS/PlanAhead/data/./parts/xilinx/spartan3e/IOStandards.xml
+INFO: [Device 21-19] Loading pkg sso from /opt/Xilinx/14.7/ISE_DS/PlanAhead/data/parts/xilinx/spartan3e/spartan3e/xc3s250e/vq100/SSORules.xml
+Loading list of drcs for the architecture : /opt/Xilinx/14.7/ISE_DS/PlanAhead/data/./parts/xilinx/spartan3e/spartan3e/drc.xml
+INFO: [Timing 38-77] Reading timing library /opt/Xilinx/14.7/ISE_DS/PlanAhead/data/parts/xilinx/spartan3e/spartan3e/spartan3e-4.lib.
+INFO: [Timing 38-34] Done reading timing library /opt/Xilinx/14.7/ISE_DS/PlanAhead/data/parts/xilinx/spartan3e/spartan3e/spartan3e-4.lib.
+Parsing UCF File [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P144 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:25]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P101 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:30]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P51 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:33]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P56 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:34]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P75 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:39]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P81 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:41]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P93 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:45]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P100 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:47]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'B(0)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:48]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'B(0)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:48]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P97 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:49]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'B(2)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:50]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'B(2)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:50]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P87 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:51]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'B(4)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:52]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'B(4)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:52]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P82 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:53]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P80 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:54]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'B(7)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:55]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'B(7)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:55]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P74 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:56]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'B(9)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:57]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'B(9)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:57]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'B(10)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:58]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'B(10)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:58]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P59 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:59]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'B(12)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:60]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'B(12)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:60]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P55 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:61]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'B(14)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:62]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'B(14)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:62]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'B(15)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:63]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'B(15)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:63]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P114 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:64]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P115 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:65]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P116 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:66]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P117 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:67]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P118 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:68]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P119 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:69]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P120 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:70]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P121 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:71]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P123 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:72]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P124 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:73]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P126 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:74]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P127 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:75]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P131 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:76]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P132 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:77]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P133 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:78]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P134 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:79]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P140 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:80]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P139 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:81]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P138 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:82]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P137 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:83]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P46 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:84]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P45 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:85]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'SDRAM_ADDR(6)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:86]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'SDRAM_ADDR(6)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:86]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'SDRAM_ADDR(7)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:87]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'SDRAM_ADDR(7)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:87]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'SDRAM_ADDR(8)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:88]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'SDRAM_ADDR(8)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:88]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'SDRAM_ADDR(9)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:89]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'SDRAM_ADDR(9)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:89]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P141 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:90]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'SDRAM_ADDR(11)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:91]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'SDRAM_ADDR(11)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:91]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'SDRAM_ADDR(12)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:92]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'SDRAM_ADDR(12)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:92]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'SDRAM_DATA(0)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:93]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'SDRAM_DATA(0)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:93]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'SDRAM_DATA(1)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:94]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'SDRAM_DATA(1)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:94]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'SDRAM_DATA(2)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:95]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'SDRAM_DATA(2)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:95]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'SDRAM_DATA(3)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:96]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'SDRAM_DATA(3)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:96]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P14 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:97]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'SDRAM_DATA(5)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:98]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'SDRAM_DATA(5)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:98]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'SDRAM_DATA(6)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:99]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'SDRAM_DATA(6)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:99]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P8 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:100]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P21 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:101]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'SDRAM_DATA(9)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:102]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'SDRAM_DATA(9)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:102]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'SDRAM_DATA(10)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:103]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'SDRAM_DATA(10)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:103]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'SDRAM_DATA(11)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:104]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'SDRAM_DATA(11)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:104]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'SDRAM_DATA(12)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:105]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'SDRAM_DATA(12)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:105]
+INFO: [Common 17-14] Message 'Constraints 18-11' appears 50 times and further instances of the messages will be disabled. Use the Tcl command set_msg_limit to change the current settings.
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P29 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:107]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P7 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:109]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P143 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:111]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P142 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:112]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P6 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:113]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P1 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:116]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P112 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:119]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P107 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:120]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P109 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:121]
+INFO: [Common 17-14] Message 'Designutils 20-30' appears 50 times and further instances of the messages will be disabled. Use the Tcl command set_msg_limit to change the current settings.
+Finished Parsing UCF File [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf]
+INFO: [Designutils 20-20] Invalid constraints found, use command 'write_ucf -constraints invalid <file>' to save all the invalid constraints to a file
+INFO: [Project 1-111] Unisim Transformation Summary:
+No Unisim elements were transformed.
+
+Phase 0 | Netlist Checksum: a999cfc1
+update_compile_order -fileset sim_1
+MEvent. CASE!
+MEvent. CASE!
+MEvent. CASE!
+MEvent. CASE!
+MEvent. CASE!
+MEvent. CASE!
+MEvent. CASE!
+MEvent. CASE!
+MEvent. CASE!
+MEvent. CASE!
+MEvent. CASE!
+MEvent. CASE!
+MEvent. CASE!
+MEvent. CASE!
+MEvent. CASE!
+MEvent. CASE!
+MEvent. CASE!
+MEvent. CASE!
+MEvent. CASE!
+MEvent. CASE!
+MEvent. CASE!
+MEvent. CASE!
+MEvent. CASE!
+MEvent. CASE!
+MEvent. CASE!
+MEvent. CASE!
+MEvent. CASE!
+MEvent. CASE!
+MEvent. CASE!
+MEvent. CASE!
+MEvent. CASE!
+MEvent. CASE!
+MEvent. CASE!
+MEvent. CASE!
+MEvent. CASE!
+MEvent. CASE!
+MEvent. CASE!
+MEvent. CASE!
+exit
+ERROR: [Common 17-39] 'stop_gui' failed due to earlier errors.
+INFO: [Common 17-206] Exiting PlanAhead at Tue Feb 21 20:21:04 2017...
+INFO: [Common 17-83] Releasing license: PlanAhead
diff --git a/planAhead_run_2/FPGA-led-lights.data/cache/led_ngc_cb841106.edif b/planAhead_run_2/FPGA-led-lights.data/cache/led_ngc_cb841106.edif
new file mode 100644
index 0000000..ad5dec0
--- /dev/null
+++ b/planAhead_run_2/FPGA-led-lights.data/cache/led_ngc_cb841106.edif
@@ -0,0 +1,1149 @@
+(edif led
+ (edifVersion 2 0 0)
+ (edifLevel 0)
+ (keywordMap (keywordLevel 0))
+ (status
+ (written
+ (timestamp 2017 2 21 20 23 20)
+ (program "Xilinx ngc2edif" (version "P.20131013"))
+ (author "Xilinx. Inc ")
+ (comment "This EDIF netlist is to be used within supported synthesis tools")
+ (comment "for determining resource/timing estimates of the design component")
+ (comment "represented by this netlist.")
+ (comment "Command line: -mdp2sp -w -secure led.ngc led.edif ")))
+ (external UNISIMS
+ (edifLevel 0)
+ (technology (numberDefinition))
+ (cell GND
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port G
+ (direction OUTPUT)
+ )
+ )
+ )
+ )
+ (cell VCC
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port P
+ (direction OUTPUT)
+ )
+ )
+ )
+ )
+ (cell FDE
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port C
+ (direction INPUT)
+ )
+ (port CE
+ (direction INPUT)
+ )
+ (port D
+ (direction INPUT)
+ )
+ (port Q
+ (direction OUTPUT)
+ )
+ )
+ )
+ )
+ (cell FDS
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port C
+ (direction INPUT)
+ )
+ (port D
+ (direction INPUT)
+ )
+ (port S
+ (direction INPUT)
+ )
+ (port Q
+ (direction OUTPUT)
+ )
+ )
+ )
+ )
+ (cell FDR
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port C
+ (direction INPUT)
+ )
+ (port D
+ (direction INPUT)
+ )
+ (port R
+ (direction INPUT)
+ )
+ (port Q
+ (direction OUTPUT)
+ )
+ )
+ )
+ )
+ (cell MUXCY
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port CI
+ (direction INPUT)
+ )
+ (port DI
+ (direction INPUT)
+ )
+ (port S
+ (direction INPUT)
+ )
+ (port O
+ (direction OUTPUT)
+ )
+ )
+ )
+ )
+ (cell XORCY
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port CI
+ (direction INPUT)
+ )
+ (port LI
+ (direction INPUT)
+ )
+ (port O
+ (direction OUTPUT)
+ )
+ )
+ )
+ )
+ (cell LUT4
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port I0
+ (direction INPUT)
+ )
+ (port I1
+ (direction INPUT)
+ )
+ (port I2
+ (direction INPUT)
+ )
+ (port I3
+ (direction INPUT)
+ )
+ (port O
+ (direction OUTPUT)
+ )
+ )
+ )
+ )
+ (cell OBUF
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port I
+ (direction INPUT)
+ )
+ (port O
+ (direction OUTPUT)
+ )
+ )
+ )
+ )
+ (cell LUT1
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port I0
+ (direction INPUT)
+ )
+ (port O
+ (direction OUTPUT)
+ )
+ )
+ )
+ )
+ (cell BUFGP
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port I
+ (direction INPUT)
+ )
+ (port O
+ (direction OUTPUT)
+ )
+ )
+ )
+ )
+ (cell INV
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port I
+ (direction INPUT)
+ )
+ (port O
+ (direction OUTPUT)
+ )
+ )
+ )
+ )
+ (cell LUT4_L
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port I0
+ (direction INPUT)
+ )
+ (port I1
+ (direction INPUT)
+ )
+ (port I2
+ (direction INPUT)
+ )
+ (port I3
+ (direction INPUT)
+ )
+ (port LO
+ (direction OUTPUT)
+ )
+ )
+ )
+ )
+ )
+
+ (library led_lib
+ (edifLevel 0)
+ (technology (numberDefinition))
+ (cell led
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port CLK
+ (direction INPUT)
+ )
+ (port A0
+ (direction OUTPUT)
+ )
+ (designator "xc3s250e-4-vq100")
+ (property TYPE (string "led") (owner "Xilinx"))
+ (property NLW_UNIQUE_ID (integer 0) (owner "Xilinx"))
+ (property NLW_MACRO_TAG (integer 0) (owner "Xilinx"))
+ (property NLW_MACRO_ALIAS (string "led_led") (owner "Xilinx"))
+ )
+ (contents
+ (instance XST_GND
+ (viewRef view_1 (cellRef GND (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance XST_VCC
+ (viewRef view_1 (cellRef VCC (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename A0_renamed_0 "A0")
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance count_1
+ (viewRef view_1 (cellRef FDS (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "1") (owner "Xilinx"))
+ )
+ (instance count_0
+ (viewRef view_1 (cellRef FDS (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "1") (owner "Xilinx"))
+ )
+ (instance count_2
+ (viewRef view_1 (cellRef FDS (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "1") (owner "Xilinx"))
+ )
+ (instance count_3
+ (viewRef view_1 (cellRef FDS (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "1") (owner "Xilinx"))
+ )
+ (instance count_4
+ (viewRef view_1 (cellRef FDR (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance count_5
+ (viewRef view_1 (cellRef FDR (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance count_6
+ (viewRef view_1 (cellRef FDS (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "1") (owner "Xilinx"))
+ )
+ (instance count_7
+ (viewRef view_1 (cellRef FDR (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance count_8
+ (viewRef view_1 (cellRef FDS (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "1") (owner "Xilinx"))
+ )
+ (instance count_9
+ (viewRef view_1 (cellRef FDS (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "1") (owner "Xilinx"))
+ )
+ (instance count_10
+ (viewRef view_1 (cellRef FDR (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance count_11
+ (viewRef view_1 (cellRef FDR (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance count_12
+ (viewRef view_1 (cellRef FDR (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance count_13
+ (viewRef view_1 (cellRef FDR (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance count_14
+ (viewRef view_1 (cellRef FDS (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "1") (owner "Xilinx"))
+ )
+ (instance count_15
+ (viewRef view_1 (cellRef FDS (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "1") (owner "Xilinx"))
+ )
+ (instance (rename Mcount_count_cy_0___renamed_1 "Mcount_count_cy<0>")
+ (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename Mcount_count_xor_0__ "Mcount_count_xor<0>")
+ (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename Mcount_count_cy_1___renamed_2 "Mcount_count_cy<1>")
+ (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename Mcount_count_xor_1__ "Mcount_count_xor<1>")
+ (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename Mcount_count_cy_2___renamed_3 "Mcount_count_cy<2>")
+ (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename Mcount_count_xor_2__ "Mcount_count_xor<2>")
+ (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename Mcount_count_cy_3___renamed_4 "Mcount_count_cy<3>")
+ (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename Mcount_count_xor_3__ "Mcount_count_xor<3>")
+ (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename Mcount_count_cy_4___renamed_5 "Mcount_count_cy<4>")
+ (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename Mcount_count_xor_4__ "Mcount_count_xor<4>")
+ (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename Mcount_count_cy_5___renamed_6 "Mcount_count_cy<5>")
+ (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename Mcount_count_xor_5__ "Mcount_count_xor<5>")
+ (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename Mcount_count_cy_6___renamed_7 "Mcount_count_cy<6>")
+ (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename Mcount_count_xor_6__ "Mcount_count_xor<6>")
+ (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename Mcount_count_cy_7___renamed_8 "Mcount_count_cy<7>")
+ (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename Mcount_count_xor_7__ "Mcount_count_xor<7>")
+ (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename Mcount_count_cy_8___renamed_9 "Mcount_count_cy<8>")
+ (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename Mcount_count_xor_8__ "Mcount_count_xor<8>")
+ (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename Mcount_count_cy_9___renamed_10 "Mcount_count_cy<9>")
+ (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename Mcount_count_xor_9__ "Mcount_count_xor<9>")
+ (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename Mcount_count_cy_10___renamed_11 "Mcount_count_cy<10>")
+ (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename Mcount_count_xor_10__ "Mcount_count_xor<10>")
+ (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename Mcount_count_cy_11___renamed_12 "Mcount_count_cy<11>")
+ (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename Mcount_count_xor_11__ "Mcount_count_xor<11>")
+ (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename Mcount_count_cy_12___renamed_13 "Mcount_count_cy<12>")
+ (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename Mcount_count_xor_12__ "Mcount_count_xor<12>")
+ (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename Mcount_count_cy_13___renamed_14 "Mcount_count_cy<13>")
+ (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename Mcount_count_xor_13__ "Mcount_count_xor<13>")
+ (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename Mcount_count_cy_14___renamed_15 "Mcount_count_cy<14>")
+ (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename Mcount_count_xor_14__ "Mcount_count_xor<14>")
+ (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename Mcount_count_xor_15__ "Mcount_count_xor<15>")
+ (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename A0_cmp_eq000025_renamed_16 "A0_cmp_eq000025")
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0001") (owner "Xilinx"))
+ )
+ (instance (rename A0_cmp_eq000049_renamed_17 "A0_cmp_eq000049")
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0001") (owner "Xilinx"))
+ )
+ (instance (rename A0_cmp_eq000062_renamed_18 "A0_cmp_eq000062")
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0001") (owner "Xilinx"))
+ )
+ (instance A0_cmp_eq000076
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "8000") (owner "Xilinx"))
+ )
+ (instance (rename A0_OBUF_renamed_19 "A0_OBUF")
+ (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename Mcount_count_cy_1__rt_renamed_20 "Mcount_count_cy<1>_rt")
+ (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "2") (owner "Xilinx"))
+ )
+ (instance (rename Mcount_count_cy_2__rt_renamed_21 "Mcount_count_cy<2>_rt")
+ (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "2") (owner "Xilinx"))
+ )
+ (instance (rename Mcount_count_cy_3__rt_renamed_22 "Mcount_count_cy<3>_rt")
+ (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "2") (owner "Xilinx"))
+ )
+ (instance (rename Mcount_count_cy_4__rt_renamed_23 "Mcount_count_cy<4>_rt")
+ (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "2") (owner "Xilinx"))
+ )
+ (instance (rename Mcount_count_cy_5__rt_renamed_24 "Mcount_count_cy<5>_rt")
+ (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "2") (owner "Xilinx"))
+ )
+ (instance (rename Mcount_count_cy_6__rt_renamed_25 "Mcount_count_cy<6>_rt")
+ (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "2") (owner "Xilinx"))
+ )
+ (instance (rename Mcount_count_cy_7__rt_renamed_26 "Mcount_count_cy<7>_rt")
+ (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "2") (owner "Xilinx"))
+ )
+ (instance (rename Mcount_count_cy_8__rt_renamed_27 "Mcount_count_cy<8>_rt")
+ (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "2") (owner "Xilinx"))
+ )
+ (instance (rename Mcount_count_cy_9__rt_renamed_28 "Mcount_count_cy<9>_rt")
+ (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "2") (owner "Xilinx"))
+ )
+ (instance (rename Mcount_count_cy_10__rt_renamed_29 "Mcount_count_cy<10>_rt")
+ (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "2") (owner "Xilinx"))
+ )
+ (instance (rename Mcount_count_cy_11__rt_renamed_30 "Mcount_count_cy<11>_rt")
+ (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "2") (owner "Xilinx"))
+ )
+ (instance (rename Mcount_count_cy_12__rt_renamed_31 "Mcount_count_cy<12>_rt")
+ (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "2") (owner "Xilinx"))
+ )
+ (instance (rename Mcount_count_cy_13__rt_renamed_32 "Mcount_count_cy<13>_rt")
+ (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "2") (owner "Xilinx"))
+ )
+ (instance (rename Mcount_count_cy_14__rt_renamed_33 "Mcount_count_cy<14>_rt")
+ (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "2") (owner "Xilinx"))
+ )
+ (instance (rename Mcount_count_xor_15__rt_renamed_34 "Mcount_count_xor<15>_rt")
+ (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "2") (owner "Xilinx"))
+ )
+ (instance (rename CLK_BUFGP_renamed_35 "CLK_BUFGP")
+ (viewRef view_1 (cellRef BUFGP (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename Mcount_count_lut_0__INV_0 "Mcount_count_lut<0>_INV_0")
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance A0_not00031_INV_0
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename A0_cmp_eq000012_renamed_36 "A0_cmp_eq000012")
+ (viewRef view_1 (cellRef LUT4_L (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0001") (owner "Xilinx"))
+ )
+ (net A0
+ (joined
+ (portRef A0)
+ (portRef O (instanceRef A0_OBUF_renamed_19))
+ )
+ )
+ (net A0_OBUF
+ (joined
+ (portRef Q (instanceRef A0_renamed_0))
+ (portRef I (instanceRef A0_OBUF_renamed_19))
+ (portRef I (instanceRef A0_not00031_INV_0))
+ )
+ )
+ (net A0_cmp_eq000012
+ (joined
+ (portRef I0 (instanceRef A0_cmp_eq000076))
+ (portRef LO (instanceRef A0_cmp_eq000012_renamed_36))
+ )
+ )
+ (net A0_cmp_eq000025
+ (joined
+ (portRef O (instanceRef A0_cmp_eq000025_renamed_16))
+ (portRef I1 (instanceRef A0_cmp_eq000076))
+ )
+ )
+ (net A0_cmp_eq000049
+ (joined
+ (portRef O (instanceRef A0_cmp_eq000049_renamed_17))
+ (portRef I2 (instanceRef A0_cmp_eq000076))
+ )
+ )
+ (net A0_cmp_eq000062
+ (joined
+ (portRef O (instanceRef A0_cmp_eq000062_renamed_18))
+ (portRef I3 (instanceRef A0_cmp_eq000076))
+ )
+ )
+ (net A0_not0002_inv
+ (joined
+ (portRef CE (instanceRef A0_renamed_0))
+ (portRef S (instanceRef count_1))
+ (portRef S (instanceRef count_0))
+ (portRef S (instanceRef count_2))
+ (portRef S (instanceRef count_3))
+ (portRef R (instanceRef count_4))
+ (portRef R (instanceRef count_5))
+ (portRef S (instanceRef count_6))
+ (portRef R (instanceRef count_7))
+ (portRef S (instanceRef count_8))
+ (portRef S (instanceRef count_9))
+ (portRef R (instanceRef count_10))
+ (portRef R (instanceRef count_11))
+ (portRef R (instanceRef count_12))
+ (portRef R (instanceRef count_13))
+ (portRef S (instanceRef count_14))
+ (portRef S (instanceRef count_15))
+ (portRef O (instanceRef A0_cmp_eq000076))
+ )
+ )
+ (net A0_not0003
+ (joined
+ (portRef D (instanceRef A0_renamed_0))
+ (portRef O (instanceRef A0_not00031_INV_0))
+ )
+ )
+ (net CLK
+ (joined
+ (portRef CLK)
+ (portRef I (instanceRef CLK_BUFGP_renamed_35))
+ )
+ )
+ (net CLK_BUFGP
+ (joined
+ (portRef C (instanceRef A0_renamed_0))
+ (portRef C (instanceRef count_1))
+ (portRef C (instanceRef count_0))
+ (portRef C (instanceRef count_2))
+ (portRef C (instanceRef count_3))
+ (portRef C (instanceRef count_4))
+ (portRef C (instanceRef count_5))
+ (portRef C (instanceRef count_6))
+ (portRef C (instanceRef count_7))
+ (portRef C (instanceRef count_8))
+ (portRef C (instanceRef count_9))
+ (portRef C (instanceRef count_10))
+ (portRef C (instanceRef count_11))
+ (portRef C (instanceRef count_12))
+ (portRef C (instanceRef count_13))
+ (portRef C (instanceRef count_14))
+ (portRef C (instanceRef count_15))
+ (portRef O (instanceRef CLK_BUFGP_renamed_35))
+ )
+ )
+ (net (rename Mcount_count_cy_0__ "Mcount_count_cy<0>")
+ (joined
+ (portRef O (instanceRef Mcount_count_cy_0___renamed_1))
+ (portRef CI (instanceRef Mcount_count_cy_1___renamed_2))
+ (portRef CI (instanceRef Mcount_count_xor_1__))
+ )
+ )
+ (net (rename Mcount_count_cy_10__ "Mcount_count_cy<10>")
+ (joined
+ (portRef O (instanceRef Mcount_count_cy_10___renamed_11))
+ (portRef CI (instanceRef Mcount_count_cy_11___renamed_12))
+ (portRef CI (instanceRef Mcount_count_xor_11__))
+ )
+ )
+ (net (rename Mcount_count_cy_10__rt "Mcount_count_cy<10>_rt")
+ (joined
+ (portRef O (instanceRef Mcount_count_cy_10__rt_renamed_29))
+ (portRef S (instanceRef Mcount_count_cy_10___renamed_11))
+ (portRef LI (instanceRef Mcount_count_xor_10__))
+ )
+ )
+ (net (rename Mcount_count_cy_11__ "Mcount_count_cy<11>")
+ (joined
+ (portRef O (instanceRef Mcount_count_cy_11___renamed_12))
+ (portRef CI (instanceRef Mcount_count_cy_12___renamed_13))
+ (portRef CI (instanceRef Mcount_count_xor_12__))
+ )
+ )
+ (net (rename Mcount_count_cy_11__rt "Mcount_count_cy<11>_rt")
+ (joined
+ (portRef O (instanceRef Mcount_count_cy_11__rt_renamed_30))
+ (portRef S (instanceRef Mcount_count_cy_11___renamed_12))
+ (portRef LI (instanceRef Mcount_count_xor_11__))
+ )
+ )
+ (net (rename Mcount_count_cy_12__ "Mcount_count_cy<12>")
+ (joined
+ (portRef O (instanceRef Mcount_count_cy_12___renamed_13))
+ (portRef CI (instanceRef Mcount_count_cy_13___renamed_14))
+ (portRef CI (instanceRef Mcount_count_xor_13__))
+ )
+ )
+ (net (rename Mcount_count_cy_12__rt "Mcount_count_cy<12>_rt")
+ (joined
+ (portRef O (instanceRef Mcount_count_cy_12__rt_renamed_31))
+ (portRef S (instanceRef Mcount_count_cy_12___renamed_13))
+ (portRef LI (instanceRef Mcount_count_xor_12__))
+ )
+ )
+ (net (rename Mcount_count_cy_13__ "Mcount_count_cy<13>")
+ (joined
+ (portRef O (instanceRef Mcount_count_cy_13___renamed_14))
+ (portRef CI (instanceRef Mcount_count_cy_14___renamed_15))
+ (portRef CI (instanceRef Mcount_count_xor_14__))
+ )
+ )
+ (net (rename Mcount_count_cy_13__rt "Mcount_count_cy<13>_rt")
+ (joined
+ (portRef O (instanceRef Mcount_count_cy_13__rt_renamed_32))
+ (portRef S (instanceRef Mcount_count_cy_13___renamed_14))
+ (portRef LI (instanceRef Mcount_count_xor_13__))
+ )
+ )
+ (net (rename Mcount_count_cy_14__ "Mcount_count_cy<14>")
+ (joined
+ (portRef O (instanceRef Mcount_count_cy_14___renamed_15))
+ (portRef CI (instanceRef Mcount_count_xor_15__))
+ )
+ )
+ (net (rename Mcount_count_cy_14__rt "Mcount_count_cy<14>_rt")
+ (joined
+ (portRef O (instanceRef Mcount_count_cy_14__rt_renamed_33))
+ (portRef S (instanceRef Mcount_count_cy_14___renamed_15))
+ (portRef LI (instanceRef Mcount_count_xor_14__))
+ )
+ )
+ (net (rename Mcount_count_cy_1__ "Mcount_count_cy<1>")
+ (joined
+ (portRef O (instanceRef Mcount_count_cy_1___renamed_2))
+ (portRef CI (instanceRef Mcount_count_cy_2___renamed_3))
+ (portRef CI (instanceRef Mcount_count_xor_2__))
+ )
+ )
+ (net (rename Mcount_count_cy_1__rt "Mcount_count_cy<1>_rt")
+ (joined
+ (portRef O (instanceRef Mcount_count_cy_1__rt_renamed_20))
+ (portRef S (instanceRef Mcount_count_cy_1___renamed_2))
+ (portRef LI (instanceRef Mcount_count_xor_1__))
+ )
+ )
+ (net (rename Mcount_count_cy_2__ "Mcount_count_cy<2>")
+ (joined
+ (portRef O (instanceRef Mcount_count_cy_2___renamed_3))
+ (portRef CI (instanceRef Mcount_count_cy_3___renamed_4))
+ (portRef CI (instanceRef Mcount_count_xor_3__))
+ )
+ )
+ (net (rename Mcount_count_cy_2__rt "Mcount_count_cy<2>_rt")
+ (joined
+ (portRef O (instanceRef Mcount_count_cy_2__rt_renamed_21))
+ (portRef S (instanceRef Mcount_count_cy_2___renamed_3))
+ (portRef LI (instanceRef Mcount_count_xor_2__))
+ )
+ )
+ (net (rename Mcount_count_cy_3__ "Mcount_count_cy<3>")
+ (joined
+ (portRef O (instanceRef Mcount_count_cy_3___renamed_4))
+ (portRef CI (instanceRef Mcount_count_cy_4___renamed_5))
+ (portRef CI (instanceRef Mcount_count_xor_4__))
+ )
+ )
+ (net (rename Mcount_count_cy_3__rt "Mcount_count_cy<3>_rt")
+ (joined
+ (portRef O (instanceRef Mcount_count_cy_3__rt_renamed_22))
+ (portRef S (instanceRef Mcount_count_cy_3___renamed_4))
+ (portRef LI (instanceRef Mcount_count_xor_3__))
+ )
+ )
+ (net (rename Mcount_count_cy_4__ "Mcount_count_cy<4>")
+ (joined
+ (portRef O (instanceRef Mcount_count_cy_4___renamed_5))
+ (portRef CI (instanceRef Mcount_count_cy_5___renamed_6))
+ (portRef CI (instanceRef Mcount_count_xor_5__))
+ )
+ )
+ (net (rename Mcount_count_cy_4__rt "Mcount_count_cy<4>_rt")
+ (joined
+ (portRef O (instanceRef Mcount_count_cy_4__rt_renamed_23))
+ (portRef S (instanceRef Mcount_count_cy_4___renamed_5))
+ (portRef LI (instanceRef Mcount_count_xor_4__))
+ )
+ )
+ (net (rename Mcount_count_cy_5__ "Mcount_count_cy<5>")
+ (joined
+ (portRef O (instanceRef Mcount_count_cy_5___renamed_6))
+ (portRef CI (instanceRef Mcount_count_cy_6___renamed_7))
+ (portRef CI (instanceRef Mcount_count_xor_6__))
+ )
+ )
+ (net (rename Mcount_count_cy_5__rt "Mcount_count_cy<5>_rt")
+ (joined
+ (portRef O (instanceRef Mcount_count_cy_5__rt_renamed_24))
+ (portRef S (instanceRef Mcount_count_cy_5___renamed_6))
+ (portRef LI (instanceRef Mcount_count_xor_5__))
+ )
+ )
+ (net (rename Mcount_count_cy_6__ "Mcount_count_cy<6>")
+ (joined
+ (portRef O (instanceRef Mcount_count_cy_6___renamed_7))
+ (portRef CI (instanceRef Mcount_count_cy_7___renamed_8))
+ (portRef CI (instanceRef Mcount_count_xor_7__))
+ )
+ )
+ (net (rename Mcount_count_cy_6__rt "Mcount_count_cy<6>_rt")
+ (joined
+ (portRef O (instanceRef Mcount_count_cy_6__rt_renamed_25))
+ (portRef S (instanceRef Mcount_count_cy_6___renamed_7))
+ (portRef LI (instanceRef Mcount_count_xor_6__))
+ )
+ )
+ (net (rename Mcount_count_cy_7__ "Mcount_count_cy<7>")
+ (joined
+ (portRef O (instanceRef Mcount_count_cy_7___renamed_8))
+ (portRef CI (instanceRef Mcount_count_cy_8___renamed_9))
+ (portRef CI (instanceRef Mcount_count_xor_8__))
+ )
+ )
+ (net (rename Mcount_count_cy_7__rt "Mcount_count_cy<7>_rt")
+ (joined
+ (portRef O (instanceRef Mcount_count_cy_7__rt_renamed_26))
+ (portRef S (instanceRef Mcount_count_cy_7___renamed_8))
+ (portRef LI (instanceRef Mcount_count_xor_7__))
+ )
+ )
+ (net (rename Mcount_count_cy_8__ "Mcount_count_cy<8>")
+ (joined
+ (portRef O (instanceRef Mcount_count_cy_8___renamed_9))
+ (portRef CI (instanceRef Mcount_count_cy_9___renamed_10))
+ (portRef CI (instanceRef Mcount_count_xor_9__))
+ )
+ )
+ (net (rename Mcount_count_cy_8__rt "Mcount_count_cy<8>_rt")
+ (joined
+ (portRef O (instanceRef Mcount_count_cy_8__rt_renamed_27))
+ (portRef S (instanceRef Mcount_count_cy_8___renamed_9))
+ (portRef LI (instanceRef Mcount_count_xor_8__))
+ )
+ )
+ (net (rename Mcount_count_cy_9__ "Mcount_count_cy<9>")
+ (joined
+ (portRef O (instanceRef Mcount_count_cy_9___renamed_10))
+ (portRef CI (instanceRef Mcount_count_cy_10___renamed_11))
+ (portRef CI (instanceRef Mcount_count_xor_10__))
+ )
+ )
+ (net (rename Mcount_count_cy_9__rt "Mcount_count_cy<9>_rt")
+ (joined
+ (portRef O (instanceRef Mcount_count_cy_9__rt_renamed_28))
+ (portRef S (instanceRef Mcount_count_cy_9___renamed_10))
+ (portRef LI (instanceRef Mcount_count_xor_9__))
+ )
+ )
+ (net (rename Mcount_count_lut_0__ "Mcount_count_lut<0>")
+ (joined
+ (portRef S (instanceRef Mcount_count_cy_0___renamed_1))
+ (portRef LI (instanceRef Mcount_count_xor_0__))
+ (portRef O (instanceRef Mcount_count_lut_0__INV_0))
+ )
+ )
+ (net (rename Mcount_count_xor_15__rt "Mcount_count_xor<15>_rt")
+ (joined
+ (portRef O (instanceRef Mcount_count_xor_15__rt_renamed_34))
+ (portRef LI (instanceRef Mcount_count_xor_15__))
+ )
+ )
+ (net N0
+ (joined
+ (portRef G (instanceRef XST_GND))
+ (portRef CI (instanceRef Mcount_count_cy_0___renamed_1))
+ (portRef CI (instanceRef Mcount_count_xor_0__))
+ (portRef DI (instanceRef Mcount_count_cy_1___renamed_2))
+ (portRef DI (instanceRef Mcount_count_cy_2___renamed_3))
+ (portRef DI (instanceRef Mcount_count_cy_3___renamed_4))
+ (portRef DI (instanceRef Mcount_count_cy_4___renamed_5))
+ (portRef DI (instanceRef Mcount_count_cy_5___renamed_6))
+ (portRef DI (instanceRef Mcount_count_cy_6___renamed_7))
+ (portRef DI (instanceRef Mcount_count_cy_7___renamed_8))
+ (portRef DI (instanceRef Mcount_count_cy_8___renamed_9))
+ (portRef DI (instanceRef Mcount_count_cy_9___renamed_10))
+ (portRef DI (instanceRef Mcount_count_cy_10___renamed_11))
+ (portRef DI (instanceRef Mcount_count_cy_11___renamed_12))
+ (portRef DI (instanceRef Mcount_count_cy_12___renamed_13))
+ (portRef DI (instanceRef Mcount_count_cy_13___renamed_14))
+ (portRef DI (instanceRef Mcount_count_cy_14___renamed_15))
+ )
+ )
+ (net N1
+ (joined
+ (portRef P (instanceRef XST_VCC))
+ (portRef DI (instanceRef Mcount_count_cy_0___renamed_1))
+ )
+ )
+ (net (rename Result_0__ "Result<0>")
+ (joined
+ (portRef D (instanceRef count_0))
+ (portRef O (instanceRef Mcount_count_xor_0__))
+ )
+ )
+ (net (rename Result_10__ "Result<10>")
+ (joined
+ (portRef D (instanceRef count_10))
+ (portRef O (instanceRef Mcount_count_xor_10__))
+ )
+ )
+ (net (rename Result_11__ "Result<11>")
+ (joined
+ (portRef D (instanceRef count_11))
+ (portRef O (instanceRef Mcount_count_xor_11__))
+ )
+ )
+ (net (rename Result_12__ "Result<12>")
+ (joined
+ (portRef D (instanceRef count_12))
+ (portRef O (instanceRef Mcount_count_xor_12__))
+ )
+ )
+ (net (rename Result_13__ "Result<13>")
+ (joined
+ (portRef D (instanceRef count_13))
+ (portRef O (instanceRef Mcount_count_xor_13__))
+ )
+ )
+ (net (rename Result_14__ "Result<14>")
+ (joined
+ (portRef D (instanceRef count_14))
+ (portRef O (instanceRef Mcount_count_xor_14__))
+ )
+ )
+ (net (rename Result_15__ "Result<15>")
+ (joined
+ (portRef D (instanceRef count_15))
+ (portRef O (instanceRef Mcount_count_xor_15__))
+ )
+ )
+ (net (rename Result_1__ "Result<1>")
+ (joined
+ (portRef D (instanceRef count_1))
+ (portRef O (instanceRef Mcount_count_xor_1__))
+ )
+ )
+ (net (rename Result_2__ "Result<2>")
+ (joined
+ (portRef D (instanceRef count_2))
+ (portRef O (instanceRef Mcount_count_xor_2__))
+ )
+ )
+ (net (rename Result_3__ "Result<3>")
+ (joined
+ (portRef D (instanceRef count_3))
+ (portRef O (instanceRef Mcount_count_xor_3__))
+ )
+ )
+ (net (rename Result_4__ "Result<4>")
+ (joined
+ (portRef D (instanceRef count_4))
+ (portRef O (instanceRef Mcount_count_xor_4__))
+ )
+ )
+ (net (rename Result_5__ "Result<5>")
+ (joined
+ (portRef D (instanceRef count_5))
+ (portRef O (instanceRef Mcount_count_xor_5__))
+ )
+ )
+ (net (rename Result_6__ "Result<6>")
+ (joined
+ (portRef D (instanceRef count_6))
+ (portRef O (instanceRef Mcount_count_xor_6__))
+ )
+ )
+ (net (rename Result_7__ "Result<7>")
+ (joined
+ (portRef D (instanceRef count_7))
+ (portRef O (instanceRef Mcount_count_xor_7__))
+ )
+ )
+ (net (rename Result_8__ "Result<8>")
+ (joined
+ (portRef D (instanceRef count_8))
+ (portRef O (instanceRef Mcount_count_xor_8__))
+ )
+ )
+ (net (rename Result_9__ "Result<9>")
+ (joined
+ (portRef D (instanceRef count_9))
+ (portRef O (instanceRef Mcount_count_xor_9__))
+ )
+ )
+ (net (rename count_0__ "count<0>")
+ (joined
+ (portRef Q (instanceRef count_0))
+ (portRef I (instanceRef Mcount_count_lut_0__INV_0))
+ (portRef I0 (instanceRef A0_cmp_eq000012_renamed_36))
+ )
+ )
+ (net (rename count_1__ "count<1>")
+ (joined
+ (portRef Q (instanceRef count_1))
+ (portRef I0 (instanceRef Mcount_count_cy_1__rt_renamed_20))
+ (portRef I1 (instanceRef A0_cmp_eq000012_renamed_36))
+ )
+ )
+ (net (rename count_10__ "count<10>")
+ (joined
+ (portRef Q (instanceRef count_10))
+ (portRef I2 (instanceRef A0_cmp_eq000049_renamed_17))
+ (portRef I0 (instanceRef Mcount_count_cy_10__rt_renamed_29))
+ )
+ )
+ (net (rename count_11__ "count<11>")
+ (joined
+ (portRef Q (instanceRef count_11))
+ (portRef I3 (instanceRef A0_cmp_eq000049_renamed_17))
+ (portRef I0 (instanceRef Mcount_count_cy_11__rt_renamed_30))
+ )
+ )
+ (net (rename count_12__ "count<12>")
+ (joined
+ (portRef Q (instanceRef count_12))
+ (portRef I0 (instanceRef A0_cmp_eq000062_renamed_18))
+ (portRef I0 (instanceRef Mcount_count_cy_12__rt_renamed_31))
+ )
+ )
+ (net (rename count_13__ "count<13>")
+ (joined
+ (portRef Q (instanceRef count_13))
+ (portRef I1 (instanceRef A0_cmp_eq000062_renamed_18))
+ (portRef I0 (instanceRef Mcount_count_cy_13__rt_renamed_32))
+ )
+ )
+ (net (rename count_14__ "count<14>")
+ (joined
+ (portRef Q (instanceRef count_14))
+ (portRef I2 (instanceRef A0_cmp_eq000062_renamed_18))
+ (portRef I0 (instanceRef Mcount_count_cy_14__rt_renamed_33))
+ )
+ )
+ (net (rename count_15__ "count<15>")
+ (joined
+ (portRef Q (instanceRef count_15))
+ (portRef I3 (instanceRef A0_cmp_eq000062_renamed_18))
+ (portRef I0 (instanceRef Mcount_count_xor_15__rt_renamed_34))
+ )
+ )
+ (net (rename count_2__ "count<2>")
+ (joined
+ (portRef Q (instanceRef count_2))
+ (portRef I0 (instanceRef Mcount_count_cy_2__rt_renamed_21))
+ (portRef I2 (instanceRef A0_cmp_eq000012_renamed_36))
+ )
+ )
+ (net (rename count_3__ "count<3>")
+ (joined
+ (portRef Q (instanceRef count_3))
+ (portRef I0 (instanceRef Mcount_count_cy_3__rt_renamed_22))
+ (portRef I3 (instanceRef A0_cmp_eq000012_renamed_36))
+ )
+ )
+ (net (rename count_4__ "count<4>")
+ (joined
+ (portRef Q (instanceRef count_4))
+ (portRef I0 (instanceRef A0_cmp_eq000025_renamed_16))
+ (portRef I0 (instanceRef Mcount_count_cy_4__rt_renamed_23))
+ )
+ )
+ (net (rename count_5__ "count<5>")
+ (joined
+ (portRef Q (instanceRef count_5))
+ (portRef I1 (instanceRef A0_cmp_eq000025_renamed_16))
+ (portRef I0 (instanceRef Mcount_count_cy_5__rt_renamed_24))
+ )
+ )
+ (net (rename count_6__ "count<6>")
+ (joined
+ (portRef Q (instanceRef count_6))
+ (portRef I2 (instanceRef A0_cmp_eq000025_renamed_16))
+ (portRef I0 (instanceRef Mcount_count_cy_6__rt_renamed_25))
+ )
+ )
+ (net (rename count_7__ "count<7>")
+ (joined
+ (portRef Q (instanceRef count_7))
+ (portRef I3 (instanceRef A0_cmp_eq000025_renamed_16))
+ (portRef I0 (instanceRef Mcount_count_cy_7__rt_renamed_26))
+ )
+ )
+ (net (rename count_8__ "count<8>")
+ (joined
+ (portRef Q (instanceRef count_8))
+ (portRef I0 (instanceRef A0_cmp_eq000049_renamed_17))
+ (portRef I0 (instanceRef Mcount_count_cy_8__rt_renamed_27))
+ )
+ )
+ (net (rename count_9__ "count<9>")
+ (joined
+ (portRef Q (instanceRef count_9))
+ (portRef I1 (instanceRef A0_cmp_eq000049_renamed_17))
+ (portRef I0 (instanceRef Mcount_count_cy_9__rt_renamed_28))
+ )
+ )
+ )
+ )
+ )
+ )
+
+ (design led
+ (cellRef led
+ (libraryRef led_lib)
+ )
+ (property PART (string "xc3s250e-4-vq100") (owner "Xilinx"))
+ )
+)
+
diff --git a/planAhead_run_2/FPGA-led-lights.data/constrs_1/designprops.xml b/planAhead_run_2/FPGA-led-lights.data/constrs_1/designprops.xml
new file mode 100644
index 0000000..cbe1094
--- /dev/null
+++ b/planAhead_run_2/FPGA-led-lights.data/constrs_1/designprops.xml
@@ -0,0 +1,10 @@
+<?xml version="1.0"?>
+<Compat Version="1" Minor="4">
+ <CompatParts>
+ </CompatParts>
+ <ConfigModes>
+ </ConfigModes>
+ <PortProps>
+ </PortProps>
+</Compat>
+
diff --git a/planAhead_run_2/FPGA-led-lights.data/constrs_1/fileset.xml b/planAhead_run_2/FPGA-led-lights.data/constrs_1/fileset.xml
new file mode 100644
index 0000000..993cb20
--- /dev/null
+++ b/planAhead_run_2/FPGA-led-lights.data/constrs_1/fileset.xml
@@ -0,0 +1,22 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<DARoots Version="1" Minor="26">
+ <FileSet Name="constrs_1" Type="Constrs" RelSrcDir="$PSRCDIR/constrs_1">
+ <Filter Type="Constrs"/>
+ <File Path="$PPRDIR/../../../Downloads/BPC3011-Papilio_Pro-general.ucf">
+ <FileInfo>
+ <Attr Name="UsedInSynthesis" Val="1"/>
+ <Attr Name="UsedInImplementation" Val="1"/>
+ </FileInfo>
+ </File>
+ <File Path="$PDATADIR/constrs_1/designprops.xml">
+ <FileInfo SFType="CompatPartsDb"/>
+ </File>
+ <File Path="$PDATADIR/constrs_1/usercols.xml">
+ <FileInfo SFType="UserColsDb"/>
+ </File>
+ <Config>
+ <Option Name="TargetConstrsFile" Val="$PPRDIR/../../../Downloads/BPC3011-Papilio_Pro-general.ucf"/>
+ <Option Name="ConstrsType" Val="UCF"/>
+ </Config>
+ </FileSet>
+</DARoots>
diff --git a/planAhead_run_2/FPGA-led-lights.data/constrs_1/usercols.xml b/planAhead_run_2/FPGA-led-lights.data/constrs_1/usercols.xml
new file mode 100644
index 0000000..eb20735
--- /dev/null
+++ b/planAhead_run_2/FPGA-led-lights.data/constrs_1/usercols.xml
@@ -0,0 +1,4 @@
+<?xml version="1.0"?>
+<UserColInfo Version="1" Minor="0">
+</UserColInfo>
+
diff --git a/planAhead_run_2/FPGA-led-lights.data/runs/impl_1.psg b/planAhead_run_2/FPGA-led-lights.data/runs/impl_1.psg
new file mode 100644
index 0000000..147f3a9
--- /dev/null
+++ b/planAhead_run_2/FPGA-led-lights.data/runs/impl_1.psg
@@ -0,0 +1,20 @@
+<?xml version="1.0"?>
+<Strategy Version="1" Minor="2">
+ <StratHandle Name="ISE Defaults" Flow="ISE14">
+ <Desc>ISE Defaults, including packing registers in IOs off</Desc>
+ </StratHandle>
+ <Step Id="ngdbuild">
+ </Step>
+ <Step Id="map">
+ <Option Id="FFPackEnum">3</Option>
+ </Step>
+ <Step Id="par">
+ </Step>
+ <Step Id="trce">
+ </Step>
+ <Step Id="xdl">
+ </Step>
+ <Step Id="bitgen">
+ </Step>
+</Strategy>
+
diff --git a/planAhead_run_2/FPGA-led-lights.data/runs/runs.xml b/planAhead_run_2/FPGA-led-lights.data/runs/runs.xml
new file mode 100644
index 0000000..fe0b8b1
--- /dev/null
+++ b/planAhead_run_2/FPGA-led-lights.data/runs/runs.xml
@@ -0,0 +1,5 @@
+<?xml version="1.0"?>
+<Runs Version="1" Minor="8">
+ <Run Id="impl_1" Type="Ft2:EntireDesign" SrcSet="sources_1" Part="xc3s250evq100-4" ConstrsSet="constrs_1" Description="ISE Defaults, including packing registers in IOs off" State="current"/>
+</Runs>
+
diff --git a/planAhead_run_2/FPGA-led-lights.data/sim_1/fileset.xml b/planAhead_run_2/FPGA-led-lights.data/sim_1/fileset.xml
new file mode 100644
index 0000000..65babe3
--- /dev/null
+++ b/planAhead_run_2/FPGA-led-lights.data/sim_1/fileset.xml
@@ -0,0 +1,10 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<DARoots Version="1" Minor="26">
+ <FileSet Name="sim_1" Type="SimulationSrcs" RelSrcDir="$PSRCDIR/sim_1">
+ <Config>
+ <Option Name="DesignMode" Val="RTL"/>
+ <Option Name="TopAutoSet" Val="TRUE"/>
+ <Option Name="SrcSet" Val="sources_1"/>
+ </Config>
+ </FileSet>
+</DARoots>
diff --git a/planAhead_run_2/FPGA-led-lights.data/sources_1/chipscope.xml b/planAhead_run_2/FPGA-led-lights.data/sources_1/chipscope.xml
new file mode 100644
index 0000000..3fd9702
--- /dev/null
+++ b/planAhead_run_2/FPGA-led-lights.data/sources_1/chipscope.xml
@@ -0,0 +1,6 @@
+<?xml version="1.0"?>
+<ChipScope Version="1" Minor="3">
+ <UnassignedNets>
+ </UnassignedNets>
+</ChipScope>
+
diff --git a/planAhead_run_2/FPGA-led-lights.data/sources_1/fileset.xml b/planAhead_run_2/FPGA-led-lights.data/sources_1/fileset.xml
new file mode 100644
index 0000000..9fa644d
--- /dev/null
+++ b/planAhead_run_2/FPGA-led-lights.data/sources_1/fileset.xml
@@ -0,0 +1,24 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<DARoots Version="1" Minor="26">
+ <FileSet Name="sources_1" Type="DesignSrcs" RelSrcDir="$PSRCDIR/sources_1">
+ <Filter Type="EDIFSrcs"/>
+ <File Path="$PPRDIR/../led.ngc">
+ <FileInfo>
+ <Attr Name="UsedInSynthesis" Val="1"/>
+ <Attr Name="UsedInImplementation" Val="1"/>
+ </FileInfo>
+ </File>
+ <File Path="$PDATADIR/sources_1/ports.xml">
+ <FileInfo SFType="PortsDb"/>
+ </File>
+ <File Path="$PDATADIR/sources_1/chipscope.xml">
+ <FileInfo SFType="ChipscopeDb"/>
+ </File>
+ <Config>
+ <Option Name="DesignMode" Val="GateLvl"/>
+ <Option Name="GateLvlMode" Val="EDIF"/>
+ <Option Name="TopFile" Val="$PPRDIR/../led.ngc"/>
+ <Option Name="TopAutoSet" Val="TRUE"/>
+ </Config>
+ </FileSet>
+</DARoots>
diff --git a/planAhead_run_2/FPGA-led-lights.data/sources_1/ports.xml b/planAhead_run_2/FPGA-led-lights.data/sources_1/ports.xml
new file mode 100644
index 0000000..6edcb86
--- /dev/null
+++ b/planAhead_run_2/FPGA-led-lights.data/sources_1/ports.xml
@@ -0,0 +1,8 @@
+<?xml version="1.0"?>
+<Interface Version="1" Minor="1">
+ <Ifc Id="ROOT" Top="1">
+ <Port Id="CLK" Dir="IN"/>
+ <Port Id="A0" Dir="OUT"/>
+ </Ifc>
+</Interface>
+
diff --git a/planAhead_run_2/FPGA-led-lights.data/wt/java_command_handlers.wdf b/planAhead_run_2/FPGA-led-lights.data/wt/java_command_handlers.wdf
new file mode 100644
index 0000000..9880428
--- /dev/null
+++ b/planAhead_run_2/FPGA-led-lights.data/wt/java_command_handlers.wdf
@@ -0,0 +1,3 @@
+version:1
+70726f6a656374:706c616e5f61686561645f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:7361766564657369676e:31:00:00
+eof:3611541694
diff --git a/planAhead_run_2/FPGA-led-lights.data/wt/project.wpc b/planAhead_run_2/FPGA-led-lights.data/wt/project.wpc
new file mode 100644
index 0000000..5fed558
--- /dev/null
+++ b/planAhead_run_2/FPGA-led-lights.data/wt/project.wpc
@@ -0,0 +1,4 @@
+version:1
+6d6f64655f636f756e7465727c4755494d6f6465:1
+6d6f64655f636f756e7465727c4953454d6f6465:1
+eof:
diff --git a/planAhead_run_2/FPGA-led-lights.data/wt/webtalk_pa.xml b/planAhead_run_2/FPGA-led-lights.data/wt/webtalk_pa.xml
new file mode 100644
index 0000000..8f356a2
--- /dev/null
+++ b/planAhead_run_2/FPGA-led-lights.data/wt/webtalk_pa.xml
@@ -0,0 +1,29 @@
+<?xml version="1.0" encoding="UTF-8" ?>
+<document>
+<!--The data in this file is primarily intended for consumption by Xilinx tools.
+The structure and the elements are likely to change over the next few releases.
+This means code written to parse this file will need to be revisited each subsequent release.-->
+<application name="pa" timeStamp="Tue Feb 21 20:24:50 2017">
+<section name="Project Information" visible="false">
+<property name="ProjectID" value="a3587ea880e14b0e9bda22648980fcfc" type="ProjectID"/>
+<property name="ProjectIteration" value="1" type="ProjectIteration"/>
+</section>
+<section name="PlanAhead Usage" visible="true">
+<item name="Project Data">
+<property name="SrcSetCount" value="1" type="SrcSetCount"/>
+<property name="ConstraintSetCount" value="1" type="ConstraintSetCount"/>
+<property name="DesignMode" value="GateLvl" type="DesignMode"/>
+<property name="ImplStrategy" value="ISE Defaults" type="ImplStrategy"/>
+</item>
+<item name="Java Command Handlers">
+<property name="SaveDesign" value="1" type="JavaHandler"/>
+</item>
+<item name="Other">
+<property name="GuiMode" value="0" type="GuiMode"/>
+<property name="BatchMode" value="0" type="BatchMode"/>
+<property name="TclMode" value="0" type="TclMode"/>
+<property name="ISEMode" value="3" type="ISEMode"/>
+</item>
+</section>
+</application>
+</document>
diff --git a/planAhead_run_2/FPGA-led-lights.ppr b/planAhead_run_2/FPGA-led-lights.ppr
new file mode 100644
index 0000000..96f3b15
--- /dev/null
+++ b/planAhead_run_2/FPGA-led-lights.ppr
@@ -0,0 +1,28 @@
+<?xml version="1.0"?>
+<!--Product Version: PlanAhead v14.7 (64-bit)-->
+<Project Version="4" Minor="36">
+ <FileSet Dir="sources_1" File="fileset.xml"/>
+ <FileSet Dir="constrs_1" File="fileset.xml"/>
+ <FileSet Dir="sim_1" File="fileset.xml"/>
+ <RunSet Dir="runs" File="runs.xml"/>
+ <DefaultLaunch Dir="$PRUNDIR"/>
+ <DefaultPromote Dir="$PROMOTEDIR"/>
+ <Config>
+ <Option Name="Id" Val="78311367463f4d3c9b887dc98454a31b"/>
+ <Option Name="Part" Val="xc3s250evq100-4"/>
+ <Option Name="CompiledLibDir" Val="$PCACHEDIR/compile_simlib"/>
+ <Option Name="TargetLanguage" Val="Verilog"/>
+ <Option Name="TargetSimulator" Val="ISim"/>
+ <Option Name="Board" Val=""/>
+ <Option Name="SourceMgmtMode" Val="All"/>
+ <Option Name="ActiveSimSet" Val="sim_1"/>
+ <Option Name="CxlOverwriteLibs" Val="1"/>
+ <Option Name="CxlFuncsim" Val="1"/>
+ <Option Name="CxlTimesim" Val="1"/>
+ <Option Name="CxlCore" Val="1"/>
+ <Option Name="CxlEdk" Val="0"/>
+ <Option Name="CxlExcludeCores" Val="1"/>
+ <Option Name="CxlExcludeSubLibs" Val="0"/>
+ </Config>
+</Project>
+
diff --git a/planAhead_run_2/planAhead.jou b/planAhead_run_2/planAhead.jou
new file mode 100644
index 0000000..2c63572
--- /dev/null
+++ b/planAhead_run_2/planAhead.jou
@@ -0,0 +1,17 @@
+#-----------------------------------------------------------
+# PlanAhead v14.7 (64-bit)
+# Build 321239 by xbuild on Fri Sep 27 19:24:36 MDT 2013
+# Start of session at: Tue Feb 21 20:23:09 2017
+# Process ID: 7744
+# Log file: /home/yannherklotz/Github/FPGA-led-lights/planAhead_run_2/planAhead.log
+# Journal file: /home/yannherklotz/Github/FPGA-led-lights/planAhead_run_2/planAhead.jou
+#-----------------------------------------------------------
+start_gui
+source /home/yannherklotz/Github/FPGA-led-lights/pa.fromNetlist.tcl
+startgroup
+set_property package_pin P48 [get_ports A0]
+endgroup
+set_property is_loc_fixed false [get_ports [list A0]]
+set_property is_loc_fixed true [get_ports [list A0]]
+set_property iostandard LVTTL [get_ports [list A0]]
+save_constraints
diff --git a/planAhead_run_2/planAhead.log b/planAhead_run_2/planAhead.log
new file mode 100644
index 0000000..cc59684
--- /dev/null
+++ b/planAhead_run_2/planAhead.log
@@ -0,0 +1,182 @@
+#-----------------------------------------------------------
+# PlanAhead v14.7 (64-bit)
+# Build 321239 by xbuild on Fri Sep 27 19:24:36 MDT 2013
+# Start of session at: Tue Feb 21 20:23:09 2017
+# Process ID: 7744
+# Log file: /home/yannherklotz/Github/FPGA-led-lights/planAhead_run_2/planAhead.log
+# Journal file: /home/yannherklotz/Github/FPGA-led-lights/planAhead_run_2/planAhead.jou
+#-----------------------------------------------------------
+INFO: [Common 17-78] Attempting to get a license: PlanAhead
+INFO: [Common 17-290] Got license for PlanAhead
+INFO: [Device 21-36] Loading parts and site information from /opt/Xilinx/14.7/ISE_DS/PlanAhead/data/parts/arch.xml
+Parsing RTL primitives file [/opt/Xilinx/14.7/ISE_DS/PlanAhead/data/parts/xilinx/rtl/prims/rtl_prims.xml]
+Finished parsing RTL primitives file [/opt/Xilinx/14.7/ISE_DS/PlanAhead/data/parts/xilinx/rtl/prims/rtl_prims.xml]
+start_gui
+source /home/yannherklotz/Github/FPGA-led-lights/pa.fromNetlist.tcl
+# create_project -name FPGA-led-lights -dir "/home/yannherklotz/Github/FPGA-led-lights/planAhead_run_2" -part xc3s250evq100-4
+# set_property design_mode GateLvl [get_property srcset [current_run -impl]]
+# set_property edif_top_file "/home/yannherklotz/Github/FPGA-led-lights/led.ngc" [ get_property srcset [ current_run ] ]
+# add_files -norecurse { {/home/yannherklotz/Github/FPGA-led-lights} }
+# set_param project.pinAheadLayout yes
+# set_property target_constrs_file "/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf" [current_fileset -constrset]
+Adding file '/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf' to fileset 'constrs_1'
+# add_files [list {/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf}] -fileset [get_property constrset [current_run]]
+# link_design
+Design is defaulting to srcset: sources_1
+Design is defaulting to constrset: constrs_1
+Design is defaulting to project part: xc3s250evq100-4
+Release 14.7 - ngc2edif P.20131013 (lin64)
+Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved.
+
+Release 14.7 - ngc2edif P.20131013 (lin64)
+Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved.
+Reading design led.ngc ...
+WARNING:NetListWriters:298 - No output is written to led.xncf, ignored.
+Processing design ...
+ Preping design's networks ...
+ Preping design's macros ...
+ finished :Prep
+Writing EDIF netlist file led.edif ...
+ngc2edif: Total memory usage is 103520 kilobytes
+
+Parsing EDIF File [./planAhead_run_2/FPGA-led-lights.data/cache/led_ngc_cb841106.edif]
+Finished Parsing EDIF File [./planAhead_run_2/FPGA-led-lights.data/cache/led_ngc_cb841106.edif]
+INFO: [Designutils 20-910] Reading macro library /opt/Xilinx/14.7/ISE_DS/PlanAhead/data/./parts/xilinx/spartan3e/spartan3e/hd_int_macros.edn
+Parsing EDIF File [/opt/Xilinx/14.7/ISE_DS/PlanAhead/data/./parts/xilinx/spartan3e/spartan3e/hd_int_macros.edn]
+Finished Parsing EDIF File [/opt/Xilinx/14.7/ISE_DS/PlanAhead/data/./parts/xilinx/spartan3e/spartan3e/hd_int_macros.edn]
+Loading clock regions from /opt/Xilinx/14.7/ISE_DS/PlanAhead/data/parts/xilinx/spartan3e/spartan3e/xc3s250e/ClockRegion.xml
+Loading clock buffers from /opt/Xilinx/14.7/ISE_DS/PlanAhead/data/parts/xilinx/spartan3e/spartan3e/xc3s250e/ClockBuffers.xml
+Loading package from /opt/Xilinx/14.7/ISE_DS/PlanAhead/data/parts/xilinx/spartan3e/spartan3e/xc3s250e/vq100/Package.xml
+Loading io standards from /opt/Xilinx/14.7/ISE_DS/PlanAhead/data/./parts/xilinx/spartan3e/IOStandards.xml
+INFO: [Device 21-19] Loading pkg sso from /opt/Xilinx/14.7/ISE_DS/PlanAhead/data/parts/xilinx/spartan3e/spartan3e/xc3s250e/vq100/SSORules.xml
+Loading list of drcs for the architecture : /opt/Xilinx/14.7/ISE_DS/PlanAhead/data/./parts/xilinx/spartan3e/spartan3e/drc.xml
+INFO: [Timing 38-77] Reading timing library /opt/Xilinx/14.7/ISE_DS/PlanAhead/data/parts/xilinx/spartan3e/spartan3e/spartan3e-4.lib.
+INFO: [Timing 38-34] Done reading timing library /opt/Xilinx/14.7/ISE_DS/PlanAhead/data/parts/xilinx/spartan3e/spartan3e/spartan3e-4.lib.
+Parsing UCF File [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P144 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:25]
+WARNING: [Constraints 18-7] Clock terminal CLK is located on a non-clock IO location P94 this can produce sub-optimal results [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:29]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P101 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:30]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'A(0)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:32]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'A(0)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:32]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P51 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:33]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P56 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:34]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'A(3)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:35]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'A(3)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:35]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'A(4)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:36]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'A(4)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:36]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'A(5)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:37]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'A(5)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:37]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'A(6)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:38]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'A(6)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:38]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P75 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:39]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'A(8)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:40]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'A(8)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:40]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P81 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:41]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'A(10)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:42]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'A(10)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:42]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'A(11)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:43]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'A(11)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:43]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'A(12)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:44]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'A(12)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:44]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P93 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:45]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'A(14)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:46]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'A(14)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:46]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P100 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:47]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'B(0)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:48]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'B(0)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:48]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P97 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:49]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'B(2)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:50]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'B(2)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:50]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P87 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:51]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'B(4)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:52]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'B(4)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:52]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P82 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:53]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P80 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:54]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'B(7)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:55]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'B(7)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:55]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P74 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:56]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'B(9)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:57]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'B(9)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:57]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'B(10)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:58]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'B(10)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:58]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P59 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:59]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'B(12)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:60]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'B(12)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:60]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P55 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:61]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'B(14)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:62]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'B(14)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:62]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'B(15)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:63]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'B(15)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:63]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P114 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:64]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P115 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:65]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P116 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:66]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P117 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:67]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P118 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:68]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P119 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:69]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P120 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:70]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P121 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:71]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P123 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:72]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P124 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:73]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P126 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:74]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P127 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:75]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P131 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:76]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P132 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:77]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P133 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:78]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P134 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:79]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P140 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:80]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P139 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:81]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P138 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:82]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P137 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:83]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P46 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:84]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P45 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:85]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'SDRAM_ADDR(6)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:86]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'SDRAM_ADDR(6)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:86]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'SDRAM_ADDR(7)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:87]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'SDRAM_ADDR(7)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:87]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'SDRAM_ADDR(8)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:88]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'SDRAM_ADDR(8)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:88]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'SDRAM_ADDR(9)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:89]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'SDRAM_ADDR(9)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:89]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P141 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:90]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'SDRAM_ADDR(11)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:91]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'SDRAM_ADDR(11)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:91]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'SDRAM_ADDR(12)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:92]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'SDRAM_ADDR(12)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:92]
+INFO: [Common 17-14] Message 'Constraints 18-11' appears 50 times and further instances of the messages will be disabled. Use the Tcl command set_msg_limit to change the current settings.
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P14 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:97]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P8 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:100]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P21 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:101]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P29 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:107]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P7 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:109]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P143 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:111]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P142 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:112]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P6 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:113]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P1 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:116]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P112 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:119]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P107 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:120]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P109 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:121]
+INFO: [Common 17-14] Message 'Designutils 20-30' appears 50 times and further instances of the messages will be disabled. Use the Tcl command set_msg_limit to change the current settings.
+Finished Parsing UCF File [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf]
+INFO: [Designutils 20-20] Invalid constraints found, use command 'write_ucf -constraints invalid <file>' to save all the invalid constraints to a file
+INFO: [Project 1-111] Unisim Transformation Summary:
+No Unisim elements were transformed.
+
+Phase 0 | Netlist Checksum: 790793e2
+link_design: Time (s): cpu = 00:00:12 ; elapsed = 00:00:06 . Memory (MB): peak = 2818.992 ; gain = 132.293
+startgroup
+set_property package_pin P48 [get_ports A0]
+endgroup
+set_property is_loc_fixed false [get_ports [list A0]]
+set_property is_loc_fixed true [get_ports [list A0]]
+set_property iostandard LVTTL [get_ports [list A0]]
+save_constraints
+exit
+ERROR: [#UNDEF] *** Exception: ui.h.b: Found deleted key in HTclEventBroker. Verify if the classes listed here call cleanup()
+HTclEvent: SIGNAL_BUS_MODIFY Classes: ui.views.aR
+HTclEvent: DEBUG_CORE_CONFIG_CHANGE Classes: ui.views.aR
+HTclEvent: DEBUG_PORT_CONFIG_CHANGE Classes: ui.views.aR
+HTclEvent: SIGNAL_MODIFY Classes: ui.views.aR
+ (See /home/yannherklotz/Github/FPGA-led-lights/planAhead_pid7744.debug)
+ERROR: [Common 17-39] 'stop_gui' failed due to earlier errors.
+INFO: [Common 17-206] Exiting PlanAhead at Tue Feb 21 20:24:51 2017...
+INFO: [Common 17-83] Releasing license: PlanAhead
diff --git a/planAhead_run_2/planAhead_run.log b/planAhead_run_2/planAhead_run.log
new file mode 100644
index 0000000..319ae82
--- /dev/null
+++ b/planAhead_run_2/planAhead_run.log
@@ -0,0 +1,179 @@
+
+****** PlanAhead v14.7 (64-bit)
+ **** Build 321239 by xbuild on Fri Sep 27 19:24:36 MDT 2013
+ ** Copyright 1986-1999, 2001-2013 Xilinx, Inc. All Rights Reserved.
+
+INFO: [Common 17-78] Attempting to get a license: PlanAhead
+INFO: [Common 17-290] Got license for PlanAhead
+INFO: [Device 21-36] Loading parts and site information from /opt/Xilinx/14.7/ISE_DS/PlanAhead/data/parts/arch.xml
+Parsing RTL primitives file [/opt/Xilinx/14.7/ISE_DS/PlanAhead/data/parts/xilinx/rtl/prims/rtl_prims.xml]
+Finished parsing RTL primitives file [/opt/Xilinx/14.7/ISE_DS/PlanAhead/data/parts/xilinx/rtl/prims/rtl_prims.xml]
+start_gui
+source /home/yannherklotz/Github/FPGA-led-lights/pa.fromNetlist.tcl
+# create_project -name FPGA-led-lights -dir "/home/yannherklotz/Github/FPGA-led-lights/planAhead_run_2" -part xc3s250evq100-4
+# set_property design_mode GateLvl [get_property srcset [current_run -impl]]
+# set_property edif_top_file "/home/yannherklotz/Github/FPGA-led-lights/led.ngc" [ get_property srcset [ current_run ] ]
+# add_files -norecurse { {/home/yannherklotz/Github/FPGA-led-lights} }
+# set_param project.pinAheadLayout yes
+# set_property target_constrs_file "/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf" [current_fileset -constrset]
+Adding file '/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf' to fileset 'constrs_1'
+# add_files [list {/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf}] -fileset [get_property constrset [current_run]]
+# link_design
+Design is defaulting to srcset: sources_1
+Design is defaulting to constrset: constrs_1
+Design is defaulting to project part: xc3s250evq100-4
+Release 14.7 - ngc2edif P.20131013 (lin64)
+Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved.
+
+Release 14.7 - ngc2edif P.20131013 (lin64)
+Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved.
+Reading design led.ngc ...
+WARNING:NetListWriters:298 - No output is written to led.xncf, ignored.
+Processing design ...
+ Preping design's networks ...
+ Preping design's macros ...
+ finished :Prep
+Writing EDIF netlist file led.edif ...
+ngc2edif: Total memory usage is 103520 kilobytes
+
+Parsing EDIF File [./planAhead_run_2/FPGA-led-lights.data/cache/led_ngc_cb841106.edif]
+Finished Parsing EDIF File [./planAhead_run_2/FPGA-led-lights.data/cache/led_ngc_cb841106.edif]
+INFO: [Designutils 20-910] Reading macro library /opt/Xilinx/14.7/ISE_DS/PlanAhead/data/./parts/xilinx/spartan3e/spartan3e/hd_int_macros.edn
+Parsing EDIF File [/opt/Xilinx/14.7/ISE_DS/PlanAhead/data/./parts/xilinx/spartan3e/spartan3e/hd_int_macros.edn]
+Finished Parsing EDIF File [/opt/Xilinx/14.7/ISE_DS/PlanAhead/data/./parts/xilinx/spartan3e/spartan3e/hd_int_macros.edn]
+Loading clock regions from /opt/Xilinx/14.7/ISE_DS/PlanAhead/data/parts/xilinx/spartan3e/spartan3e/xc3s250e/ClockRegion.xml
+Loading clock buffers from /opt/Xilinx/14.7/ISE_DS/PlanAhead/data/parts/xilinx/spartan3e/spartan3e/xc3s250e/ClockBuffers.xml
+Loading package from /opt/Xilinx/14.7/ISE_DS/PlanAhead/data/parts/xilinx/spartan3e/spartan3e/xc3s250e/vq100/Package.xml
+Loading io standards from /opt/Xilinx/14.7/ISE_DS/PlanAhead/data/./parts/xilinx/spartan3e/IOStandards.xml
+INFO: [Device 21-19] Loading pkg sso from /opt/Xilinx/14.7/ISE_DS/PlanAhead/data/parts/xilinx/spartan3e/spartan3e/xc3s250e/vq100/SSORules.xml
+Loading list of drcs for the architecture : /opt/Xilinx/14.7/ISE_DS/PlanAhead/data/./parts/xilinx/spartan3e/spartan3e/drc.xml
+INFO: [Timing 38-77] Reading timing library /opt/Xilinx/14.7/ISE_DS/PlanAhead/data/parts/xilinx/spartan3e/spartan3e/spartan3e-4.lib.
+INFO: [Timing 38-34] Done reading timing library /opt/Xilinx/14.7/ISE_DS/PlanAhead/data/parts/xilinx/spartan3e/spartan3e/spartan3e-4.lib.
+Parsing UCF File [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P144 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:25]
+WARNING: [Constraints 18-7] Clock terminal CLK is located on a non-clock IO location P94 this can produce sub-optimal results [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:29]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P101 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:30]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'A(0)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:32]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'A(0)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:32]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P51 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:33]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P56 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:34]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'A(3)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:35]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'A(3)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:35]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'A(4)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:36]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'A(4)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:36]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'A(5)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:37]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'A(5)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:37]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'A(6)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:38]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'A(6)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:38]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P75 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:39]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'A(8)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:40]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'A(8)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:40]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P81 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:41]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'A(10)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:42]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'A(10)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:42]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'A(11)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:43]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'A(11)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:43]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'A(12)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:44]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'A(12)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:44]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P93 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:45]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'A(14)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:46]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'A(14)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:46]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P100 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:47]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'B(0)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:48]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'B(0)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:48]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P97 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:49]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'B(2)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:50]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'B(2)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:50]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P87 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:51]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'B(4)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:52]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'B(4)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:52]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P82 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:53]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P80 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:54]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'B(7)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:55]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'B(7)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:55]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P74 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:56]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'B(9)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:57]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'B(9)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:57]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'B(10)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:58]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'B(10)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:58]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P59 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:59]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'B(12)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:60]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'B(12)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:60]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P55 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:61]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'B(14)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:62]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'B(14)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:62]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'B(15)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:63]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'B(15)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:63]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P114 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:64]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P115 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:65]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P116 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:66]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P117 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:67]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P118 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:68]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P119 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:69]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P120 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:70]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P121 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:71]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P123 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:72]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P124 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:73]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P126 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:74]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P127 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:75]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P131 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:76]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P132 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:77]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P133 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:78]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P134 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:79]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P140 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:80]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P139 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:81]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P138 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:82]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P137 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:83]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P46 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:84]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P45 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:85]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'SDRAM_ADDR(6)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:86]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'SDRAM_ADDR(6)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:86]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'SDRAM_ADDR(7)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:87]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'SDRAM_ADDR(7)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:87]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'SDRAM_ADDR(8)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:88]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'SDRAM_ADDR(8)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:88]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'SDRAM_ADDR(9)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:89]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'SDRAM_ADDR(9)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:89]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P141 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:90]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'SDRAM_ADDR(11)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:91]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'SDRAM_ADDR(11)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:91]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'SDRAM_ADDR(12)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:92]
+CRITICAL WARNING: [Constraints 18-11] Could not find net or pin 'SDRAM_ADDR(12)' [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:92]
+INFO: [Common 17-14] Message 'Constraints 18-11' appears 50 times and further instances of the messages will be disabled. Use the Tcl command set_msg_limit to change the current settings.
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P14 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:97]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P8 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:100]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P21 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:101]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P29 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:107]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P7 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:109]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P143 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:111]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P142 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:112]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P6 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:113]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P1 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:116]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P112 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:119]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P107 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:120]
+CRITICAL WARNING: [Designutils 20-30] Unrecognized site P109 [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf:121]
+INFO: [Common 17-14] Message 'Designutils 20-30' appears 50 times and further instances of the messages will be disabled. Use the Tcl command set_msg_limit to change the current settings.
+Finished Parsing UCF File [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf]
+INFO: [Designutils 20-20] Invalid constraints found, use command 'write_ucf -constraints invalid <file>' to save all the invalid constraints to a file
+INFO: [Project 1-111] Unisim Transformation Summary:
+No Unisim elements were transformed.
+
+Phase 0 | Netlist Checksum: 790793e2
+link_design: Time (s): cpu = 00:00:12 ; elapsed = 00:00:06 . Memory (MB): peak = 2818.992 ; gain = 132.293
+startgroup
+set_property package_pin P48 [get_ports A0]
+endgroup
+set_property is_loc_fixed false [get_ports [list A0]]
+set_property is_loc_fixed true [get_ports [list A0]]
+set_property iostandard LVTTL [get_ports [list A0]]
+MEvent. CASE!
+MEvent. CASE!
+MEvent. CASE!
+MEvent. CASE!
+MEvent. CASE!
+MEvent. CASE!
+save_constraints
+exit
+ERROR: [Common 17-39] 'stop_gui' failed due to earlier errors.
+INFO: [Common 17-206] Exiting PlanAhead at Tue Feb 21 20:24:51 2017...
+INFO: [Common 17-83] Releasing license: PlanAhead
diff --git a/planAhead_run_3/FPGA-led-lights.data/cache/led_ngc_cb841106.edif b/planAhead_run_3/FPGA-led-lights.data/cache/led_ngc_cb841106.edif
new file mode 100644
index 0000000..0aaccc0
--- /dev/null
+++ b/planAhead_run_3/FPGA-led-lights.data/cache/led_ngc_cb841106.edif
@@ -0,0 +1,1286 @@
+(edif led
+ (edifVersion 2 0 0)
+ (edifLevel 0)
+ (keywordMap (keywordLevel 0))
+ (status
+ (written
+ (timestamp 2017 2 21 21 46 16)
+ (program "Xilinx ngc2edif" (version "P.20131013"))
+ (author "Xilinx. Inc ")
+ (comment "This EDIF netlist is to be used within supported synthesis tools")
+ (comment "for determining resource/timing estimates of the design component")
+ (comment "represented by this netlist.")
+ (comment "Command line: -mdp2sp -w -secure led.ngc led.edif ")))
+ (external UNISIMS
+ (edifLevel 0)
+ (technology (numberDefinition))
+ (cell VCC
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port P
+ (direction OUTPUT)
+ )
+ )
+ )
+ )
+ (cell GND
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port G
+ (direction OUTPUT)
+ )
+ )
+ )
+ )
+ (cell MUXCY
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port CI
+ (direction INPUT)
+ )
+ (port DI
+ (direction INPUT)
+ )
+ (port S
+ (direction INPUT)
+ )
+ (port O
+ (direction OUTPUT)
+ )
+ )
+ )
+ )
+ (cell XORCY
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port CI
+ (direction INPUT)
+ )
+ (port LI
+ (direction INPUT)
+ )
+ (port O
+ (direction OUTPUT)
+ )
+ )
+ )
+ )
+ (cell LUT6
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port I0
+ (direction INPUT)
+ )
+ (port I1
+ (direction INPUT)
+ )
+ (port I2
+ (direction INPUT)
+ )
+ (port I3
+ (direction INPUT)
+ )
+ (port I4
+ (direction INPUT)
+ )
+ (port I5
+ (direction INPUT)
+ )
+ (port O
+ (direction OUTPUT)
+ )
+ )
+ )
+ )
+ (cell OBUF
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port I
+ (direction INPUT)
+ )
+ (port O
+ (direction OUTPUT)
+ )
+ )
+ )
+ )
+ (cell LUT1
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port I0
+ (direction INPUT)
+ )
+ (port O
+ (direction OUTPUT)
+ )
+ )
+ )
+ )
+ (cell LUT3
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port I0
+ (direction INPUT)
+ )
+ (port I1
+ (direction INPUT)
+ )
+ (port I2
+ (direction INPUT)
+ )
+ (port O
+ (direction OUTPUT)
+ )
+ )
+ )
+ )
+ (cell FD
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port C
+ (direction INPUT)
+ )
+ (port D
+ (direction INPUT)
+ )
+ (port Q
+ (direction OUTPUT)
+ )
+ )
+ )
+ )
+ (cell LUT2
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port I0
+ (direction INPUT)
+ )
+ (port I1
+ (direction INPUT)
+ )
+ (port O
+ (direction OUTPUT)
+ )
+ )
+ )
+ )
+ (cell BUFGP
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port I
+ (direction INPUT)
+ )
+ (port O
+ (direction OUTPUT)
+ )
+ )
+ )
+ )
+ (cell INV
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port I
+ (direction INPUT)
+ )
+ (port O
+ (direction OUTPUT)
+ )
+ )
+ )
+ )
+ )
+
+ (library led_lib
+ (edifLevel 0)
+ (technology (numberDefinition))
+ (cell led
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port CLK
+ (direction INPUT)
+ )
+ (port LED1
+ (direction OUTPUT)
+ )
+ (designator "xc6slx9-2-tqg144")
+ (property TYPE (string "led") (owner "Xilinx"))
+ (property SHREG_MIN_SIZE (string "2") (owner "Xilinx"))
+ (property SHREG_EXTRACT_NGC (string "YES") (owner "Xilinx"))
+ (property NLW_UNIQUE_ID (integer 0) (owner "Xilinx"))
+ (property NLW_MACRO_TAG (integer 0) (owner "Xilinx"))
+ (property NLW_MACRO_ALIAS (string "led_led") (owner "Xilinx"))
+ )
+ (contents
+ (instance XST_VCC
+ (viewRef view_1 (cellRef VCC (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance XST_GND
+ (viewRef view_1 (cellRef GND (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename Mcount_count_cy_0___renamed_0 "Mcount_count_cy<0>")
+ (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename Mcount_count_xor_0__ "Mcount_count_xor<0>")
+ (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename Mcount_count_cy_1___renamed_1 "Mcount_count_cy<1>")
+ (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename Mcount_count_xor_1__ "Mcount_count_xor<1>")
+ (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename Mcount_count_cy_2___renamed_2 "Mcount_count_cy<2>")
+ (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename Mcount_count_xor_2__ "Mcount_count_xor<2>")
+ (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename Mcount_count_cy_3___renamed_3 "Mcount_count_cy<3>")
+ (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename Mcount_count_xor_3__ "Mcount_count_xor<3>")
+ (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename Mcount_count_cy_4___renamed_4 "Mcount_count_cy<4>")
+ (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename Mcount_count_xor_4__ "Mcount_count_xor<4>")
+ (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename Mcount_count_cy_5___renamed_5 "Mcount_count_cy<5>")
+ (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename Mcount_count_xor_5__ "Mcount_count_xor<5>")
+ (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename Mcount_count_cy_6___renamed_6 "Mcount_count_cy<6>")
+ (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename Mcount_count_xor_6__ "Mcount_count_xor<6>")
+ (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename Mcount_count_cy_7___renamed_7 "Mcount_count_cy<7>")
+ (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename Mcount_count_xor_7__ "Mcount_count_xor<7>")
+ (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename Mcount_count_cy_8___renamed_8 "Mcount_count_cy<8>")
+ (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename Mcount_count_xor_8__ "Mcount_count_xor<8>")
+ (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename Mcount_count_cy_9___renamed_9 "Mcount_count_cy<9>")
+ (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename Mcount_count_xor_9__ "Mcount_count_xor<9>")
+ (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename Mcount_count_cy_10___renamed_10 "Mcount_count_cy<10>")
+ (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename Mcount_count_xor_10__ "Mcount_count_xor<10>")
+ (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename Mcount_count_cy_11___renamed_11 "Mcount_count_cy<11>")
+ (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename Mcount_count_xor_11__ "Mcount_count_xor<11>")
+ (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename Mcount_count_cy_12___renamed_12 "Mcount_count_cy<12>")
+ (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename Mcount_count_xor_12__ "Mcount_count_xor<12>")
+ (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename Mcount_count_cy_13___renamed_13 "Mcount_count_cy<13>")
+ (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename Mcount_count_xor_13__ "Mcount_count_xor<13>")
+ (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename Mcount_count_cy_14___renamed_14 "Mcount_count_cy<14>")
+ (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename Mcount_count_xor_14__ "Mcount_count_xor<14>")
+ (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename Mcount_count_xor_15__ "Mcount_count_xor<15>")
+ (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename count_15__GND_1_o_equal_2_o_15_1_renamed_15 "count[15]_GND_1_o_equal_2_o<15>1")
+ (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000000000000001") (owner "Xilinx"))
+ )
+ (instance (rename count_15__GND_1_o_equal_2_o_15_2 "count[15]_GND_1_o_equal_2_o<15>2")
+ (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000000000000001") (owner "Xilinx"))
+ )
+ (instance (rename count_15__GND_1_o_equal_2_o_15_3 "count[15]_GND_1_o_equal_2_o<15>3")
+ (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0001000000000000") (owner "Xilinx"))
+ )
+ (instance (rename LED1_OBUF_renamed_16 "LED1_OBUF")
+ (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename Mcount_count_cy_0__rt_renamed_17 "Mcount_count_cy<0>_rt")
+ (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "2") (owner "Xilinx"))
+ )
+ (instance (rename LED1_dpot_renamed_18 "LED1_dpot")
+ (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "AAAAAAA9AAAAAAAA") (owner "Xilinx"))
+ )
+ (instance (rename LED1_rstpot_renamed_19 "LED1_rstpot")
+ (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "E2") (owner "Xilinx"))
+ )
+ (instance (rename LED1_renamed_20 "LED1")
+ (viewRef view_1 (cellRef FD (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance (rename count_1_rstpot_renamed_21 "count_1_rstpot")
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "E") (owner "Xilinx"))
+ )
+ (instance count_1
+ (viewRef view_1 (cellRef FD (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "1") (owner "Xilinx"))
+ )
+ (instance (rename count_0_rstpot_renamed_22 "count_0_rstpot")
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "E") (owner "Xilinx"))
+ )
+ (instance count_0
+ (viewRef view_1 (cellRef FD (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "1") (owner "Xilinx"))
+ )
+ (instance (rename count_2_rstpot_renamed_23 "count_2_rstpot")
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "E") (owner "Xilinx"))
+ )
+ (instance count_2
+ (viewRef view_1 (cellRef FD (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "1") (owner "Xilinx"))
+ )
+ (instance (rename count_3_rstpot_renamed_24 "count_3_rstpot")
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "E") (owner "Xilinx"))
+ )
+ (instance count_3
+ (viewRef view_1 (cellRef FD (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "1") (owner "Xilinx"))
+ )
+ (instance (rename count_4_rstpot_renamed_25 "count_4_rstpot")
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "2") (owner "Xilinx"))
+ )
+ (instance count_4
+ (viewRef view_1 (cellRef FD (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance (rename count_5_rstpot_renamed_26 "count_5_rstpot")
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "2") (owner "Xilinx"))
+ )
+ (instance count_5
+ (viewRef view_1 (cellRef FD (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance (rename count_6_rstpot_renamed_27 "count_6_rstpot")
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "E") (owner "Xilinx"))
+ )
+ (instance count_6
+ (viewRef view_1 (cellRef FD (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "1") (owner "Xilinx"))
+ )
+ (instance (rename count_7_rstpot_renamed_28 "count_7_rstpot")
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "2") (owner "Xilinx"))
+ )
+ (instance count_7
+ (viewRef view_1 (cellRef FD (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance (rename count_8_rstpot_renamed_29 "count_8_rstpot")
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "E") (owner "Xilinx"))
+ )
+ (instance count_8
+ (viewRef view_1 (cellRef FD (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "1") (owner "Xilinx"))
+ )
+ (instance (rename count_9_rstpot_renamed_30 "count_9_rstpot")
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "E") (owner "Xilinx"))
+ )
+ (instance count_9
+ (viewRef view_1 (cellRef FD (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "1") (owner "Xilinx"))
+ )
+ (instance (rename count_10_rstpot_renamed_31 "count_10_rstpot")
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "2") (owner "Xilinx"))
+ )
+ (instance count_10
+ (viewRef view_1 (cellRef FD (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance (rename count_11_rstpot_renamed_32 "count_11_rstpot")
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "2") (owner "Xilinx"))
+ )
+ (instance count_11
+ (viewRef view_1 (cellRef FD (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance (rename count_12_rstpot_renamed_33 "count_12_rstpot")
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "2") (owner "Xilinx"))
+ )
+ (instance count_12
+ (viewRef view_1 (cellRef FD (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance (rename count_13_rstpot_renamed_34 "count_13_rstpot")
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "2") (owner "Xilinx"))
+ )
+ (instance count_13
+ (viewRef view_1 (cellRef FD (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance (rename count_14_rstpot_renamed_35 "count_14_rstpot")
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "E") (owner "Xilinx"))
+ )
+ (instance count_14
+ (viewRef view_1 (cellRef FD (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "1") (owner "Xilinx"))
+ )
+ (instance (rename count_15_rstpot_renamed_36 "count_15_rstpot")
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "E") (owner "Xilinx"))
+ )
+ (instance count_15
+ (viewRef view_1 (cellRef FD (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "1") (owner "Xilinx"))
+ )
+ (instance (rename CLK_BUFGP_renamed_37 "CLK_BUFGP")
+ (viewRef view_1 (cellRef BUFGP (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename Mcount_count_lut_1__INV_0 "Mcount_count_lut<1>_INV_0")
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename Mcount_count_lut_2__INV_0 "Mcount_count_lut<2>_INV_0")
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename Mcount_count_lut_3__INV_0 "Mcount_count_lut<3>_INV_0")
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename Mcount_count_lut_4__INV_0 "Mcount_count_lut<4>_INV_0")
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename Mcount_count_lut_5__INV_0 "Mcount_count_lut<5>_INV_0")
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename Mcount_count_lut_6__INV_0 "Mcount_count_lut<6>_INV_0")
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename Mcount_count_lut_7__INV_0 "Mcount_count_lut<7>_INV_0")
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename Mcount_count_lut_8__INV_0 "Mcount_count_lut<8>_INV_0")
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename Mcount_count_lut_9__INV_0 "Mcount_count_lut<9>_INV_0")
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename Mcount_count_lut_10__INV_0 "Mcount_count_lut<10>_INV_0")
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename Mcount_count_lut_11__INV_0 "Mcount_count_lut<11>_INV_0")
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename Mcount_count_lut_12__INV_0 "Mcount_count_lut<12>_INV_0")
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename Mcount_count_lut_13__INV_0 "Mcount_count_lut<13>_INV_0")
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename Mcount_count_lut_14__INV_0 "Mcount_count_lut<14>_INV_0")
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename Mcount_count_lut_15__INV_0 "Mcount_count_lut<15>_INV_0")
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (net CLK_BUFGP
+ (joined
+ (portRef C (instanceRef LED1_renamed_20))
+ (portRef C (instanceRef count_1))
+ (portRef C (instanceRef count_0))
+ (portRef C (instanceRef count_2))
+ (portRef C (instanceRef count_3))
+ (portRef C (instanceRef count_4))
+ (portRef C (instanceRef count_5))
+ (portRef C (instanceRef count_6))
+ (portRef C (instanceRef count_7))
+ (portRef C (instanceRef count_8))
+ (portRef C (instanceRef count_9))
+ (portRef C (instanceRef count_10))
+ (portRef C (instanceRef count_11))
+ (portRef C (instanceRef count_12))
+ (portRef C (instanceRef count_13))
+ (portRef C (instanceRef count_14))
+ (portRef C (instanceRef count_15))
+ (portRef O (instanceRef CLK_BUFGP_renamed_37))
+ )
+ )
+ (net (rename count_15__ "count<15>")
+ (joined
+ (portRef I4 (instanceRef LED1_dpot_renamed_18))
+ (portRef Q (instanceRef count_15))
+ (portRef I (instanceRef Mcount_count_lut_15__INV_0))
+ (portRef I0 (instanceRef count_15__GND_1_o_equal_2_o_15_3))
+ )
+ )
+ (net (rename count_14__ "count<14>")
+ (joined
+ (portRef I3 (instanceRef LED1_dpot_renamed_18))
+ (portRef Q (instanceRef count_14))
+ (portRef I (instanceRef Mcount_count_lut_14__INV_0))
+ (portRef I1 (instanceRef count_15__GND_1_o_equal_2_o_15_3))
+ )
+ )
+ (net (rename count_13__ "count<13>")
+ (joined
+ (portRef I1 (instanceRef LED1_dpot_renamed_18))
+ (portRef Q (instanceRef count_13))
+ (portRef I (instanceRef Mcount_count_lut_13__INV_0))
+ (portRef I3 (instanceRef count_15__GND_1_o_equal_2_o_15_3))
+ )
+ )
+ (net (rename count_12__ "count<12>")
+ (joined
+ (portRef I2 (instanceRef LED1_dpot_renamed_18))
+ (portRef Q (instanceRef count_12))
+ (portRef I (instanceRef Mcount_count_lut_12__INV_0))
+ (portRef I2 (instanceRef count_15__GND_1_o_equal_2_o_15_3))
+ )
+ )
+ (net (rename count_11__ "count<11>")
+ (joined
+ (portRef I5 (instanceRef count_15__GND_1_o_equal_2_o_15_2))
+ (portRef Q (instanceRef count_11))
+ (portRef I (instanceRef Mcount_count_lut_11__INV_0))
+ )
+ )
+ (net (rename count_10__ "count<10>")
+ (joined
+ (portRef I4 (instanceRef count_15__GND_1_o_equal_2_o_15_2))
+ (portRef Q (instanceRef count_10))
+ (portRef I (instanceRef Mcount_count_lut_10__INV_0))
+ )
+ )
+ (net (rename count_9__ "count<9>")
+ (joined
+ (portRef I3 (instanceRef count_15__GND_1_o_equal_2_o_15_2))
+ (portRef Q (instanceRef count_9))
+ (portRef I (instanceRef Mcount_count_lut_9__INV_0))
+ )
+ )
+ (net (rename count_8__ "count<8>")
+ (joined
+ (portRef I2 (instanceRef count_15__GND_1_o_equal_2_o_15_2))
+ (portRef Q (instanceRef count_8))
+ (portRef I (instanceRef Mcount_count_lut_8__INV_0))
+ )
+ )
+ (net (rename count_7__ "count<7>")
+ (joined
+ (portRef I0 (instanceRef count_15__GND_1_o_equal_2_o_15_2))
+ (portRef Q (instanceRef count_7))
+ (portRef I (instanceRef Mcount_count_lut_7__INV_0))
+ )
+ )
+ (net (rename count_6__ "count<6>")
+ (joined
+ (portRef I1 (instanceRef count_15__GND_1_o_equal_2_o_15_2))
+ (portRef Q (instanceRef count_6))
+ (portRef I (instanceRef Mcount_count_lut_6__INV_0))
+ )
+ )
+ (net (rename count_5__ "count<5>")
+ (joined
+ (portRef I5 (instanceRef count_15__GND_1_o_equal_2_o_15_1_renamed_15))
+ (portRef Q (instanceRef count_5))
+ (portRef I (instanceRef Mcount_count_lut_5__INV_0))
+ )
+ )
+ (net (rename count_4__ "count<4>")
+ (joined
+ (portRef I4 (instanceRef count_15__GND_1_o_equal_2_o_15_1_renamed_15))
+ (portRef Q (instanceRef count_4))
+ (portRef I (instanceRef Mcount_count_lut_4__INV_0))
+ )
+ )
+ (net (rename count_3__ "count<3>")
+ (joined
+ (portRef I3 (instanceRef count_15__GND_1_o_equal_2_o_15_1_renamed_15))
+ (portRef Q (instanceRef count_3))
+ (portRef I (instanceRef Mcount_count_lut_3__INV_0))
+ )
+ )
+ (net (rename count_2__ "count<2>")
+ (joined
+ (portRef I2 (instanceRef count_15__GND_1_o_equal_2_o_15_1_renamed_15))
+ (portRef Q (instanceRef count_2))
+ (portRef I (instanceRef Mcount_count_lut_2__INV_0))
+ )
+ )
+ (net (rename count_1__ "count<1>")
+ (joined
+ (portRef I0 (instanceRef count_15__GND_1_o_equal_2_o_15_1_renamed_15))
+ (portRef Q (instanceRef count_1))
+ (portRef I (instanceRef Mcount_count_lut_1__INV_0))
+ )
+ )
+ (net (rename count_0__ "count<0>")
+ (joined
+ (portRef I1 (instanceRef count_15__GND_1_o_equal_2_o_15_1_renamed_15))
+ (portRef I0 (instanceRef Mcount_count_cy_0__rt_renamed_17))
+ (portRef Q (instanceRef count_0))
+ )
+ )
+ (net LED1_OBUF
+ (joined
+ (portRef I (instanceRef LED1_OBUF_renamed_16))
+ (portRef I0 (instanceRef LED1_dpot_renamed_18))
+ (portRef Q (instanceRef LED1_renamed_20))
+ (portRef I0 (instanceRef LED1_rstpot_renamed_19))
+ )
+ )
+ (net (rename count_15__GND_1_o_equal_2_o "count[15]_GND_1_o_equal_2_o")
+ (joined
+ (portRef O (instanceRef count_15__GND_1_o_equal_2_o_15_3))
+ (portRef I1 (instanceRef count_1_rstpot_renamed_21))
+ (portRef I1 (instanceRef count_0_rstpot_renamed_22))
+ (portRef I1 (instanceRef count_2_rstpot_renamed_23))
+ (portRef I1 (instanceRef count_3_rstpot_renamed_24))
+ (portRef I1 (instanceRef count_4_rstpot_renamed_25))
+ (portRef I1 (instanceRef count_5_rstpot_renamed_26))
+ (portRef I1 (instanceRef count_6_rstpot_renamed_27))
+ (portRef I1 (instanceRef count_7_rstpot_renamed_28))
+ (portRef I1 (instanceRef count_8_rstpot_renamed_29))
+ (portRef I1 (instanceRef count_9_rstpot_renamed_30))
+ (portRef I1 (instanceRef count_10_rstpot_renamed_31))
+ (portRef I1 (instanceRef count_11_rstpot_renamed_32))
+ (portRef I1 (instanceRef count_12_rstpot_renamed_33))
+ (portRef I1 (instanceRef count_13_rstpot_renamed_34))
+ (portRef I1 (instanceRef count_14_rstpot_renamed_35))
+ (portRef I1 (instanceRef count_15_rstpot_renamed_36))
+ )
+ )
+ (net N0
+ (joined
+ (portRef P (instanceRef XST_VCC))
+ (portRef CI (instanceRef Mcount_count_cy_0___renamed_0))
+ (portRef CI (instanceRef Mcount_count_xor_0__))
+ (portRef DI (instanceRef Mcount_count_cy_1___renamed_1))
+ (portRef DI (instanceRef Mcount_count_cy_2___renamed_2))
+ (portRef DI (instanceRef Mcount_count_cy_3___renamed_3))
+ (portRef DI (instanceRef Mcount_count_cy_4___renamed_4))
+ (portRef DI (instanceRef Mcount_count_cy_5___renamed_5))
+ (portRef DI (instanceRef Mcount_count_cy_6___renamed_6))
+ (portRef DI (instanceRef Mcount_count_cy_7___renamed_7))
+ (portRef DI (instanceRef Mcount_count_cy_8___renamed_8))
+ (portRef DI (instanceRef Mcount_count_cy_9___renamed_9))
+ (portRef DI (instanceRef Mcount_count_cy_10___renamed_10))
+ (portRef DI (instanceRef Mcount_count_cy_11___renamed_11))
+ (portRef DI (instanceRef Mcount_count_cy_12___renamed_12))
+ (portRef DI (instanceRef Mcount_count_cy_13___renamed_13))
+ (portRef DI (instanceRef Mcount_count_cy_14___renamed_14))
+ )
+ )
+ (net N1
+ (joined
+ (portRef G (instanceRef XST_GND))
+ (portRef DI (instanceRef Mcount_count_cy_0___renamed_0))
+ )
+ )
+ (net (rename Result_0__ "Result<0>")
+ (joined
+ (portRef O (instanceRef Mcount_count_xor_0__))
+ (portRef I0 (instanceRef count_0_rstpot_renamed_22))
+ )
+ )
+ (net (rename Result_1__ "Result<1>")
+ (joined
+ (portRef O (instanceRef Mcount_count_xor_1__))
+ (portRef I0 (instanceRef count_1_rstpot_renamed_21))
+ )
+ )
+ (net (rename Result_2__ "Result<2>")
+ (joined
+ (portRef O (instanceRef Mcount_count_xor_2__))
+ (portRef I0 (instanceRef count_2_rstpot_renamed_23))
+ )
+ )
+ (net (rename Result_3__ "Result<3>")
+ (joined
+ (portRef O (instanceRef Mcount_count_xor_3__))
+ (portRef I0 (instanceRef count_3_rstpot_renamed_24))
+ )
+ )
+ (net (rename Result_4__ "Result<4>")
+ (joined
+ (portRef O (instanceRef Mcount_count_xor_4__))
+ (portRef I0 (instanceRef count_4_rstpot_renamed_25))
+ )
+ )
+ (net (rename Result_5__ "Result<5>")
+ (joined
+ (portRef O (instanceRef Mcount_count_xor_5__))
+ (portRef I0 (instanceRef count_5_rstpot_renamed_26))
+ )
+ )
+ (net (rename Result_6__ "Result<6>")
+ (joined
+ (portRef O (instanceRef Mcount_count_xor_6__))
+ (portRef I0 (instanceRef count_6_rstpot_renamed_27))
+ )
+ )
+ (net (rename Result_7__ "Result<7>")
+ (joined
+ (portRef O (instanceRef Mcount_count_xor_7__))
+ (portRef I0 (instanceRef count_7_rstpot_renamed_28))
+ )
+ )
+ (net (rename Result_8__ "Result<8>")
+ (joined
+ (portRef O (instanceRef Mcount_count_xor_8__))
+ (portRef I0 (instanceRef count_8_rstpot_renamed_29))
+ )
+ )
+ (net (rename Result_9__ "Result<9>")
+ (joined
+ (portRef O (instanceRef Mcount_count_xor_9__))
+ (portRef I0 (instanceRef count_9_rstpot_renamed_30))
+ )
+ )
+ (net (rename Result_10__ "Result<10>")
+ (joined
+ (portRef O (instanceRef Mcount_count_xor_10__))
+ (portRef I0 (instanceRef count_10_rstpot_renamed_31))
+ )
+ )
+ (net (rename Result_11__ "Result<11>")
+ (joined
+ (portRef O (instanceRef Mcount_count_xor_11__))
+ (portRef I0 (instanceRef count_11_rstpot_renamed_32))
+ )
+ )
+ (net (rename Result_12__ "Result<12>")
+ (joined
+ (portRef O (instanceRef Mcount_count_xor_12__))
+ (portRef I0 (instanceRef count_12_rstpot_renamed_33))
+ )
+ )
+ (net (rename Result_13__ "Result<13>")
+ (joined
+ (portRef O (instanceRef Mcount_count_xor_13__))
+ (portRef I0 (instanceRef count_13_rstpot_renamed_34))
+ )
+ )
+ (net (rename Result_14__ "Result<14>")
+ (joined
+ (portRef O (instanceRef Mcount_count_xor_14__))
+ (portRef I0 (instanceRef count_14_rstpot_renamed_35))
+ )
+ )
+ (net (rename Result_15__ "Result<15>")
+ (joined
+ (portRef O (instanceRef Mcount_count_xor_15__))
+ (portRef I0 (instanceRef count_15_rstpot_renamed_36))
+ )
+ )
+ (net (rename Mcount_count_cy_0__ "Mcount_count_cy<0>")
+ (joined
+ (portRef O (instanceRef Mcount_count_cy_0___renamed_0))
+ (portRef CI (instanceRef Mcount_count_cy_1___renamed_1))
+ (portRef CI (instanceRef Mcount_count_xor_1__))
+ )
+ )
+ (net (rename Mcount_count_lut_1__ "Mcount_count_lut<1>")
+ (joined
+ (portRef S (instanceRef Mcount_count_cy_1___renamed_1))
+ (portRef LI (instanceRef Mcount_count_xor_1__))
+ (portRef O (instanceRef Mcount_count_lut_1__INV_0))
+ )
+ )
+ (net (rename Mcount_count_cy_1__ "Mcount_count_cy<1>")
+ (joined
+ (portRef O (instanceRef Mcount_count_cy_1___renamed_1))
+ (portRef CI (instanceRef Mcount_count_cy_2___renamed_2))
+ (portRef CI (instanceRef Mcount_count_xor_2__))
+ )
+ )
+ (net (rename Mcount_count_lut_2__ "Mcount_count_lut<2>")
+ (joined
+ (portRef S (instanceRef Mcount_count_cy_2___renamed_2))
+ (portRef LI (instanceRef Mcount_count_xor_2__))
+ (portRef O (instanceRef Mcount_count_lut_2__INV_0))
+ )
+ )
+ (net (rename Mcount_count_cy_2__ "Mcount_count_cy<2>")
+ (joined
+ (portRef O (instanceRef Mcount_count_cy_2___renamed_2))
+ (portRef CI (instanceRef Mcount_count_cy_3___renamed_3))
+ (portRef CI (instanceRef Mcount_count_xor_3__))
+ )
+ )
+ (net (rename Mcount_count_lut_3__ "Mcount_count_lut<3>")
+ (joined
+ (portRef S (instanceRef Mcount_count_cy_3___renamed_3))
+ (portRef LI (instanceRef Mcount_count_xor_3__))
+ (portRef O (instanceRef Mcount_count_lut_3__INV_0))
+ )
+ )
+ (net (rename Mcount_count_cy_3__ "Mcount_count_cy<3>")
+ (joined
+ (portRef O (instanceRef Mcount_count_cy_3___renamed_3))
+ (portRef CI (instanceRef Mcount_count_cy_4___renamed_4))
+ (portRef CI (instanceRef Mcount_count_xor_4__))
+ )
+ )
+ (net (rename Mcount_count_lut_4__ "Mcount_count_lut<4>")
+ (joined
+ (portRef S (instanceRef Mcount_count_cy_4___renamed_4))
+ (portRef LI (instanceRef Mcount_count_xor_4__))
+ (portRef O (instanceRef Mcount_count_lut_4__INV_0))
+ )
+ )
+ (net (rename Mcount_count_cy_4__ "Mcount_count_cy<4>")
+ (joined
+ (portRef O (instanceRef Mcount_count_cy_4___renamed_4))
+ (portRef CI (instanceRef Mcount_count_cy_5___renamed_5))
+ (portRef CI (instanceRef Mcount_count_xor_5__))
+ )
+ )
+ (net (rename Mcount_count_lut_5__ "Mcount_count_lut<5>")
+ (joined
+ (portRef S (instanceRef Mcount_count_cy_5___renamed_5))
+ (portRef LI (instanceRef Mcount_count_xor_5__))
+ (portRef O (instanceRef Mcount_count_lut_5__INV_0))
+ )
+ )
+ (net (rename Mcount_count_cy_5__ "Mcount_count_cy<5>")
+ (joined
+ (portRef O (instanceRef Mcount_count_cy_5___renamed_5))
+ (portRef CI (instanceRef Mcount_count_cy_6___renamed_6))
+ (portRef CI (instanceRef Mcount_count_xor_6__))
+ )
+ )
+ (net (rename Mcount_count_lut_6__ "Mcount_count_lut<6>")
+ (joined
+ (portRef S (instanceRef Mcount_count_cy_6___renamed_6))
+ (portRef LI (instanceRef Mcount_count_xor_6__))
+ (portRef O (instanceRef Mcount_count_lut_6__INV_0))
+ )
+ )
+ (net (rename Mcount_count_cy_6__ "Mcount_count_cy<6>")
+ (joined
+ (portRef O (instanceRef Mcount_count_cy_6___renamed_6))
+ (portRef CI (instanceRef Mcount_count_cy_7___renamed_7))
+ (portRef CI (instanceRef Mcount_count_xor_7__))
+ )
+ )
+ (net (rename Mcount_count_lut_7__ "Mcount_count_lut<7>")
+ (joined
+ (portRef S (instanceRef Mcount_count_cy_7___renamed_7))
+ (portRef LI (instanceRef Mcount_count_xor_7__))
+ (portRef O (instanceRef Mcount_count_lut_7__INV_0))
+ )
+ )
+ (net (rename Mcount_count_cy_7__ "Mcount_count_cy<7>")
+ (joined
+ (portRef O (instanceRef Mcount_count_cy_7___renamed_7))
+ (portRef CI (instanceRef Mcount_count_cy_8___renamed_8))
+ (portRef CI (instanceRef Mcount_count_xor_8__))
+ )
+ )
+ (net (rename Mcount_count_lut_8__ "Mcount_count_lut<8>")
+ (joined
+ (portRef S (instanceRef Mcount_count_cy_8___renamed_8))
+ (portRef LI (instanceRef Mcount_count_xor_8__))
+ (portRef O (instanceRef Mcount_count_lut_8__INV_0))
+ )
+ )
+ (net (rename Mcount_count_cy_8__ "Mcount_count_cy<8>")
+ (joined
+ (portRef O (instanceRef Mcount_count_cy_8___renamed_8))
+ (portRef CI (instanceRef Mcount_count_cy_9___renamed_9))
+ (portRef CI (instanceRef Mcount_count_xor_9__))
+ )
+ )
+ (net (rename Mcount_count_lut_9__ "Mcount_count_lut<9>")
+ (joined
+ (portRef S (instanceRef Mcount_count_cy_9___renamed_9))
+ (portRef LI (instanceRef Mcount_count_xor_9__))
+ (portRef O (instanceRef Mcount_count_lut_9__INV_0))
+ )
+ )
+ (net (rename Mcount_count_cy_9__ "Mcount_count_cy<9>")
+ (joined
+ (portRef O (instanceRef Mcount_count_cy_9___renamed_9))
+ (portRef CI (instanceRef Mcount_count_cy_10___renamed_10))
+ (portRef CI (instanceRef Mcount_count_xor_10__))
+ )
+ )
+ (net (rename Mcount_count_lut_10__ "Mcount_count_lut<10>")
+ (joined
+ (portRef S (instanceRef Mcount_count_cy_10___renamed_10))
+ (portRef LI (instanceRef Mcount_count_xor_10__))
+ (portRef O (instanceRef Mcount_count_lut_10__INV_0))
+ )
+ )
+ (net (rename Mcount_count_cy_10__ "Mcount_count_cy<10>")
+ (joined
+ (portRef O (instanceRef Mcount_count_cy_10___renamed_10))
+ (portRef CI (instanceRef Mcount_count_cy_11___renamed_11))
+ (portRef CI (instanceRef Mcount_count_xor_11__))
+ )
+ )
+ (net (rename Mcount_count_lut_11__ "Mcount_count_lut<11>")
+ (joined
+ (portRef S (instanceRef Mcount_count_cy_11___renamed_11))
+ (portRef LI (instanceRef Mcount_count_xor_11__))
+ (portRef O (instanceRef Mcount_count_lut_11__INV_0))
+ )
+ )
+ (net (rename Mcount_count_cy_11__ "Mcount_count_cy<11>")
+ (joined
+ (portRef O (instanceRef Mcount_count_cy_11___renamed_11))
+ (portRef CI (instanceRef Mcount_count_cy_12___renamed_12))
+ (portRef CI (instanceRef Mcount_count_xor_12__))
+ )
+ )
+ (net (rename Mcount_count_lut_12__ "Mcount_count_lut<12>")
+ (joined
+ (portRef S (instanceRef Mcount_count_cy_12___renamed_12))
+ (portRef LI (instanceRef Mcount_count_xor_12__))
+ (portRef O (instanceRef Mcount_count_lut_12__INV_0))
+ )
+ )
+ (net (rename Mcount_count_cy_12__ "Mcount_count_cy<12>")
+ (joined
+ (portRef O (instanceRef Mcount_count_cy_12___renamed_12))
+ (portRef CI (instanceRef Mcount_count_cy_13___renamed_13))
+ (portRef CI (instanceRef Mcount_count_xor_13__))
+ )
+ )
+ (net (rename Mcount_count_lut_13__ "Mcount_count_lut<13>")
+ (joined
+ (portRef S (instanceRef Mcount_count_cy_13___renamed_13))
+ (portRef LI (instanceRef Mcount_count_xor_13__))
+ (portRef O (instanceRef Mcount_count_lut_13__INV_0))
+ )
+ )
+ (net (rename Mcount_count_cy_13__ "Mcount_count_cy<13>")
+ (joined
+ (portRef O (instanceRef Mcount_count_cy_13___renamed_13))
+ (portRef CI (instanceRef Mcount_count_cy_14___renamed_14))
+ (portRef CI (instanceRef Mcount_count_xor_14__))
+ )
+ )
+ (net (rename Mcount_count_lut_14__ "Mcount_count_lut<14>")
+ (joined
+ (portRef S (instanceRef Mcount_count_cy_14___renamed_14))
+ (portRef LI (instanceRef Mcount_count_xor_14__))
+ (portRef O (instanceRef Mcount_count_lut_14__INV_0))
+ )
+ )
+ (net (rename Mcount_count_cy_14__ "Mcount_count_cy<14>")
+ (joined
+ (portRef O (instanceRef Mcount_count_cy_14___renamed_14))
+ (portRef CI (instanceRef Mcount_count_xor_15__))
+ )
+ )
+ (net (rename Mcount_count_lut_15__ "Mcount_count_lut<15>")
+ (joined
+ (portRef LI (instanceRef Mcount_count_xor_15__))
+ (portRef O (instanceRef Mcount_count_lut_15__INV_0))
+ )
+ )
+ (net (rename count_15__GND_1_o_equal_2_o_15__ "count[15]_GND_1_o_equal_2_o<15>")
+ (joined
+ (portRef O (instanceRef count_15__GND_1_o_equal_2_o_15_1_renamed_15))
+ (portRef I5 (instanceRef LED1_dpot_renamed_18))
+ (portRef I4 (instanceRef count_15__GND_1_o_equal_2_o_15_3))
+ )
+ )
+ (net (rename count_15__GND_1_o_equal_2_o_15_1 "count[15]_GND_1_o_equal_2_o<15>1")
+ (joined
+ (portRef O (instanceRef count_15__GND_1_o_equal_2_o_15_2))
+ (portRef I5 (instanceRef count_15__GND_1_o_equal_2_o_15_3))
+ (portRef I1 (instanceRef LED1_rstpot_renamed_19))
+ )
+ )
+ (net CLK
+ (joined
+ (portRef CLK)
+ (portRef I (instanceRef CLK_BUFGP_renamed_37))
+ )
+ )
+ (net LED1
+ (joined
+ (portRef LED1)
+ (portRef O (instanceRef LED1_OBUF_renamed_16))
+ )
+ )
+ (net (rename Mcount_count_cy_0__rt "Mcount_count_cy<0>_rt")
+ (joined
+ (portRef O (instanceRef Mcount_count_cy_0__rt_renamed_17))
+ (portRef S (instanceRef Mcount_count_cy_0___renamed_0))
+ (portRef LI (instanceRef Mcount_count_xor_0__))
+ )
+ )
+ (net LED1_dpot
+ (joined
+ (portRef O (instanceRef LED1_dpot_renamed_18))
+ (portRef I2 (instanceRef LED1_rstpot_renamed_19))
+ )
+ )
+ (net LED1_rstpot
+ (joined
+ (portRef O (instanceRef LED1_rstpot_renamed_19))
+ (portRef D (instanceRef LED1_renamed_20))
+ )
+ )
+ (net count_1_rstpot
+ (joined
+ (portRef O (instanceRef count_1_rstpot_renamed_21))
+ (portRef D (instanceRef count_1))
+ )
+ )
+ (net count_0_rstpot
+ (joined
+ (portRef O (instanceRef count_0_rstpot_renamed_22))
+ (portRef D (instanceRef count_0))
+ )
+ )
+ (net count_2_rstpot
+ (joined
+ (portRef O (instanceRef count_2_rstpot_renamed_23))
+ (portRef D (instanceRef count_2))
+ )
+ )
+ (net count_3_rstpot
+ (joined
+ (portRef O (instanceRef count_3_rstpot_renamed_24))
+ (portRef D (instanceRef count_3))
+ )
+ )
+ (net count_4_rstpot
+ (joined
+ (portRef O (instanceRef count_4_rstpot_renamed_25))
+ (portRef D (instanceRef count_4))
+ )
+ )
+ (net count_5_rstpot
+ (joined
+ (portRef O (instanceRef count_5_rstpot_renamed_26))
+ (portRef D (instanceRef count_5))
+ )
+ )
+ (net count_6_rstpot
+ (joined
+ (portRef O (instanceRef count_6_rstpot_renamed_27))
+ (portRef D (instanceRef count_6))
+ )
+ )
+ (net count_7_rstpot
+ (joined
+ (portRef O (instanceRef count_7_rstpot_renamed_28))
+ (portRef D (instanceRef count_7))
+ )
+ )
+ (net count_8_rstpot
+ (joined
+ (portRef O (instanceRef count_8_rstpot_renamed_29))
+ (portRef D (instanceRef count_8))
+ )
+ )
+ (net count_9_rstpot
+ (joined
+ (portRef O (instanceRef count_9_rstpot_renamed_30))
+ (portRef D (instanceRef count_9))
+ )
+ )
+ (net count_10_rstpot
+ (joined
+ (portRef O (instanceRef count_10_rstpot_renamed_31))
+ (portRef D (instanceRef count_10))
+ )
+ )
+ (net count_11_rstpot
+ (joined
+ (portRef O (instanceRef count_11_rstpot_renamed_32))
+ (portRef D (instanceRef count_11))
+ )
+ )
+ (net count_12_rstpot
+ (joined
+ (portRef O (instanceRef count_12_rstpot_renamed_33))
+ (portRef D (instanceRef count_12))
+ )
+ )
+ (net count_13_rstpot
+ (joined
+ (portRef O (instanceRef count_13_rstpot_renamed_34))
+ (portRef D (instanceRef count_13))
+ )
+ )
+ (net count_14_rstpot
+ (joined
+ (portRef O (instanceRef count_14_rstpot_renamed_35))
+ (portRef D (instanceRef count_14))
+ )
+ )
+ (net count_15_rstpot
+ (joined
+ (portRef O (instanceRef count_15_rstpot_renamed_36))
+ (portRef D (instanceRef count_15))
+ )
+ )
+ )
+ )
+ )
+ )
+
+ (design led
+ (cellRef led
+ (libraryRef led_lib)
+ )
+ (property PART (string "xc6slx9-2-tqg144") (owner "Xilinx"))
+ )
+)
+
diff --git a/planAhead_run_3/FPGA-led-lights.data/constrs_1/fileset.xml b/planAhead_run_3/FPGA-led-lights.data/constrs_1/fileset.xml
new file mode 100644
index 0000000..27cbdc8
--- /dev/null
+++ b/planAhead_run_3/FPGA-led-lights.data/constrs_1/fileset.xml
@@ -0,0 +1,16 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<DARoots Version="1" Minor="26">
+ <FileSet Name="constrs_1" Type="Constrs" RelSrcDir="$PSRCDIR/constrs_1">
+ <Filter Type="Constrs"/>
+ <File Path="$PPRDIR/../../../Downloads/BPC3011-Papilio_Pro-general.ucf">
+ <FileInfo>
+ <Attr Name="UsedInSynthesis" Val="1"/>
+ <Attr Name="UsedInImplementation" Val="1"/>
+ </FileInfo>
+ </File>
+ <Config>
+ <Option Name="TargetConstrsFile" Val="$PPRDIR/../../../Downloads/BPC3011-Papilio_Pro-general.ucf"/>
+ <Option Name="ConstrsType" Val="UCF"/>
+ </Config>
+ </FileSet>
+</DARoots>
diff --git a/planAhead_run_3/FPGA-led-lights.data/runs/impl_1.psg b/planAhead_run_3/FPGA-led-lights.data/runs/impl_1.psg
new file mode 100644
index 0000000..147f3a9
--- /dev/null
+++ b/planAhead_run_3/FPGA-led-lights.data/runs/impl_1.psg
@@ -0,0 +1,20 @@
+<?xml version="1.0"?>
+<Strategy Version="1" Minor="2">
+ <StratHandle Name="ISE Defaults" Flow="ISE14">
+ <Desc>ISE Defaults, including packing registers in IOs off</Desc>
+ </StratHandle>
+ <Step Id="ngdbuild">
+ </Step>
+ <Step Id="map">
+ <Option Id="FFPackEnum">3</Option>
+ </Step>
+ <Step Id="par">
+ </Step>
+ <Step Id="trce">
+ </Step>
+ <Step Id="xdl">
+ </Step>
+ <Step Id="bitgen">
+ </Step>
+</Strategy>
+
diff --git a/planAhead_run_3/FPGA-led-lights.data/runs/runs.xml b/planAhead_run_3/FPGA-led-lights.data/runs/runs.xml
new file mode 100644
index 0000000..7708ac8
--- /dev/null
+++ b/planAhead_run_3/FPGA-led-lights.data/runs/runs.xml
@@ -0,0 +1,5 @@
+<?xml version="1.0"?>
+<Runs Version="1" Minor="8">
+ <Run Id="impl_1" Type="Ft2:EntireDesign" SrcSet="sources_1" Part="xc6slx9tqg144-2" ConstrsSet="constrs_1" Description="ISE Defaults, including packing registers in IOs off" State="current"/>
+</Runs>
+
diff --git a/planAhead_run_3/FPGA-led-lights.data/sim_1/fileset.xml b/planAhead_run_3/FPGA-led-lights.data/sim_1/fileset.xml
new file mode 100644
index 0000000..65babe3
--- /dev/null
+++ b/planAhead_run_3/FPGA-led-lights.data/sim_1/fileset.xml
@@ -0,0 +1,10 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<DARoots Version="1" Minor="26">
+ <FileSet Name="sim_1" Type="SimulationSrcs" RelSrcDir="$PSRCDIR/sim_1">
+ <Config>
+ <Option Name="DesignMode" Val="RTL"/>
+ <Option Name="TopAutoSet" Val="TRUE"/>
+ <Option Name="SrcSet" Val="sources_1"/>
+ </Config>
+ </FileSet>
+</DARoots>
diff --git a/planAhead_run_3/FPGA-led-lights.data/sources_1/fileset.xml b/planAhead_run_3/FPGA-led-lights.data/sources_1/fileset.xml
new file mode 100644
index 0000000..ddc517f
--- /dev/null
+++ b/planAhead_run_3/FPGA-led-lights.data/sources_1/fileset.xml
@@ -0,0 +1,18 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<DARoots Version="1" Minor="26">
+ <FileSet Name="sources_1" Type="DesignSrcs" RelSrcDir="$PSRCDIR/sources_1">
+ <Filter Type="EDIFSrcs"/>
+ <File Path="$PPRDIR/../led.ngc">
+ <FileInfo>
+ <Attr Name="UsedInSynthesis" Val="1"/>
+ <Attr Name="UsedInImplementation" Val="1"/>
+ </FileInfo>
+ </File>
+ <Config>
+ <Option Name="DesignMode" Val="GateLvl"/>
+ <Option Name="GateLvlMode" Val="EDIF"/>
+ <Option Name="TopFile" Val="$PPRDIR/../led.ngc"/>
+ <Option Name="TopAutoSet" Val="TRUE"/>
+ </Config>
+ </FileSet>
+</DARoots>
diff --git a/planAhead_run_3/FPGA-led-lights.data/wt/project.wpc b/planAhead_run_3/FPGA-led-lights.data/wt/project.wpc
new file mode 100644
index 0000000..5fed558
--- /dev/null
+++ b/planAhead_run_3/FPGA-led-lights.data/wt/project.wpc
@@ -0,0 +1,4 @@
+version:1
+6d6f64655f636f756e7465727c4755494d6f6465:1
+6d6f64655f636f756e7465727c4953454d6f6465:1
+eof:
diff --git a/planAhead_run_3/FPGA-led-lights.data/wt/webtalk_pa.xml b/planAhead_run_3/FPGA-led-lights.data/wt/webtalk_pa.xml
new file mode 100644
index 0000000..bcf762b
--- /dev/null
+++ b/planAhead_run_3/FPGA-led-lights.data/wt/webtalk_pa.xml
@@ -0,0 +1,26 @@
+<?xml version="1.0" encoding="UTF-8" ?>
+<document>
+<!--The data in this file is primarily intended for consumption by Xilinx tools.
+The structure and the elements are likely to change over the next few releases.
+This means code written to parse this file will need to be revisited each subsequent release.-->
+<application name="pa" timeStamp="Tue Feb 21 21:46:52 2017">
+<section name="Project Information" visible="false">
+<property name="ProjectID" value="d7760f03443a44478d77a0a1b11453ed" type="ProjectID"/>
+<property name="ProjectIteration" value="1" type="ProjectIteration"/>
+</section>
+<section name="PlanAhead Usage" visible="true">
+<item name="Project Data">
+<property name="SrcSetCount" value="1" type="SrcSetCount"/>
+<property name="ConstraintSetCount" value="1" type="ConstraintSetCount"/>
+<property name="DesignMode" value="GateLvl" type="DesignMode"/>
+<property name="ImplStrategy" value="ISE Defaults" type="ImplStrategy"/>
+</item>
+<item name="Other">
+<property name="GuiMode" value="0" type="GuiMode"/>
+<property name="BatchMode" value="0" type="BatchMode"/>
+<property name="TclMode" value="0" type="TclMode"/>
+<property name="ISEMode" value="5" type="ISEMode"/>
+</item>
+</section>
+</application>
+</document>
diff --git a/planAhead_run_3/FPGA-led-lights.ppr b/planAhead_run_3/FPGA-led-lights.ppr
new file mode 100644
index 0000000..37dd0f8
--- /dev/null
+++ b/planAhead_run_3/FPGA-led-lights.ppr
@@ -0,0 +1,28 @@
+<?xml version="1.0"?>
+<!--Product Version: PlanAhead v14.7 (64-bit)-->
+<Project Version="4" Minor="36">
+ <FileSet Dir="sources_1" File="fileset.xml"/>
+ <FileSet Dir="constrs_1" File="fileset.xml"/>
+ <FileSet Dir="sim_1" File="fileset.xml"/>
+ <RunSet Dir="runs" File="runs.xml"/>
+ <DefaultLaunch Dir="$PRUNDIR"/>
+ <DefaultPromote Dir="$PROMOTEDIR"/>
+ <Config>
+ <Option Name="Id" Val="d4c47d74488c47ee94ce84f048fd9639"/>
+ <Option Name="Part" Val="xc6slx9tqg144-2"/>
+ <Option Name="CompiledLibDir" Val="$PCACHEDIR/compile_simlib"/>
+ <Option Name="TargetLanguage" Val="Verilog"/>
+ <Option Name="TargetSimulator" Val="ISim"/>
+ <Option Name="Board" Val=""/>
+ <Option Name="SourceMgmtMode" Val="All"/>
+ <Option Name="ActiveSimSet" Val="sim_1"/>
+ <Option Name="CxlOverwriteLibs" Val="1"/>
+ <Option Name="CxlFuncsim" Val="1"/>
+ <Option Name="CxlTimesim" Val="1"/>
+ <Option Name="CxlCore" Val="1"/>
+ <Option Name="CxlEdk" Val="0"/>
+ <Option Name="CxlExcludeCores" Val="1"/>
+ <Option Name="CxlExcludeSubLibs" Val="0"/>
+ </Config>
+</Project>
+
diff --git a/planAhead_run_3/planAhead.jou b/planAhead_run_3/planAhead.jou
new file mode 100644
index 0000000..7488ccd
--- /dev/null
+++ b/planAhead_run_3/planAhead.jou
@@ -0,0 +1,10 @@
+#-----------------------------------------------------------
+# PlanAhead v14.7 (64-bit)
+# Build 321239 by xbuild on Fri Sep 27 19:24:36 MDT 2013
+# Start of session at: Tue Feb 21 21:46:05 2017
+# Process ID: 24048
+# Log file: /home/yannherklotz/Github/FPGA-led-lights/planAhead_run_3/planAhead.log
+# Journal file: /home/yannherklotz/Github/FPGA-led-lights/planAhead_run_3/planAhead.jou
+#-----------------------------------------------------------
+start_gui
+source /home/yannherklotz/Github/FPGA-led-lights/pa.fromNetlist.tcl
diff --git a/planAhead_run_3/planAhead.log b/planAhead_run_3/planAhead.log
new file mode 100644
index 0000000..6d93eb0
--- /dev/null
+++ b/planAhead_run_3/planAhead.log
@@ -0,0 +1,70 @@
+#-----------------------------------------------------------
+# PlanAhead v14.7 (64-bit)
+# Build 321239 by xbuild on Fri Sep 27 19:24:36 MDT 2013
+# Start of session at: Tue Feb 21 21:46:05 2017
+# Process ID: 24048
+# Log file: /home/yannherklotz/Github/FPGA-led-lights/planAhead_run_3/planAhead.log
+# Journal file: /home/yannherklotz/Github/FPGA-led-lights/planAhead_run_3/planAhead.jou
+#-----------------------------------------------------------
+INFO: [Common 17-78] Attempting to get a license: PlanAhead
+INFO: [Common 17-290] Got license for PlanAhead
+INFO: [Device 21-36] Loading parts and site information from /opt/Xilinx/14.7/ISE_DS/PlanAhead/data/parts/arch.xml
+Parsing RTL primitives file [/opt/Xilinx/14.7/ISE_DS/PlanAhead/data/parts/xilinx/rtl/prims/rtl_prims.xml]
+Finished parsing RTL primitives file [/opt/Xilinx/14.7/ISE_DS/PlanAhead/data/parts/xilinx/rtl/prims/rtl_prims.xml]
+start_gui
+source /home/yannherklotz/Github/FPGA-led-lights/pa.fromNetlist.tcl
+# create_project -name FPGA-led-lights -dir "/home/yannherklotz/Github/FPGA-led-lights/planAhead_run_3" -part xc6slx9tqg144-2
+# set_property design_mode GateLvl [get_property srcset [current_run -impl]]
+# set_property edif_top_file "/home/yannherklotz/Github/FPGA-led-lights/led.ngc" [ get_property srcset [ current_run ] ]
+# add_files -norecurse { {/home/yannherklotz/Github/FPGA-led-lights} }
+# set_param project.pinAheadLayout yes
+# set_property target_constrs_file "/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf" [current_fileset -constrset]
+Adding file '/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf' to fileset 'constrs_1'
+# add_files [list {/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf}] -fileset [get_property constrset [current_run]]
+# link_design
+Design is defaulting to srcset: sources_1
+Design is defaulting to constrset: constrs_1
+Design is defaulting to project part: xc6slx9tqg144-2
+Release 14.7 - ngc2edif P.20131013 (lin64)
+Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved.
+
+Release 14.7 - ngc2edif P.20131013 (lin64)
+Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved.
+Reading design led.ngc ...
+WARNING:NetListWriters:298 - No output is written to led.xncf, ignored.
+Processing design ...
+ Preping design's networks ...
+ Preping design's macros ...
+ finished :Prep
+Writing EDIF netlist file led.edif ...
+ngc2edif: Total memory usage is 103532 kilobytes
+
+Parsing EDIF File [./planAhead_run_3/FPGA-led-lights.data/cache/led_ngc_cb841106.edif]
+Finished Parsing EDIF File [./planAhead_run_3/FPGA-led-lights.data/cache/led_ngc_cb841106.edif]
+INFO: [Netlist 29-17] Analyzing 1 Unisim elements for replacement
+INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds
+Loading clock regions from /opt/Xilinx/14.7/ISE_DS/PlanAhead/data/parts/xilinx/spartan6/spartan6lx/xc6slx9/ClockRegion.xml
+Loading clock buffers from /opt/Xilinx/14.7/ISE_DS/PlanAhead/data/parts/xilinx/spartan6/spartan6lx/xc6slx9/ClockBuffers.xml
+Loading package pin functions from /opt/Xilinx/14.7/ISE_DS/PlanAhead/data/parts/xilinx/spartan6/PinFunctions.xml...
+Loading package from /opt/Xilinx/14.7/ISE_DS/PlanAhead/data/parts/xilinx/spartan6/spartan6lx/xc6slx9/tqg144/Package.xml
+Loading io standards from /opt/Xilinx/14.7/ISE_DS/PlanAhead/data/./parts/xilinx/spartan6/IOStandards.xml
+Loading device configuration modes from /opt/Xilinx/14.7/ISE_DS/PlanAhead/data/parts/xilinx/spartan6/ConfigModes.xml
+Loading list of drcs for the architecture : /opt/Xilinx/14.7/ISE_DS/PlanAhead/data/./parts/xilinx/spartan6/drc.xml
+Parsing UCF File [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf]
+Finished Parsing UCF File [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf]
+INFO: [Project 1-111] Unisim Transformation Summary:
+ A total of 1 instances were transformed.
+ BUFGP => BUFGP (IBUF, BUFG): 1 instances
+
+Phase 0 | Netlist Checksum: 23693229
+link_design: Time (s): cpu = 00:00:14 ; elapsed = 00:00:07 . Memory (MB): peak = 2838.551 ; gain = 157.191
+exit
+ERROR: [#UNDEF] *** Exception: ui.h.b: Found deleted key in HTclEventBroker. Verify if the classes listed here call cleanup()
+HTclEvent: SIGNAL_MODIFY Classes: ui.views.aR
+HTclEvent: DEBUG_PORT_CONFIG_CHANGE Classes: ui.views.aR
+HTclEvent: DEBUG_CORE_CONFIG_CHANGE Classes: ui.views.aR
+HTclEvent: SIGNAL_BUS_MODIFY Classes: ui.views.aR
+ (See /home/yannherklotz/Github/FPGA-led-lights/planAhead_pid24048.debug)
+ERROR: [Common 17-39] 'stop_gui' failed due to earlier errors.
+INFO: [Common 17-206] Exiting PlanAhead at Tue Feb 21 21:46:54 2017...
+INFO: [Common 17-83] Releasing license: PlanAhead
diff --git a/planAhead_run_3/planAhead_run.log b/planAhead_run_3/planAhead_run.log
new file mode 100644
index 0000000..fdddce6
--- /dev/null
+++ b/planAhead_run_3/planAhead_run.log
@@ -0,0 +1,61 @@
+
+****** PlanAhead v14.7 (64-bit)
+ **** Build 321239 by xbuild on Fri Sep 27 19:24:36 MDT 2013
+ ** Copyright 1986-1999, 2001-2013 Xilinx, Inc. All Rights Reserved.
+
+INFO: [Common 17-78] Attempting to get a license: PlanAhead
+INFO: [Common 17-290] Got license for PlanAhead
+INFO: [Device 21-36] Loading parts and site information from /opt/Xilinx/14.7/ISE_DS/PlanAhead/data/parts/arch.xml
+Parsing RTL primitives file [/opt/Xilinx/14.7/ISE_DS/PlanAhead/data/parts/xilinx/rtl/prims/rtl_prims.xml]
+Finished parsing RTL primitives file [/opt/Xilinx/14.7/ISE_DS/PlanAhead/data/parts/xilinx/rtl/prims/rtl_prims.xml]
+start_gui
+source /home/yannherklotz/Github/FPGA-led-lights/pa.fromNetlist.tcl
+# create_project -name FPGA-led-lights -dir "/home/yannherklotz/Github/FPGA-led-lights/planAhead_run_3" -part xc6slx9tqg144-2
+# set_property design_mode GateLvl [get_property srcset [current_run -impl]]
+# set_property edif_top_file "/home/yannherklotz/Github/FPGA-led-lights/led.ngc" [ get_property srcset [ current_run ] ]
+# add_files -norecurse { {/home/yannherklotz/Github/FPGA-led-lights} }
+# set_param project.pinAheadLayout yes
+# set_property target_constrs_file "/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf" [current_fileset -constrset]
+Adding file '/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf' to fileset 'constrs_1'
+# add_files [list {/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf}] -fileset [get_property constrset [current_run]]
+# link_design
+Design is defaulting to srcset: sources_1
+Design is defaulting to constrset: constrs_1
+Design is defaulting to project part: xc6slx9tqg144-2
+Release 14.7 - ngc2edif P.20131013 (lin64)
+Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved.
+
+Release 14.7 - ngc2edif P.20131013 (lin64)
+Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved.
+Reading design led.ngc ...
+WARNING:NetListWriters:298 - No output is written to led.xncf, ignored.
+Processing design ...
+ Preping design's networks ...
+ Preping design's macros ...
+ finished :Prep
+Writing EDIF netlist file led.edif ...
+ngc2edif: Total memory usage is 103532 kilobytes
+
+Parsing EDIF File [./planAhead_run_3/FPGA-led-lights.data/cache/led_ngc_cb841106.edif]
+Finished Parsing EDIF File [./planAhead_run_3/FPGA-led-lights.data/cache/led_ngc_cb841106.edif]
+INFO: [Netlist 29-17] Analyzing 1 Unisim elements for replacement
+INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds
+Loading clock regions from /opt/Xilinx/14.7/ISE_DS/PlanAhead/data/parts/xilinx/spartan6/spartan6lx/xc6slx9/ClockRegion.xml
+Loading clock buffers from /opt/Xilinx/14.7/ISE_DS/PlanAhead/data/parts/xilinx/spartan6/spartan6lx/xc6slx9/ClockBuffers.xml
+Loading package pin functions from /opt/Xilinx/14.7/ISE_DS/PlanAhead/data/parts/xilinx/spartan6/PinFunctions.xml...
+Loading package from /opt/Xilinx/14.7/ISE_DS/PlanAhead/data/parts/xilinx/spartan6/spartan6lx/xc6slx9/tqg144/Package.xml
+Loading io standards from /opt/Xilinx/14.7/ISE_DS/PlanAhead/data/./parts/xilinx/spartan6/IOStandards.xml
+Loading device configuration modes from /opt/Xilinx/14.7/ISE_DS/PlanAhead/data/parts/xilinx/spartan6/ConfigModes.xml
+Loading list of drcs for the architecture : /opt/Xilinx/14.7/ISE_DS/PlanAhead/data/./parts/xilinx/spartan6/drc.xml
+Parsing UCF File [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf]
+Finished Parsing UCF File [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf]
+INFO: [Project 1-111] Unisim Transformation Summary:
+ A total of 1 instances were transformed.
+ BUFGP => BUFGP (IBUF, BUFG): 1 instances
+
+Phase 0 | Netlist Checksum: 23693229
+link_design: Time (s): cpu = 00:00:14 ; elapsed = 00:00:07 . Memory (MB): peak = 2838.551 ; gain = 157.191
+exit
+ERROR: [Common 17-39] 'stop_gui' failed due to earlier errors.
+INFO: [Common 17-206] Exiting PlanAhead at Tue Feb 21 21:46:54 2017...
+INFO: [Common 17-83] Releasing license: PlanAhead
diff --git a/planAhead_run_4/FPGA-led-lights.data/cache/led_ngc_cb841106.edif b/planAhead_run_4/FPGA-led-lights.data/cache/led_ngc_cb841106.edif
new file mode 100644
index 0000000..d478d16
--- /dev/null
+++ b/planAhead_run_4/FPGA-led-lights.data/cache/led_ngc_cb841106.edif
@@ -0,0 +1,1286 @@
+(edif led
+ (edifVersion 2 0 0)
+ (edifLevel 0)
+ (keywordMap (keywordLevel 0))
+ (status
+ (written
+ (timestamp 2017 2 21 21 50 3)
+ (program "Xilinx ngc2edif" (version "P.20131013"))
+ (author "Xilinx. Inc ")
+ (comment "This EDIF netlist is to be used within supported synthesis tools")
+ (comment "for determining resource/timing estimates of the design component")
+ (comment "represented by this netlist.")
+ (comment "Command line: -mdp2sp -w -secure led.ngc led.edif ")))
+ (external UNISIMS
+ (edifLevel 0)
+ (technology (numberDefinition))
+ (cell VCC
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port P
+ (direction OUTPUT)
+ )
+ )
+ )
+ )
+ (cell GND
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port G
+ (direction OUTPUT)
+ )
+ )
+ )
+ )
+ (cell MUXCY
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port CI
+ (direction INPUT)
+ )
+ (port DI
+ (direction INPUT)
+ )
+ (port S
+ (direction INPUT)
+ )
+ (port O
+ (direction OUTPUT)
+ )
+ )
+ )
+ )
+ (cell XORCY
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port CI
+ (direction INPUT)
+ )
+ (port LI
+ (direction INPUT)
+ )
+ (port O
+ (direction OUTPUT)
+ )
+ )
+ )
+ )
+ (cell LUT6
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port I0
+ (direction INPUT)
+ )
+ (port I1
+ (direction INPUT)
+ )
+ (port I2
+ (direction INPUT)
+ )
+ (port I3
+ (direction INPUT)
+ )
+ (port I4
+ (direction INPUT)
+ )
+ (port I5
+ (direction INPUT)
+ )
+ (port O
+ (direction OUTPUT)
+ )
+ )
+ )
+ )
+ (cell OBUF
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port I
+ (direction INPUT)
+ )
+ (port O
+ (direction OUTPUT)
+ )
+ )
+ )
+ )
+ (cell LUT1
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port I0
+ (direction INPUT)
+ )
+ (port O
+ (direction OUTPUT)
+ )
+ )
+ )
+ )
+ (cell LUT3
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port I0
+ (direction INPUT)
+ )
+ (port I1
+ (direction INPUT)
+ )
+ (port I2
+ (direction INPUT)
+ )
+ (port O
+ (direction OUTPUT)
+ )
+ )
+ )
+ )
+ (cell FD
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port C
+ (direction INPUT)
+ )
+ (port D
+ (direction INPUT)
+ )
+ (port Q
+ (direction OUTPUT)
+ )
+ )
+ )
+ )
+ (cell LUT2
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port I0
+ (direction INPUT)
+ )
+ (port I1
+ (direction INPUT)
+ )
+ (port O
+ (direction OUTPUT)
+ )
+ )
+ )
+ )
+ (cell BUFGP
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port I
+ (direction INPUT)
+ )
+ (port O
+ (direction OUTPUT)
+ )
+ )
+ )
+ )
+ (cell INV
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port I
+ (direction INPUT)
+ )
+ (port O
+ (direction OUTPUT)
+ )
+ )
+ )
+ )
+ )
+
+ (library led_lib
+ (edifLevel 0)
+ (technology (numberDefinition))
+ (cell led
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port CLK
+ (direction INPUT)
+ )
+ (port LED1
+ (direction OUTPUT)
+ )
+ (designator "xc6slx9-2-tqg144")
+ (property TYPE (string "led") (owner "Xilinx"))
+ (property SHREG_MIN_SIZE (string "2") (owner "Xilinx"))
+ (property SHREG_EXTRACT_NGC (string "YES") (owner "Xilinx"))
+ (property NLW_UNIQUE_ID (integer 0) (owner "Xilinx"))
+ (property NLW_MACRO_TAG (integer 0) (owner "Xilinx"))
+ (property NLW_MACRO_ALIAS (string "led_led") (owner "Xilinx"))
+ )
+ (contents
+ (instance XST_VCC
+ (viewRef view_1 (cellRef VCC (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance XST_GND
+ (viewRef view_1 (cellRef GND (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename Mcount_count_cy_0___renamed_0 "Mcount_count_cy<0>")
+ (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename Mcount_count_xor_0__ "Mcount_count_xor<0>")
+ (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename Mcount_count_cy_1___renamed_1 "Mcount_count_cy<1>")
+ (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename Mcount_count_xor_1__ "Mcount_count_xor<1>")
+ (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename Mcount_count_cy_2___renamed_2 "Mcount_count_cy<2>")
+ (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename Mcount_count_xor_2__ "Mcount_count_xor<2>")
+ (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename Mcount_count_cy_3___renamed_3 "Mcount_count_cy<3>")
+ (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename Mcount_count_xor_3__ "Mcount_count_xor<3>")
+ (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename Mcount_count_cy_4___renamed_4 "Mcount_count_cy<4>")
+ (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename Mcount_count_xor_4__ "Mcount_count_xor<4>")
+ (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename Mcount_count_cy_5___renamed_5 "Mcount_count_cy<5>")
+ (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename Mcount_count_xor_5__ "Mcount_count_xor<5>")
+ (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename Mcount_count_cy_6___renamed_6 "Mcount_count_cy<6>")
+ (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename Mcount_count_xor_6__ "Mcount_count_xor<6>")
+ (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename Mcount_count_cy_7___renamed_7 "Mcount_count_cy<7>")
+ (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename Mcount_count_xor_7__ "Mcount_count_xor<7>")
+ (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename Mcount_count_cy_8___renamed_8 "Mcount_count_cy<8>")
+ (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename Mcount_count_xor_8__ "Mcount_count_xor<8>")
+ (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename Mcount_count_cy_9___renamed_9 "Mcount_count_cy<9>")
+ (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename Mcount_count_xor_9__ "Mcount_count_xor<9>")
+ (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename Mcount_count_cy_10___renamed_10 "Mcount_count_cy<10>")
+ (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename Mcount_count_xor_10__ "Mcount_count_xor<10>")
+ (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename Mcount_count_cy_11___renamed_11 "Mcount_count_cy<11>")
+ (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename Mcount_count_xor_11__ "Mcount_count_xor<11>")
+ (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename Mcount_count_cy_12___renamed_12 "Mcount_count_cy<12>")
+ (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename Mcount_count_xor_12__ "Mcount_count_xor<12>")
+ (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename Mcount_count_cy_13___renamed_13 "Mcount_count_cy<13>")
+ (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename Mcount_count_xor_13__ "Mcount_count_xor<13>")
+ (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename Mcount_count_cy_14___renamed_14 "Mcount_count_cy<14>")
+ (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename Mcount_count_xor_14__ "Mcount_count_xor<14>")
+ (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename Mcount_count_xor_15__ "Mcount_count_xor<15>")
+ (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename count_15__GND_1_o_equal_2_o_15_1_renamed_15 "count[15]_GND_1_o_equal_2_o<15>1")
+ (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000000000000001") (owner "Xilinx"))
+ )
+ (instance (rename count_15__GND_1_o_equal_2_o_15_2 "count[15]_GND_1_o_equal_2_o<15>2")
+ (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000000000000001") (owner "Xilinx"))
+ )
+ (instance (rename count_15__GND_1_o_equal_2_o_15_3 "count[15]_GND_1_o_equal_2_o<15>3")
+ (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0001000000000000") (owner "Xilinx"))
+ )
+ (instance (rename LED1_OBUF_renamed_16 "LED1_OBUF")
+ (viewRef view_1 (cellRef OBUF (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename Mcount_count_cy_0__rt_renamed_17 "Mcount_count_cy<0>_rt")
+ (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "2") (owner "Xilinx"))
+ )
+ (instance (rename LED1_dpot_renamed_18 "LED1_dpot")
+ (viewRef view_1 (cellRef LUT6 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "AAAAAAA9AAAAAAAA") (owner "Xilinx"))
+ )
+ (instance (rename LED1_rstpot_renamed_19 "LED1_rstpot")
+ (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "E2") (owner "Xilinx"))
+ )
+ (instance (rename LED1_renamed_20 "LED1")
+ (viewRef view_1 (cellRef FD (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance (rename count_1_rstpot_renamed_21 "count_1_rstpot")
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "E") (owner "Xilinx"))
+ )
+ (instance count_1
+ (viewRef view_1 (cellRef FD (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "1") (owner "Xilinx"))
+ )
+ (instance (rename count_0_rstpot_renamed_22 "count_0_rstpot")
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "E") (owner "Xilinx"))
+ )
+ (instance count_0
+ (viewRef view_1 (cellRef FD (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "1") (owner "Xilinx"))
+ )
+ (instance (rename count_2_rstpot_renamed_23 "count_2_rstpot")
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "E") (owner "Xilinx"))
+ )
+ (instance count_2
+ (viewRef view_1 (cellRef FD (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "1") (owner "Xilinx"))
+ )
+ (instance (rename count_3_rstpot_renamed_24 "count_3_rstpot")
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "E") (owner "Xilinx"))
+ )
+ (instance count_3
+ (viewRef view_1 (cellRef FD (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "1") (owner "Xilinx"))
+ )
+ (instance (rename count_4_rstpot_renamed_25 "count_4_rstpot")
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "2") (owner "Xilinx"))
+ )
+ (instance count_4
+ (viewRef view_1 (cellRef FD (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance (rename count_5_rstpot_renamed_26 "count_5_rstpot")
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "2") (owner "Xilinx"))
+ )
+ (instance count_5
+ (viewRef view_1 (cellRef FD (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance (rename count_6_rstpot_renamed_27 "count_6_rstpot")
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "E") (owner "Xilinx"))
+ )
+ (instance count_6
+ (viewRef view_1 (cellRef FD (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "1") (owner "Xilinx"))
+ )
+ (instance (rename count_7_rstpot_renamed_28 "count_7_rstpot")
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "2") (owner "Xilinx"))
+ )
+ (instance count_7
+ (viewRef view_1 (cellRef FD (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance (rename count_8_rstpot_renamed_29 "count_8_rstpot")
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "E") (owner "Xilinx"))
+ )
+ (instance count_8
+ (viewRef view_1 (cellRef FD (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "1") (owner "Xilinx"))
+ )
+ (instance (rename count_9_rstpot_renamed_30 "count_9_rstpot")
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "E") (owner "Xilinx"))
+ )
+ (instance count_9
+ (viewRef view_1 (cellRef FD (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "1") (owner "Xilinx"))
+ )
+ (instance (rename count_10_rstpot_renamed_31 "count_10_rstpot")
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "2") (owner "Xilinx"))
+ )
+ (instance count_10
+ (viewRef view_1 (cellRef FD (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance (rename count_11_rstpot_renamed_32 "count_11_rstpot")
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "2") (owner "Xilinx"))
+ )
+ (instance count_11
+ (viewRef view_1 (cellRef FD (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance (rename count_12_rstpot_renamed_33 "count_12_rstpot")
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "2") (owner "Xilinx"))
+ )
+ (instance count_12
+ (viewRef view_1 (cellRef FD (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance (rename count_13_rstpot_renamed_34 "count_13_rstpot")
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "2") (owner "Xilinx"))
+ )
+ (instance count_13
+ (viewRef view_1 (cellRef FD (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance (rename count_14_rstpot_renamed_35 "count_14_rstpot")
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "E") (owner "Xilinx"))
+ )
+ (instance count_14
+ (viewRef view_1 (cellRef FD (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "1") (owner "Xilinx"))
+ )
+ (instance (rename count_15_rstpot_renamed_36 "count_15_rstpot")
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "E") (owner "Xilinx"))
+ )
+ (instance count_15
+ (viewRef view_1 (cellRef FD (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "1") (owner "Xilinx"))
+ )
+ (instance (rename CLK_BUFGP_renamed_37 "CLK_BUFGP")
+ (viewRef view_1 (cellRef BUFGP (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename Mcount_count_lut_1__INV_0 "Mcount_count_lut<1>_INV_0")
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename Mcount_count_lut_2__INV_0 "Mcount_count_lut<2>_INV_0")
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename Mcount_count_lut_3__INV_0 "Mcount_count_lut<3>_INV_0")
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename Mcount_count_lut_4__INV_0 "Mcount_count_lut<4>_INV_0")
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename Mcount_count_lut_5__INV_0 "Mcount_count_lut<5>_INV_0")
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename Mcount_count_lut_6__INV_0 "Mcount_count_lut<6>_INV_0")
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename Mcount_count_lut_7__INV_0 "Mcount_count_lut<7>_INV_0")
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename Mcount_count_lut_8__INV_0 "Mcount_count_lut<8>_INV_0")
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename Mcount_count_lut_9__INV_0 "Mcount_count_lut<9>_INV_0")
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename Mcount_count_lut_10__INV_0 "Mcount_count_lut<10>_INV_0")
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename Mcount_count_lut_11__INV_0 "Mcount_count_lut<11>_INV_0")
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename Mcount_count_lut_12__INV_0 "Mcount_count_lut<12>_INV_0")
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename Mcount_count_lut_13__INV_0 "Mcount_count_lut<13>_INV_0")
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename Mcount_count_lut_14__INV_0 "Mcount_count_lut<14>_INV_0")
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance (rename Mcount_count_lut_15__INV_0 "Mcount_count_lut<15>_INV_0")
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (net CLK_BUFGP
+ (joined
+ (portRef C (instanceRef LED1_renamed_20))
+ (portRef C (instanceRef count_1))
+ (portRef C (instanceRef count_0))
+ (portRef C (instanceRef count_2))
+ (portRef C (instanceRef count_3))
+ (portRef C (instanceRef count_4))
+ (portRef C (instanceRef count_5))
+ (portRef C (instanceRef count_6))
+ (portRef C (instanceRef count_7))
+ (portRef C (instanceRef count_8))
+ (portRef C (instanceRef count_9))
+ (portRef C (instanceRef count_10))
+ (portRef C (instanceRef count_11))
+ (portRef C (instanceRef count_12))
+ (portRef C (instanceRef count_13))
+ (portRef C (instanceRef count_14))
+ (portRef C (instanceRef count_15))
+ (portRef O (instanceRef CLK_BUFGP_renamed_37))
+ )
+ )
+ (net (rename count_15__ "count<15>")
+ (joined
+ (portRef I4 (instanceRef LED1_dpot_renamed_18))
+ (portRef Q (instanceRef count_15))
+ (portRef I (instanceRef Mcount_count_lut_15__INV_0))
+ (portRef I0 (instanceRef count_15__GND_1_o_equal_2_o_15_3))
+ )
+ )
+ (net (rename count_14__ "count<14>")
+ (joined
+ (portRef I3 (instanceRef LED1_dpot_renamed_18))
+ (portRef Q (instanceRef count_14))
+ (portRef I (instanceRef Mcount_count_lut_14__INV_0))
+ (portRef I1 (instanceRef count_15__GND_1_o_equal_2_o_15_3))
+ )
+ )
+ (net (rename count_13__ "count<13>")
+ (joined
+ (portRef I1 (instanceRef LED1_dpot_renamed_18))
+ (portRef Q (instanceRef count_13))
+ (portRef I (instanceRef Mcount_count_lut_13__INV_0))
+ (portRef I3 (instanceRef count_15__GND_1_o_equal_2_o_15_3))
+ )
+ )
+ (net (rename count_12__ "count<12>")
+ (joined
+ (portRef I2 (instanceRef LED1_dpot_renamed_18))
+ (portRef Q (instanceRef count_12))
+ (portRef I (instanceRef Mcount_count_lut_12__INV_0))
+ (portRef I2 (instanceRef count_15__GND_1_o_equal_2_o_15_3))
+ )
+ )
+ (net (rename count_11__ "count<11>")
+ (joined
+ (portRef I5 (instanceRef count_15__GND_1_o_equal_2_o_15_2))
+ (portRef Q (instanceRef count_11))
+ (portRef I (instanceRef Mcount_count_lut_11__INV_0))
+ )
+ )
+ (net (rename count_10__ "count<10>")
+ (joined
+ (portRef I4 (instanceRef count_15__GND_1_o_equal_2_o_15_2))
+ (portRef Q (instanceRef count_10))
+ (portRef I (instanceRef Mcount_count_lut_10__INV_0))
+ )
+ )
+ (net (rename count_9__ "count<9>")
+ (joined
+ (portRef I3 (instanceRef count_15__GND_1_o_equal_2_o_15_2))
+ (portRef Q (instanceRef count_9))
+ (portRef I (instanceRef Mcount_count_lut_9__INV_0))
+ )
+ )
+ (net (rename count_8__ "count<8>")
+ (joined
+ (portRef I2 (instanceRef count_15__GND_1_o_equal_2_o_15_2))
+ (portRef Q (instanceRef count_8))
+ (portRef I (instanceRef Mcount_count_lut_8__INV_0))
+ )
+ )
+ (net (rename count_7__ "count<7>")
+ (joined
+ (portRef I0 (instanceRef count_15__GND_1_o_equal_2_o_15_2))
+ (portRef Q (instanceRef count_7))
+ (portRef I (instanceRef Mcount_count_lut_7__INV_0))
+ )
+ )
+ (net (rename count_6__ "count<6>")
+ (joined
+ (portRef I1 (instanceRef count_15__GND_1_o_equal_2_o_15_2))
+ (portRef Q (instanceRef count_6))
+ (portRef I (instanceRef Mcount_count_lut_6__INV_0))
+ )
+ )
+ (net (rename count_5__ "count<5>")
+ (joined
+ (portRef I5 (instanceRef count_15__GND_1_o_equal_2_o_15_1_renamed_15))
+ (portRef Q (instanceRef count_5))
+ (portRef I (instanceRef Mcount_count_lut_5__INV_0))
+ )
+ )
+ (net (rename count_4__ "count<4>")
+ (joined
+ (portRef I4 (instanceRef count_15__GND_1_o_equal_2_o_15_1_renamed_15))
+ (portRef Q (instanceRef count_4))
+ (portRef I (instanceRef Mcount_count_lut_4__INV_0))
+ )
+ )
+ (net (rename count_3__ "count<3>")
+ (joined
+ (portRef I3 (instanceRef count_15__GND_1_o_equal_2_o_15_1_renamed_15))
+ (portRef Q (instanceRef count_3))
+ (portRef I (instanceRef Mcount_count_lut_3__INV_0))
+ )
+ )
+ (net (rename count_2__ "count<2>")
+ (joined
+ (portRef I2 (instanceRef count_15__GND_1_o_equal_2_o_15_1_renamed_15))
+ (portRef Q (instanceRef count_2))
+ (portRef I (instanceRef Mcount_count_lut_2__INV_0))
+ )
+ )
+ (net (rename count_1__ "count<1>")
+ (joined
+ (portRef I0 (instanceRef count_15__GND_1_o_equal_2_o_15_1_renamed_15))
+ (portRef Q (instanceRef count_1))
+ (portRef I (instanceRef Mcount_count_lut_1__INV_0))
+ )
+ )
+ (net (rename count_0__ "count<0>")
+ (joined
+ (portRef I1 (instanceRef count_15__GND_1_o_equal_2_o_15_1_renamed_15))
+ (portRef I0 (instanceRef Mcount_count_cy_0__rt_renamed_17))
+ (portRef Q (instanceRef count_0))
+ )
+ )
+ (net LED1_OBUF
+ (joined
+ (portRef I (instanceRef LED1_OBUF_renamed_16))
+ (portRef I0 (instanceRef LED1_dpot_renamed_18))
+ (portRef Q (instanceRef LED1_renamed_20))
+ (portRef I0 (instanceRef LED1_rstpot_renamed_19))
+ )
+ )
+ (net (rename count_15__GND_1_o_equal_2_o "count[15]_GND_1_o_equal_2_o")
+ (joined
+ (portRef O (instanceRef count_15__GND_1_o_equal_2_o_15_3))
+ (portRef I1 (instanceRef count_1_rstpot_renamed_21))
+ (portRef I1 (instanceRef count_0_rstpot_renamed_22))
+ (portRef I1 (instanceRef count_2_rstpot_renamed_23))
+ (portRef I1 (instanceRef count_3_rstpot_renamed_24))
+ (portRef I1 (instanceRef count_4_rstpot_renamed_25))
+ (portRef I1 (instanceRef count_5_rstpot_renamed_26))
+ (portRef I1 (instanceRef count_6_rstpot_renamed_27))
+ (portRef I1 (instanceRef count_7_rstpot_renamed_28))
+ (portRef I1 (instanceRef count_8_rstpot_renamed_29))
+ (portRef I1 (instanceRef count_9_rstpot_renamed_30))
+ (portRef I1 (instanceRef count_10_rstpot_renamed_31))
+ (portRef I1 (instanceRef count_11_rstpot_renamed_32))
+ (portRef I1 (instanceRef count_12_rstpot_renamed_33))
+ (portRef I1 (instanceRef count_13_rstpot_renamed_34))
+ (portRef I1 (instanceRef count_14_rstpot_renamed_35))
+ (portRef I1 (instanceRef count_15_rstpot_renamed_36))
+ )
+ )
+ (net N0
+ (joined
+ (portRef P (instanceRef XST_VCC))
+ (portRef CI (instanceRef Mcount_count_cy_0___renamed_0))
+ (portRef CI (instanceRef Mcount_count_xor_0__))
+ (portRef DI (instanceRef Mcount_count_cy_1___renamed_1))
+ (portRef DI (instanceRef Mcount_count_cy_2___renamed_2))
+ (portRef DI (instanceRef Mcount_count_cy_3___renamed_3))
+ (portRef DI (instanceRef Mcount_count_cy_4___renamed_4))
+ (portRef DI (instanceRef Mcount_count_cy_5___renamed_5))
+ (portRef DI (instanceRef Mcount_count_cy_6___renamed_6))
+ (portRef DI (instanceRef Mcount_count_cy_7___renamed_7))
+ (portRef DI (instanceRef Mcount_count_cy_8___renamed_8))
+ (portRef DI (instanceRef Mcount_count_cy_9___renamed_9))
+ (portRef DI (instanceRef Mcount_count_cy_10___renamed_10))
+ (portRef DI (instanceRef Mcount_count_cy_11___renamed_11))
+ (portRef DI (instanceRef Mcount_count_cy_12___renamed_12))
+ (portRef DI (instanceRef Mcount_count_cy_13___renamed_13))
+ (portRef DI (instanceRef Mcount_count_cy_14___renamed_14))
+ )
+ )
+ (net N1
+ (joined
+ (portRef G (instanceRef XST_GND))
+ (portRef DI (instanceRef Mcount_count_cy_0___renamed_0))
+ )
+ )
+ (net (rename Result_0__ "Result<0>")
+ (joined
+ (portRef O (instanceRef Mcount_count_xor_0__))
+ (portRef I0 (instanceRef count_0_rstpot_renamed_22))
+ )
+ )
+ (net (rename Result_1__ "Result<1>")
+ (joined
+ (portRef O (instanceRef Mcount_count_xor_1__))
+ (portRef I0 (instanceRef count_1_rstpot_renamed_21))
+ )
+ )
+ (net (rename Result_2__ "Result<2>")
+ (joined
+ (portRef O (instanceRef Mcount_count_xor_2__))
+ (portRef I0 (instanceRef count_2_rstpot_renamed_23))
+ )
+ )
+ (net (rename Result_3__ "Result<3>")
+ (joined
+ (portRef O (instanceRef Mcount_count_xor_3__))
+ (portRef I0 (instanceRef count_3_rstpot_renamed_24))
+ )
+ )
+ (net (rename Result_4__ "Result<4>")
+ (joined
+ (portRef O (instanceRef Mcount_count_xor_4__))
+ (portRef I0 (instanceRef count_4_rstpot_renamed_25))
+ )
+ )
+ (net (rename Result_5__ "Result<5>")
+ (joined
+ (portRef O (instanceRef Mcount_count_xor_5__))
+ (portRef I0 (instanceRef count_5_rstpot_renamed_26))
+ )
+ )
+ (net (rename Result_6__ "Result<6>")
+ (joined
+ (portRef O (instanceRef Mcount_count_xor_6__))
+ (portRef I0 (instanceRef count_6_rstpot_renamed_27))
+ )
+ )
+ (net (rename Result_7__ "Result<7>")
+ (joined
+ (portRef O (instanceRef Mcount_count_xor_7__))
+ (portRef I0 (instanceRef count_7_rstpot_renamed_28))
+ )
+ )
+ (net (rename Result_8__ "Result<8>")
+ (joined
+ (portRef O (instanceRef Mcount_count_xor_8__))
+ (portRef I0 (instanceRef count_8_rstpot_renamed_29))
+ )
+ )
+ (net (rename Result_9__ "Result<9>")
+ (joined
+ (portRef O (instanceRef Mcount_count_xor_9__))
+ (portRef I0 (instanceRef count_9_rstpot_renamed_30))
+ )
+ )
+ (net (rename Result_10__ "Result<10>")
+ (joined
+ (portRef O (instanceRef Mcount_count_xor_10__))
+ (portRef I0 (instanceRef count_10_rstpot_renamed_31))
+ )
+ )
+ (net (rename Result_11__ "Result<11>")
+ (joined
+ (portRef O (instanceRef Mcount_count_xor_11__))
+ (portRef I0 (instanceRef count_11_rstpot_renamed_32))
+ )
+ )
+ (net (rename Result_12__ "Result<12>")
+ (joined
+ (portRef O (instanceRef Mcount_count_xor_12__))
+ (portRef I0 (instanceRef count_12_rstpot_renamed_33))
+ )
+ )
+ (net (rename Result_13__ "Result<13>")
+ (joined
+ (portRef O (instanceRef Mcount_count_xor_13__))
+ (portRef I0 (instanceRef count_13_rstpot_renamed_34))
+ )
+ )
+ (net (rename Result_14__ "Result<14>")
+ (joined
+ (portRef O (instanceRef Mcount_count_xor_14__))
+ (portRef I0 (instanceRef count_14_rstpot_renamed_35))
+ )
+ )
+ (net (rename Result_15__ "Result<15>")
+ (joined
+ (portRef O (instanceRef Mcount_count_xor_15__))
+ (portRef I0 (instanceRef count_15_rstpot_renamed_36))
+ )
+ )
+ (net (rename Mcount_count_cy_0__ "Mcount_count_cy<0>")
+ (joined
+ (portRef O (instanceRef Mcount_count_cy_0___renamed_0))
+ (portRef CI (instanceRef Mcount_count_cy_1___renamed_1))
+ (portRef CI (instanceRef Mcount_count_xor_1__))
+ )
+ )
+ (net (rename Mcount_count_lut_1__ "Mcount_count_lut<1>")
+ (joined
+ (portRef S (instanceRef Mcount_count_cy_1___renamed_1))
+ (portRef LI (instanceRef Mcount_count_xor_1__))
+ (portRef O (instanceRef Mcount_count_lut_1__INV_0))
+ )
+ )
+ (net (rename Mcount_count_cy_1__ "Mcount_count_cy<1>")
+ (joined
+ (portRef O (instanceRef Mcount_count_cy_1___renamed_1))
+ (portRef CI (instanceRef Mcount_count_cy_2___renamed_2))
+ (portRef CI (instanceRef Mcount_count_xor_2__))
+ )
+ )
+ (net (rename Mcount_count_lut_2__ "Mcount_count_lut<2>")
+ (joined
+ (portRef S (instanceRef Mcount_count_cy_2___renamed_2))
+ (portRef LI (instanceRef Mcount_count_xor_2__))
+ (portRef O (instanceRef Mcount_count_lut_2__INV_0))
+ )
+ )
+ (net (rename Mcount_count_cy_2__ "Mcount_count_cy<2>")
+ (joined
+ (portRef O (instanceRef Mcount_count_cy_2___renamed_2))
+ (portRef CI (instanceRef Mcount_count_cy_3___renamed_3))
+ (portRef CI (instanceRef Mcount_count_xor_3__))
+ )
+ )
+ (net (rename Mcount_count_lut_3__ "Mcount_count_lut<3>")
+ (joined
+ (portRef S (instanceRef Mcount_count_cy_3___renamed_3))
+ (portRef LI (instanceRef Mcount_count_xor_3__))
+ (portRef O (instanceRef Mcount_count_lut_3__INV_0))
+ )
+ )
+ (net (rename Mcount_count_cy_3__ "Mcount_count_cy<3>")
+ (joined
+ (portRef O (instanceRef Mcount_count_cy_3___renamed_3))
+ (portRef CI (instanceRef Mcount_count_cy_4___renamed_4))
+ (portRef CI (instanceRef Mcount_count_xor_4__))
+ )
+ )
+ (net (rename Mcount_count_lut_4__ "Mcount_count_lut<4>")
+ (joined
+ (portRef S (instanceRef Mcount_count_cy_4___renamed_4))
+ (portRef LI (instanceRef Mcount_count_xor_4__))
+ (portRef O (instanceRef Mcount_count_lut_4__INV_0))
+ )
+ )
+ (net (rename Mcount_count_cy_4__ "Mcount_count_cy<4>")
+ (joined
+ (portRef O (instanceRef Mcount_count_cy_4___renamed_4))
+ (portRef CI (instanceRef Mcount_count_cy_5___renamed_5))
+ (portRef CI (instanceRef Mcount_count_xor_5__))
+ )
+ )
+ (net (rename Mcount_count_lut_5__ "Mcount_count_lut<5>")
+ (joined
+ (portRef S (instanceRef Mcount_count_cy_5___renamed_5))
+ (portRef LI (instanceRef Mcount_count_xor_5__))
+ (portRef O (instanceRef Mcount_count_lut_5__INV_0))
+ )
+ )
+ (net (rename Mcount_count_cy_5__ "Mcount_count_cy<5>")
+ (joined
+ (portRef O (instanceRef Mcount_count_cy_5___renamed_5))
+ (portRef CI (instanceRef Mcount_count_cy_6___renamed_6))
+ (portRef CI (instanceRef Mcount_count_xor_6__))
+ )
+ )
+ (net (rename Mcount_count_lut_6__ "Mcount_count_lut<6>")
+ (joined
+ (portRef S (instanceRef Mcount_count_cy_6___renamed_6))
+ (portRef LI (instanceRef Mcount_count_xor_6__))
+ (portRef O (instanceRef Mcount_count_lut_6__INV_0))
+ )
+ )
+ (net (rename Mcount_count_cy_6__ "Mcount_count_cy<6>")
+ (joined
+ (portRef O (instanceRef Mcount_count_cy_6___renamed_6))
+ (portRef CI (instanceRef Mcount_count_cy_7___renamed_7))
+ (portRef CI (instanceRef Mcount_count_xor_7__))
+ )
+ )
+ (net (rename Mcount_count_lut_7__ "Mcount_count_lut<7>")
+ (joined
+ (portRef S (instanceRef Mcount_count_cy_7___renamed_7))
+ (portRef LI (instanceRef Mcount_count_xor_7__))
+ (portRef O (instanceRef Mcount_count_lut_7__INV_0))
+ )
+ )
+ (net (rename Mcount_count_cy_7__ "Mcount_count_cy<7>")
+ (joined
+ (portRef O (instanceRef Mcount_count_cy_7___renamed_7))
+ (portRef CI (instanceRef Mcount_count_cy_8___renamed_8))
+ (portRef CI (instanceRef Mcount_count_xor_8__))
+ )
+ )
+ (net (rename Mcount_count_lut_8__ "Mcount_count_lut<8>")
+ (joined
+ (portRef S (instanceRef Mcount_count_cy_8___renamed_8))
+ (portRef LI (instanceRef Mcount_count_xor_8__))
+ (portRef O (instanceRef Mcount_count_lut_8__INV_0))
+ )
+ )
+ (net (rename Mcount_count_cy_8__ "Mcount_count_cy<8>")
+ (joined
+ (portRef O (instanceRef Mcount_count_cy_8___renamed_8))
+ (portRef CI (instanceRef Mcount_count_cy_9___renamed_9))
+ (portRef CI (instanceRef Mcount_count_xor_9__))
+ )
+ )
+ (net (rename Mcount_count_lut_9__ "Mcount_count_lut<9>")
+ (joined
+ (portRef S (instanceRef Mcount_count_cy_9___renamed_9))
+ (portRef LI (instanceRef Mcount_count_xor_9__))
+ (portRef O (instanceRef Mcount_count_lut_9__INV_0))
+ )
+ )
+ (net (rename Mcount_count_cy_9__ "Mcount_count_cy<9>")
+ (joined
+ (portRef O (instanceRef Mcount_count_cy_9___renamed_9))
+ (portRef CI (instanceRef Mcount_count_cy_10___renamed_10))
+ (portRef CI (instanceRef Mcount_count_xor_10__))
+ )
+ )
+ (net (rename Mcount_count_lut_10__ "Mcount_count_lut<10>")
+ (joined
+ (portRef S (instanceRef Mcount_count_cy_10___renamed_10))
+ (portRef LI (instanceRef Mcount_count_xor_10__))
+ (portRef O (instanceRef Mcount_count_lut_10__INV_0))
+ )
+ )
+ (net (rename Mcount_count_cy_10__ "Mcount_count_cy<10>")
+ (joined
+ (portRef O (instanceRef Mcount_count_cy_10___renamed_10))
+ (portRef CI (instanceRef Mcount_count_cy_11___renamed_11))
+ (portRef CI (instanceRef Mcount_count_xor_11__))
+ )
+ )
+ (net (rename Mcount_count_lut_11__ "Mcount_count_lut<11>")
+ (joined
+ (portRef S (instanceRef Mcount_count_cy_11___renamed_11))
+ (portRef LI (instanceRef Mcount_count_xor_11__))
+ (portRef O (instanceRef Mcount_count_lut_11__INV_0))
+ )
+ )
+ (net (rename Mcount_count_cy_11__ "Mcount_count_cy<11>")
+ (joined
+ (portRef O (instanceRef Mcount_count_cy_11___renamed_11))
+ (portRef CI (instanceRef Mcount_count_cy_12___renamed_12))
+ (portRef CI (instanceRef Mcount_count_xor_12__))
+ )
+ )
+ (net (rename Mcount_count_lut_12__ "Mcount_count_lut<12>")
+ (joined
+ (portRef S (instanceRef Mcount_count_cy_12___renamed_12))
+ (portRef LI (instanceRef Mcount_count_xor_12__))
+ (portRef O (instanceRef Mcount_count_lut_12__INV_0))
+ )
+ )
+ (net (rename Mcount_count_cy_12__ "Mcount_count_cy<12>")
+ (joined
+ (portRef O (instanceRef Mcount_count_cy_12___renamed_12))
+ (portRef CI (instanceRef Mcount_count_cy_13___renamed_13))
+ (portRef CI (instanceRef Mcount_count_xor_13__))
+ )
+ )
+ (net (rename Mcount_count_lut_13__ "Mcount_count_lut<13>")
+ (joined
+ (portRef S (instanceRef Mcount_count_cy_13___renamed_13))
+ (portRef LI (instanceRef Mcount_count_xor_13__))
+ (portRef O (instanceRef Mcount_count_lut_13__INV_0))
+ )
+ )
+ (net (rename Mcount_count_cy_13__ "Mcount_count_cy<13>")
+ (joined
+ (portRef O (instanceRef Mcount_count_cy_13___renamed_13))
+ (portRef CI (instanceRef Mcount_count_cy_14___renamed_14))
+ (portRef CI (instanceRef Mcount_count_xor_14__))
+ )
+ )
+ (net (rename Mcount_count_lut_14__ "Mcount_count_lut<14>")
+ (joined
+ (portRef S (instanceRef Mcount_count_cy_14___renamed_14))
+ (portRef LI (instanceRef Mcount_count_xor_14__))
+ (portRef O (instanceRef Mcount_count_lut_14__INV_0))
+ )
+ )
+ (net (rename Mcount_count_cy_14__ "Mcount_count_cy<14>")
+ (joined
+ (portRef O (instanceRef Mcount_count_cy_14___renamed_14))
+ (portRef CI (instanceRef Mcount_count_xor_15__))
+ )
+ )
+ (net (rename Mcount_count_lut_15__ "Mcount_count_lut<15>")
+ (joined
+ (portRef LI (instanceRef Mcount_count_xor_15__))
+ (portRef O (instanceRef Mcount_count_lut_15__INV_0))
+ )
+ )
+ (net (rename count_15__GND_1_o_equal_2_o_15__ "count[15]_GND_1_o_equal_2_o<15>")
+ (joined
+ (portRef O (instanceRef count_15__GND_1_o_equal_2_o_15_1_renamed_15))
+ (portRef I5 (instanceRef LED1_dpot_renamed_18))
+ (portRef I4 (instanceRef count_15__GND_1_o_equal_2_o_15_3))
+ )
+ )
+ (net (rename count_15__GND_1_o_equal_2_o_15_1 "count[15]_GND_1_o_equal_2_o<15>1")
+ (joined
+ (portRef O (instanceRef count_15__GND_1_o_equal_2_o_15_2))
+ (portRef I5 (instanceRef count_15__GND_1_o_equal_2_o_15_3))
+ (portRef I1 (instanceRef LED1_rstpot_renamed_19))
+ )
+ )
+ (net CLK
+ (joined
+ (portRef CLK)
+ (portRef I (instanceRef CLK_BUFGP_renamed_37))
+ )
+ )
+ (net LED1
+ (joined
+ (portRef LED1)
+ (portRef O (instanceRef LED1_OBUF_renamed_16))
+ )
+ )
+ (net (rename Mcount_count_cy_0__rt "Mcount_count_cy<0>_rt")
+ (joined
+ (portRef O (instanceRef Mcount_count_cy_0__rt_renamed_17))
+ (portRef S (instanceRef Mcount_count_cy_0___renamed_0))
+ (portRef LI (instanceRef Mcount_count_xor_0__))
+ )
+ )
+ (net LED1_dpot
+ (joined
+ (portRef O (instanceRef LED1_dpot_renamed_18))
+ (portRef I2 (instanceRef LED1_rstpot_renamed_19))
+ )
+ )
+ (net LED1_rstpot
+ (joined
+ (portRef O (instanceRef LED1_rstpot_renamed_19))
+ (portRef D (instanceRef LED1_renamed_20))
+ )
+ )
+ (net count_1_rstpot
+ (joined
+ (portRef O (instanceRef count_1_rstpot_renamed_21))
+ (portRef D (instanceRef count_1))
+ )
+ )
+ (net count_0_rstpot
+ (joined
+ (portRef O (instanceRef count_0_rstpot_renamed_22))
+ (portRef D (instanceRef count_0))
+ )
+ )
+ (net count_2_rstpot
+ (joined
+ (portRef O (instanceRef count_2_rstpot_renamed_23))
+ (portRef D (instanceRef count_2))
+ )
+ )
+ (net count_3_rstpot
+ (joined
+ (portRef O (instanceRef count_3_rstpot_renamed_24))
+ (portRef D (instanceRef count_3))
+ )
+ )
+ (net count_4_rstpot
+ (joined
+ (portRef O (instanceRef count_4_rstpot_renamed_25))
+ (portRef D (instanceRef count_4))
+ )
+ )
+ (net count_5_rstpot
+ (joined
+ (portRef O (instanceRef count_5_rstpot_renamed_26))
+ (portRef D (instanceRef count_5))
+ )
+ )
+ (net count_6_rstpot
+ (joined
+ (portRef O (instanceRef count_6_rstpot_renamed_27))
+ (portRef D (instanceRef count_6))
+ )
+ )
+ (net count_7_rstpot
+ (joined
+ (portRef O (instanceRef count_7_rstpot_renamed_28))
+ (portRef D (instanceRef count_7))
+ )
+ )
+ (net count_8_rstpot
+ (joined
+ (portRef O (instanceRef count_8_rstpot_renamed_29))
+ (portRef D (instanceRef count_8))
+ )
+ )
+ (net count_9_rstpot
+ (joined
+ (portRef O (instanceRef count_9_rstpot_renamed_30))
+ (portRef D (instanceRef count_9))
+ )
+ )
+ (net count_10_rstpot
+ (joined
+ (portRef O (instanceRef count_10_rstpot_renamed_31))
+ (portRef D (instanceRef count_10))
+ )
+ )
+ (net count_11_rstpot
+ (joined
+ (portRef O (instanceRef count_11_rstpot_renamed_32))
+ (portRef D (instanceRef count_11))
+ )
+ )
+ (net count_12_rstpot
+ (joined
+ (portRef O (instanceRef count_12_rstpot_renamed_33))
+ (portRef D (instanceRef count_12))
+ )
+ )
+ (net count_13_rstpot
+ (joined
+ (portRef O (instanceRef count_13_rstpot_renamed_34))
+ (portRef D (instanceRef count_13))
+ )
+ )
+ (net count_14_rstpot
+ (joined
+ (portRef O (instanceRef count_14_rstpot_renamed_35))
+ (portRef D (instanceRef count_14))
+ )
+ )
+ (net count_15_rstpot
+ (joined
+ (portRef O (instanceRef count_15_rstpot_renamed_36))
+ (portRef D (instanceRef count_15))
+ )
+ )
+ )
+ )
+ )
+ )
+
+ (design led
+ (cellRef led
+ (libraryRef led_lib)
+ )
+ (property PART (string "xc6slx9-2-tqg144") (owner "Xilinx"))
+ )
+)
+
diff --git a/planAhead_run_4/FPGA-led-lights.data/constrs_1/fileset.xml b/planAhead_run_4/FPGA-led-lights.data/constrs_1/fileset.xml
new file mode 100644
index 0000000..27cbdc8
--- /dev/null
+++ b/planAhead_run_4/FPGA-led-lights.data/constrs_1/fileset.xml
@@ -0,0 +1,16 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<DARoots Version="1" Minor="26">
+ <FileSet Name="constrs_1" Type="Constrs" RelSrcDir="$PSRCDIR/constrs_1">
+ <Filter Type="Constrs"/>
+ <File Path="$PPRDIR/../../../Downloads/BPC3011-Papilio_Pro-general.ucf">
+ <FileInfo>
+ <Attr Name="UsedInSynthesis" Val="1"/>
+ <Attr Name="UsedInImplementation" Val="1"/>
+ </FileInfo>
+ </File>
+ <Config>
+ <Option Name="TargetConstrsFile" Val="$PPRDIR/../../../Downloads/BPC3011-Papilio_Pro-general.ucf"/>
+ <Option Name="ConstrsType" Val="UCF"/>
+ </Config>
+ </FileSet>
+</DARoots>
diff --git a/planAhead_run_4/FPGA-led-lights.data/runs/impl_1.psg b/planAhead_run_4/FPGA-led-lights.data/runs/impl_1.psg
new file mode 100644
index 0000000..147f3a9
--- /dev/null
+++ b/planAhead_run_4/FPGA-led-lights.data/runs/impl_1.psg
@@ -0,0 +1,20 @@
+<?xml version="1.0"?>
+<Strategy Version="1" Minor="2">
+ <StratHandle Name="ISE Defaults" Flow="ISE14">
+ <Desc>ISE Defaults, including packing registers in IOs off</Desc>
+ </StratHandle>
+ <Step Id="ngdbuild">
+ </Step>
+ <Step Id="map">
+ <Option Id="FFPackEnum">3</Option>
+ </Step>
+ <Step Id="par">
+ </Step>
+ <Step Id="trce">
+ </Step>
+ <Step Id="xdl">
+ </Step>
+ <Step Id="bitgen">
+ </Step>
+</Strategy>
+
diff --git a/planAhead_run_4/FPGA-led-lights.data/runs/runs.xml b/planAhead_run_4/FPGA-led-lights.data/runs/runs.xml
new file mode 100644
index 0000000..7708ac8
--- /dev/null
+++ b/planAhead_run_4/FPGA-led-lights.data/runs/runs.xml
@@ -0,0 +1,5 @@
+<?xml version="1.0"?>
+<Runs Version="1" Minor="8">
+ <Run Id="impl_1" Type="Ft2:EntireDesign" SrcSet="sources_1" Part="xc6slx9tqg144-2" ConstrsSet="constrs_1" Description="ISE Defaults, including packing registers in IOs off" State="current"/>
+</Runs>
+
diff --git a/planAhead_run_4/FPGA-led-lights.data/sim_1/fileset.xml b/planAhead_run_4/FPGA-led-lights.data/sim_1/fileset.xml
new file mode 100644
index 0000000..65babe3
--- /dev/null
+++ b/planAhead_run_4/FPGA-led-lights.data/sim_1/fileset.xml
@@ -0,0 +1,10 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<DARoots Version="1" Minor="26">
+ <FileSet Name="sim_1" Type="SimulationSrcs" RelSrcDir="$PSRCDIR/sim_1">
+ <Config>
+ <Option Name="DesignMode" Val="RTL"/>
+ <Option Name="TopAutoSet" Val="TRUE"/>
+ <Option Name="SrcSet" Val="sources_1"/>
+ </Config>
+ </FileSet>
+</DARoots>
diff --git a/planAhead_run_4/FPGA-led-lights.data/sources_1/fileset.xml b/planAhead_run_4/FPGA-led-lights.data/sources_1/fileset.xml
new file mode 100644
index 0000000..ddc517f
--- /dev/null
+++ b/planAhead_run_4/FPGA-led-lights.data/sources_1/fileset.xml
@@ -0,0 +1,18 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<DARoots Version="1" Minor="26">
+ <FileSet Name="sources_1" Type="DesignSrcs" RelSrcDir="$PSRCDIR/sources_1">
+ <Filter Type="EDIFSrcs"/>
+ <File Path="$PPRDIR/../led.ngc">
+ <FileInfo>
+ <Attr Name="UsedInSynthesis" Val="1"/>
+ <Attr Name="UsedInImplementation" Val="1"/>
+ </FileInfo>
+ </File>
+ <Config>
+ <Option Name="DesignMode" Val="GateLvl"/>
+ <Option Name="GateLvlMode" Val="EDIF"/>
+ <Option Name="TopFile" Val="$PPRDIR/../led.ngc"/>
+ <Option Name="TopAutoSet" Val="TRUE"/>
+ </Config>
+ </FileSet>
+</DARoots>
diff --git a/planAhead_run_4/FPGA-led-lights.data/wt/java_command_handlers.wdf b/planAhead_run_4/FPGA-led-lights.data/wt/java_command_handlers.wdf
new file mode 100644
index 0000000..90b0b33
--- /dev/null
+++ b/planAhead_run_4/FPGA-led-lights.data/wt/java_command_handlers.wdf
@@ -0,0 +1,4 @@
+version:1
+70726f6a656374:706c616e5f61686561645f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:72756e736372697074:31:00:00
+70726f6a656374:706c616e5f61686561645f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:73686f7776696577:32:00:00
+eof:2778578561
diff --git a/planAhead_run_4/FPGA-led-lights.data/wt/project.wpc b/planAhead_run_4/FPGA-led-lights.data/wt/project.wpc
new file mode 100644
index 0000000..5fed558
--- /dev/null
+++ b/planAhead_run_4/FPGA-led-lights.data/wt/project.wpc
@@ -0,0 +1,4 @@
+version:1
+6d6f64655f636f756e7465727c4755494d6f6465:1
+6d6f64655f636f756e7465727c4953454d6f6465:1
+eof:
diff --git a/planAhead_run_4/FPGA-led-lights.data/wt/webtalk_pa.xml b/planAhead_run_4/FPGA-led-lights.data/wt/webtalk_pa.xml
new file mode 100644
index 0000000..0ab2f73
--- /dev/null
+++ b/planAhead_run_4/FPGA-led-lights.data/wt/webtalk_pa.xml
@@ -0,0 +1,30 @@
+<?xml version="1.0" encoding="UTF-8" ?>
+<document>
+<!--The data in this file is primarily intended for consumption by Xilinx tools.
+The structure and the elements are likely to change over the next few releases.
+This means code written to parse this file will need to be revisited each subsequent release.-->
+<application name="pa" timeStamp="Tue Feb 21 21:53:14 2017">
+<section name="Project Information" visible="false">
+<property name="ProjectID" value="5839cde962314e60853ae32f9ffcb4a0" type="ProjectID"/>
+<property name="ProjectIteration" value="1" type="ProjectIteration"/>
+</section>
+<section name="PlanAhead Usage" visible="true">
+<item name="Project Data">
+<property name="SrcSetCount" value="1" type="SrcSetCount"/>
+<property name="ConstraintSetCount" value="1" type="ConstraintSetCount"/>
+<property name="DesignMode" value="GateLvl" type="DesignMode"/>
+<property name="ImplStrategy" value="ISE Defaults" type="ImplStrategy"/>
+</item>
+<item name="Java Command Handlers">
+<property name="RunScript" value="1" type="JavaHandler"/>
+<property name="ShowView" value="2" type="JavaHandler"/>
+</item>
+<item name="Other">
+<property name="GuiMode" value="0" type="GuiMode"/>
+<property name="BatchMode" value="0" type="BatchMode"/>
+<property name="TclMode" value="0" type="TclMode"/>
+<property name="ISEMode" value="7" type="ISEMode"/>
+</item>
+</section>
+</application>
+</document>
diff --git a/planAhead_run_4/FPGA-led-lights.ppr b/planAhead_run_4/FPGA-led-lights.ppr
new file mode 100644
index 0000000..42d291d
--- /dev/null
+++ b/planAhead_run_4/FPGA-led-lights.ppr
@@ -0,0 +1,28 @@
+<?xml version="1.0"?>
+<!--Product Version: PlanAhead v14.7 (64-bit)-->
+<Project Version="4" Minor="36">
+ <FileSet Dir="sources_1" File="fileset.xml"/>
+ <FileSet Dir="constrs_1" File="fileset.xml"/>
+ <FileSet Dir="sim_1" File="fileset.xml"/>
+ <RunSet Dir="runs" File="runs.xml"/>
+ <DefaultLaunch Dir="$PRUNDIR"/>
+ <DefaultPromote Dir="$PROMOTEDIR"/>
+ <Config>
+ <Option Name="Id" Val="903fcee33e314607aca91ab5aed60bb7"/>
+ <Option Name="Part" Val="xc6slx9tqg144-2"/>
+ <Option Name="CompiledLibDir" Val="$PCACHEDIR/compile_simlib"/>
+ <Option Name="TargetLanguage" Val="Verilog"/>
+ <Option Name="TargetSimulator" Val="ISim"/>
+ <Option Name="Board" Val=""/>
+ <Option Name="SourceMgmtMode" Val="All"/>
+ <Option Name="ActiveSimSet" Val="sim_1"/>
+ <Option Name="CxlOverwriteLibs" Val="1"/>
+ <Option Name="CxlFuncsim" Val="1"/>
+ <Option Name="CxlTimesim" Val="1"/>
+ <Option Name="CxlCore" Val="1"/>
+ <Option Name="CxlEdk" Val="0"/>
+ <Option Name="CxlExcludeCores" Val="1"/>
+ <Option Name="CxlExcludeSubLibs" Val="0"/>
+ </Config>
+</Project>
+
diff --git a/planAhead_run_4/planAhead.jou b/planAhead_run_4/planAhead.jou
new file mode 100644
index 0000000..236855b
--- /dev/null
+++ b/planAhead_run_4/planAhead.jou
@@ -0,0 +1,14 @@
+#-----------------------------------------------------------
+# PlanAhead v14.7 (64-bit)
+# Build 321239 by xbuild on Fri Sep 27 19:24:36 MDT 2013
+# Start of session at: Tue Feb 21 21:49:52 2017
+# Process ID: 24218
+# Log file: /home/yannherklotz/Github/FPGA-led-lights/planAhead_run_4/planAhead.log
+# Journal file: /home/yannherklotz/Github/FPGA-led-lights/planAhead_run_4/planAhead.jou
+#-----------------------------------------------------------
+start_gui
+source /home/yannherklotz/Github/FPGA-led-lights/pa.fromNcd.tcl
+add wave clock enable
+create_clock -name "CLOCK_50" -period 20.000ns [get_ports {CLOCK_50}]
+add
+run
diff --git a/planAhead_run_4/planAhead.log b/planAhead_run_4/planAhead.log
new file mode 100644
index 0000000..00270f3
--- /dev/null
+++ b/planAhead_run_4/planAhead.log
@@ -0,0 +1,96 @@
+#-----------------------------------------------------------
+# PlanAhead v14.7 (64-bit)
+# Build 321239 by xbuild on Fri Sep 27 19:24:36 MDT 2013
+# Start of session at: Tue Feb 21 21:49:52 2017
+# Process ID: 24218
+# Log file: /home/yannherklotz/Github/FPGA-led-lights/planAhead_run_4/planAhead.log
+# Journal file: /home/yannherklotz/Github/FPGA-led-lights/planAhead_run_4/planAhead.jou
+#-----------------------------------------------------------
+INFO: [Common 17-78] Attempting to get a license: PlanAhead
+INFO: [Common 17-290] Got license for PlanAhead
+INFO: [Device 21-36] Loading parts and site information from /opt/Xilinx/14.7/ISE_DS/PlanAhead/data/parts/arch.xml
+Parsing RTL primitives file [/opt/Xilinx/14.7/ISE_DS/PlanAhead/data/parts/xilinx/rtl/prims/rtl_prims.xml]
+Finished parsing RTL primitives file [/opt/Xilinx/14.7/ISE_DS/PlanAhead/data/parts/xilinx/rtl/prims/rtl_prims.xml]
+start_gui
+source /home/yannherklotz/Github/FPGA-led-lights/pa.fromNcd.tcl
+# create_project -name FPGA-led-lights -dir "/home/yannherklotz/Github/FPGA-led-lights/planAhead_run_4" -part xc6slx9tqg144-2
+# set srcset [get_property srcset [current_run -impl]]
+# set_property design_mode GateLvl $srcset
+# set_property edif_top_file "/home/yannherklotz/Github/FPGA-led-lights/led.ngc" [ get_property srcset [ current_run ] ]
+# add_files -norecurse { {/home/yannherklotz/Github/FPGA-led-lights} }
+# set_property target_constrs_file "/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf" [current_fileset -constrset]
+Adding file '/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf' to fileset 'constrs_1'
+# add_files [list {/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf}] -fileset [get_property constrset [current_run]]
+# link_design
+Design is defaulting to srcset: sources_1
+Design is defaulting to constrset: constrs_1
+Design is defaulting to project part: xc6slx9tqg144-2
+Release 14.7 - ngc2edif P.20131013 (lin64)
+Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved.
+
+Release 14.7 - ngc2edif P.20131013 (lin64)
+Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved.
+Reading design led.ngc ...
+WARNING:NetListWriters:298 - No output is written to led.xncf, ignored.
+Processing design ...
+ Preping design's networks ...
+ Preping design's macros ...
+ finished :Prep
+Writing EDIF netlist file led.edif ...
+ngc2edif: Total memory usage is 103540 kilobytes
+
+Parsing EDIF File [./planAhead_run_4/FPGA-led-lights.data/cache/led_ngc_cb841106.edif]
+Finished Parsing EDIF File [./planAhead_run_4/FPGA-led-lights.data/cache/led_ngc_cb841106.edif]
+INFO: [Netlist 29-17] Analyzing 1 Unisim elements for replacement
+INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds
+Loading clock regions from /opt/Xilinx/14.7/ISE_DS/PlanAhead/data/parts/xilinx/spartan6/spartan6lx/xc6slx9/ClockRegion.xml
+Loading clock buffers from /opt/Xilinx/14.7/ISE_DS/PlanAhead/data/parts/xilinx/spartan6/spartan6lx/xc6slx9/ClockBuffers.xml
+Loading package pin functions from /opt/Xilinx/14.7/ISE_DS/PlanAhead/data/parts/xilinx/spartan6/PinFunctions.xml...
+Loading package from /opt/Xilinx/14.7/ISE_DS/PlanAhead/data/parts/xilinx/spartan6/spartan6lx/xc6slx9/tqg144/Package.xml
+Loading io standards from /opt/Xilinx/14.7/ISE_DS/PlanAhead/data/./parts/xilinx/spartan6/IOStandards.xml
+Loading device configuration modes from /opt/Xilinx/14.7/ISE_DS/PlanAhead/data/parts/xilinx/spartan6/ConfigModes.xml
+Loading list of drcs for the architecture : /opt/Xilinx/14.7/ISE_DS/PlanAhead/data/./parts/xilinx/spartan6/drc.xml
+Parsing UCF File [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf]
+Finished Parsing UCF File [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf]
+INFO: [Project 1-111] Unisim Transformation Summary:
+ A total of 1 instances were transformed.
+ BUFGP => BUFGP (IBUF, BUFG): 1 instances
+
+Phase 0 | Netlist Checksum: 23693229
+link_design: Time (s): cpu = 00:00:13 ; elapsed = 00:00:07 . Memory (MB): peak = 2864.305 ; gain = 189.508
+# read_xdl -file "/home/yannherklotz/Github/FPGA-led-lights/led.ncd"
+Release 14.7 - xdl P.20131013 (lin64)
+Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved.
+
+WARNING:XDL:213 - The resulting xdl output will not have LUT equation strings or RAM INIT strings.
+Loading device for application Rf_Device from file '6slx9.nph' in environment /opt/Xilinx/14.7/ISE_DS/ISE/.
+ "led" is an NCD, version 3.2, device xc6slx9, package tqg144, speed -2
+Successfully converted design '/home/yannherklotz/Github/FPGA-led-lights/led.ncd' to '/home/yannherklotz/Github/FPGA-led-lights/led.xdl'.
+INFO: [Designutils 20-669] Parsing Placement File : /home/yannherklotz/Github/FPGA-led-lights/led.ncd
+INFO: [Designutils 20-658] Finished Parsing Placement File : /home/yannherklotz/Github/FPGA-led-lights/led.ncd
+INFO: [Designutils 20-671] Placed 87 instances
+read_xdl: Time (s): cpu = 00:00:11 ; elapsed = 00:00:06 . Memory (MB): peak = 2864.305 ; gain = 0.000
+# if {[catch {read_twx -name results_1 -file "/home/yannherklotz/Github/FPGA-led-lights/led.twx"} eInfo]} {
+# puts "WARNING: there was a problem importing \"/home/yannherklotz/Github/FPGA-led-lights/led.twx\": $eInfo"
+# }
+add wave clock enable
+invalid command name "add"
+ambiguous command name "add": add_cells_to_pblock add_files
+create_clock -name "CLOCK_50" -period 20.000ns [get_ports {CLOCK_50}]
+WARNING: [PlanAhead 12-584] No ports matched 'CLOCK_50'.
+invalid command name "create_clock"
+add
+invalid command name "add"
+ambiguous command name "add": add_cells_to_pblock add_files
+run
+invalid command name "run"
+exit
+ERROR: [#UNDEF] *** Exception: ui.h.b: Found deleted key in HTclEventBroker. Verify if the classes listed here call cleanup()
+HTclEvent: DEBUG_PORT_CONFIG_CHANGE Classes: ui.views.aR
+HTclEvent: SIGNAL_BUS_MODIFY Classes: ui.views.aR
+HTclEvent: SIGNAL_MODIFY Classes: ui.views.aR
+HTclEvent: DEBUG_CORE_CONFIG_CHANGE Classes: ui.views.aR
+ (See /home/yannherklotz/Github/FPGA-led-lights/planAhead_pid24218.debug)
+ERROR: [Common 17-39] 'stop_gui' failed due to earlier errors.
+INFO: [Common 17-206] Exiting PlanAhead at Tue Feb 21 21:53:15 2017...
+INFO: [Common 17-83] Releasing license: PlanAhead
diff --git a/planAhead_run_4/planAhead_run.log b/planAhead_run_4/planAhead_run.log
new file mode 100644
index 0000000..39a91d8
--- /dev/null
+++ b/planAhead_run_4/planAhead_run.log
@@ -0,0 +1,105 @@
+
+****** PlanAhead v14.7 (64-bit)
+ **** Build 321239 by xbuild on Fri Sep 27 19:24:36 MDT 2013
+ ** Copyright 1986-1999, 2001-2013 Xilinx, Inc. All Rights Reserved.
+
+INFO: [Common 17-78] Attempting to get a license: PlanAhead
+INFO: [Common 17-290] Got license for PlanAhead
+INFO: [Device 21-36] Loading parts and site information from /opt/Xilinx/14.7/ISE_DS/PlanAhead/data/parts/arch.xml
+Parsing RTL primitives file [/opt/Xilinx/14.7/ISE_DS/PlanAhead/data/parts/xilinx/rtl/prims/rtl_prims.xml]
+Finished parsing RTL primitives file [/opt/Xilinx/14.7/ISE_DS/PlanAhead/data/parts/xilinx/rtl/prims/rtl_prims.xml]
+start_gui
+source /home/yannherklotz/Github/FPGA-led-lights/pa.fromNcd.tcl
+# create_project -name FPGA-led-lights -dir "/home/yannherklotz/Github/FPGA-led-lights/planAhead_run_4" -part xc6slx9tqg144-2
+# set srcset [get_property srcset [current_run -impl]]
+# set_property design_mode GateLvl $srcset
+# set_property edif_top_file "/home/yannherklotz/Github/FPGA-led-lights/led.ngc" [ get_property srcset [ current_run ] ]
+# add_files -norecurse { {/home/yannherklotz/Github/FPGA-led-lights} }
+# set_property target_constrs_file "/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf" [current_fileset -constrset]
+Adding file '/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf' to fileset 'constrs_1'
+# add_files [list {/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf}] -fileset [get_property constrset [current_run]]
+# link_design
+Design is defaulting to srcset: sources_1
+Design is defaulting to constrset: constrs_1
+Design is defaulting to project part: xc6slx9tqg144-2
+Release 14.7 - ngc2edif P.20131013 (lin64)
+Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved.
+
+Release 14.7 - ngc2edif P.20131013 (lin64)
+Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved.
+Reading design led.ngc ...
+WARNING:NetListWriters:298 - No output is written to led.xncf, ignored.
+Processing design ...
+ Preping design's networks ...
+ Preping design's macros ...
+ finished :Prep
+Writing EDIF netlist file led.edif ...
+ngc2edif: Total memory usage is 103540 kilobytes
+
+Parsing EDIF File [./planAhead_run_4/FPGA-led-lights.data/cache/led_ngc_cb841106.edif]
+Finished Parsing EDIF File [./planAhead_run_4/FPGA-led-lights.data/cache/led_ngc_cb841106.edif]
+INFO: [Netlist 29-17] Analyzing 1 Unisim elements for replacement
+INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds
+Loading clock regions from /opt/Xilinx/14.7/ISE_DS/PlanAhead/data/parts/xilinx/spartan6/spartan6lx/xc6slx9/ClockRegion.xml
+Loading clock buffers from /opt/Xilinx/14.7/ISE_DS/PlanAhead/data/parts/xilinx/spartan6/spartan6lx/xc6slx9/ClockBuffers.xml
+Loading package pin functions from /opt/Xilinx/14.7/ISE_DS/PlanAhead/data/parts/xilinx/spartan6/PinFunctions.xml...
+Loading package from /opt/Xilinx/14.7/ISE_DS/PlanAhead/data/parts/xilinx/spartan6/spartan6lx/xc6slx9/tqg144/Package.xml
+Loading io standards from /opt/Xilinx/14.7/ISE_DS/PlanAhead/data/./parts/xilinx/spartan6/IOStandards.xml
+Loading device configuration modes from /opt/Xilinx/14.7/ISE_DS/PlanAhead/data/parts/xilinx/spartan6/ConfigModes.xml
+Loading list of drcs for the architecture : /opt/Xilinx/14.7/ISE_DS/PlanAhead/data/./parts/xilinx/spartan6/drc.xml
+Parsing UCF File [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf]
+Finished Parsing UCF File [/home/yannherklotz/Downloads/BPC3011-Papilio_Pro-general.ucf]
+INFO: [Project 1-111] Unisim Transformation Summary:
+ A total of 1 instances were transformed.
+ BUFGP => BUFGP (IBUF, BUFG): 1 instances
+
+Phase 0 | Netlist Checksum: 23693229
+link_design: Time (s): cpu = 00:00:13 ; elapsed = 00:00:07 . Memory (MB): peak = 2864.305 ; gain = 189.508
+# read_xdl -file "/home/yannherklotz/Github/FPGA-led-lights/led.ncd"
+Release 14.7 - xdl P.20131013 (lin64)
+Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved.
+
+WARNING:XDL:213 - The resulting xdl output will not have LUT equation strings or RAM INIT strings.
+Loading device for application Rf_Device from file '6slx9.nph' in environment /opt/Xilinx/14.7/ISE_DS/ISE/.
+ "led" is an NCD, version 3.2, device xc6slx9, package tqg144, speed -2
+Successfully converted design '/home/yannherklotz/Github/FPGA-led-lights/led.ncd' to '/home/yannherklotz/Github/FPGA-led-lights/led.xdl'.
+INFO: [Designutils 20-669] Parsing Placement File : /home/yannherklotz/Github/FPGA-led-lights/led.ncd
+INFO: [Designutils 20-658] Finished Parsing Placement File : /home/yannherklotz/Github/FPGA-led-lights/led.ncd
+INFO: [Designutils 20-671] Placed 87 instances
+read_xdl: Time (s): cpu = 00:00:11 ; elapsed = 00:00:06 . Memory (MB): peak = 2864.305 ; gain = 0.000
+# if {[catch {read_twx -name results_1 -file "/home/yannherklotz/Github/FPGA-led-lights/led.twx"} eInfo]} {
+# puts "WARNING: there was a problem importing \"/home/yannherklotz/Github/FPGA-led-lights/led.twx\": $eInfo"
+# }
+MEvent. CASE!
+MEvent. CASE!
+MEvent. CASE!
+MEvent. CASE!
+MEvent. CASE!
+MEvent. CASE!
+MEvent. CASE!
+MEvent. CASE!
+MEvent. CASE!
+MEvent. CASE!
+MEvent. CASE!
+MEvent. CASE!
+MEvent. CASE!
+MEvent. CASE!
+MEvent. CASE!
+MEvent. CASE!
+MEvent. CASE!
+MEvent. CASE!
+add wave clock enable
+invalid command name "add"
+ambiguous command name "add": add_cells_to_pblock add_files
+create_clock -name "CLOCK_50" -period 20.000ns [get_ports {CLOCK_50}]
+WARNING: [PlanAhead 12-584] No ports matched 'CLOCK_50'.
+invalid command name "create_clock"
+add
+invalid command name "add"
+ambiguous command name "add": add_cells_to_pblock add_files
+run
+invalid command name "run"
+exit
+ERROR: [Common 17-39] 'stop_gui' failed due to earlier errors.
+INFO: [Common 17-206] Exiting PlanAhead at Tue Feb 21 21:53:15 2017...
+INFO: [Common 17-83] Releasing license: PlanAhead
diff --git a/usage_statistics_webtalk.html b/usage_statistics_webtalk.html
new file mode 100644
index 0000000..0160d16
--- /dev/null
+++ b/usage_statistics_webtalk.html
@@ -0,0 +1,943 @@
+<HTML><HEAD><TITLE>Device Usage Statistics Report</TITLE></HEAD>
+<BODY TEXT='#000000' BGCOLOR='#FFFFFF' LINK='#0000EE' VLINK='#551A8B' ALINK='#FF0000'>
+<H3>Device Usage Page (usage_statistics_webtalk.html)</H3>This HTML page displays the device usage statistics that will be sent to Xilinx.<BR>&nbsp;<BR><HR>&nbsp;<BR>
+<TABLE BORDER CELLSPACING=0 WIDTH='100%'>
+<TR ALIGN=CENTER BGCOLOR='#99CCFF'><TD COLSPAN='4'><B>Software Version and Target Device</B></TD></TR>
+<TR ALIGN=LEFT>
+<TD BGCOLOR='#FFFF99'><B>Product Version:</B></TD>
+<TD><xtag-property name="ProductVersion">ISE:14.7</xtag-property><xtag-property name="ProductConfiguration"> (WebPack)</xtag-property><xtag-property name="BuildVersion"> - P.20131013</xtag-property></TD>
+<TD BGCOLOR='#FFFF99'><B>Target Family:</B></TD>
+<TD><xtag-property name="TargetFamily">Spartan6</xtag-property></TD>
+</TR>
+<TR ALIGN=LEFT>
+<TD BGCOLOR='#FFFF99'><B>OS Platform:</B></TD>
+<TD><xtag-property name="OSPlatform">LIN64</xtag-property></TD>
+<TD BGCOLOR='#FFFF99'><B>Target Device:</B></TD>
+<TD><xtag-property name="TargetDevice">xc6slx9</xtag-property></TD>
+</TR>
+<TR ALIGN=LEFT>
+<TD BGCOLOR='#FFFF99'><B>Project ID (random number)</B></TD>
+<TD><xtag-property name="RandomID">5b396c39235244c09f945ee08d948a14</xtag-property>.<xtag-property name="ProjectID">8C4A34387ED46BFEECE9D369B6F8AAAE</xtag-property>.<xtag-property name="ProjectIteration">19</xtag-property></TD>
+<TD BGCOLOR='#FFFF99'><B>Target Package:</B></TD>
+<TD><xtag-property name="TargetPackage">tqg144</xtag-property></TD>
+</TR>
+<TR ALIGN=LEFT>
+<TD BGCOLOR='#FFFF99'><B>Registration ID</B></TD>
+<TD><xtag-property name="RegistrationID">211291888_0_0_214</xtag-property></TD>
+<TD BGCOLOR='#FFFF99'><B>Target Speed:</B></TD>
+<TD><xtag-property name="TargetSpeed">-2</xtag-property></TD>
+</TR>
+<TR ALIGN=LEFT>
+<TD BGCOLOR='#FFFF99'><B>Date Generated</B></TD>
+<TD><xtag-property name="Date Generated">2017-02-21T22:17:09</xtag-property></TD>
+<TD BGCOLOR='#FFFF99'><B>Tool Flow</B></TD>
+<TD><xtag-property name="ToolFlow">ISE</xtag-property></TD>
+</TR>
+</TABLE>
+&nbsp;<BR><TABLE BORDER CELLSPACING=0 WIDTH='100%'>
+<xtag-section name="UserEnvironment">
+<TR ALIGN=CENTER BGCOLOR='#99CCFF'><TD COLSPAN=4><B>User Environment</B></TD></TR>
+<TR ALIGN=LEFT>
+<TD BGCOLOR='#FFFF99'><B><xtag-env-param-name>OS Name</xtag-env-param-name></B></TD>
+<TD><xtag-property name="<xtag-env-param-name>OS Name</xtag-env-param-name>"><xtag-env-param-value>unknown</xtag-env-param-value></xtag-property></TD>
+<TD BGCOLOR='#FFFF99'><B><xtag-env-param-name>OS Release</xtag-env-param-name></B></TD>
+<TD><xtag-property name="<xtag-env-param-name>OS Release</xtag-env-param-name>"><xtag-env-param-value>unknown</xtag-env-param-value></xtag-property></TD>
+</TR>
+<TR ALIGN=LEFT>
+<TD BGCOLOR='#FFFF99'><B><xtag-env-param-name>CPU Name</xtag-env-param-name></B></TD>
+<TD><xtag-property name="<xtag-env-param-name>CPU Name</xtag-env-param-name>"><xtag-env-param-value>Intel(R) Core(TM) i5-7200U CPU @ 2.50GHz</xtag-env-param-value></xtag-property></TD>
+<TD BGCOLOR='#FFFF99'><B><xtag-env-param-name>CPU Speed</xtag-env-param-name></B></TD>
+<TD><xtag-property name="<xtag-env-param-name>CPU Speed</xtag-env-param-name>"><xtag-env-param-value>3099.957 MHz</xtag-env-param-value></xtag-property></TD>
+</TR>
+<TR ALIGN=LEFT>
+<TD BGCOLOR='#FFFF99'><B><xtag-env-param-name>OS Name</xtag-env-param-name></B></TD>
+<TD><xtag-property name="<xtag-env-param-name>OS Name</xtag-env-param-name>"><xtag-env-param-value>unknown</xtag-env-param-value></xtag-property></TD>
+<TD BGCOLOR='#FFFF99'><B><xtag-env-param-name>OS Release</xtag-env-param-name></B></TD>
+<TD><xtag-property name="<xtag-env-param-name>OS Release</xtag-env-param-name>"><xtag-env-param-value>unknown</xtag-env-param-value></xtag-property></TD>
+</TR>
+<TR ALIGN=LEFT>
+<TD BGCOLOR='#FFFF99'><B><xtag-env-param-name>CPU Name</xtag-env-param-name></B></TD>
+<TD><xtag-property name="<xtag-env-param-name>CPU Name</xtag-env-param-name>"><xtag-env-param-value>Intel(R) Core(TM) i5-7200U CPU @ 2.50GHz</xtag-env-param-value></xtag-property></TD>
+<TD BGCOLOR='#FFFF99'><B><xtag-env-param-name>CPU Speed</xtag-env-param-name></B></TD>
+<TD><xtag-property name="<xtag-env-param-name>CPU Speed</xtag-env-param-name>"><xtag-env-param-value>3100.122 MHz</xtag-env-param-value></xtag-property></TD>
+</TR>
+</xtag-section></TABLE>
+&nbsp;<BR><TABLE BORDER CELLSPACING=0 WIDTH='100%'>
+<TR ALIGN=CENTER BGCOLOR='#99CCFF'><TD COLSPAN=4><B>Device Usage Statistics</B></TD></TR>
+<TR ALIGN=CENTER BGCOLOR='#FFFF99'><TD><B>Macro Statistics</B></TD><TD><B>Miscellaneous Statistics</B></TD><TD><B>Net Statistics</B></TD><TD><B>Site Usage</B></TD></TR><TR VALIGN=TOP>
+<xtag-section name="MacroStatistics">
+<TD>
+<xtag-group><xtag-group-name name="Counters=1">Counters=1</xtag-group-name>
+<UL>
+<LI><xtag-item1>27-bit down counter=1</xtag-item1></LI>
+</UL>
+</xtag-group>
+<xtag-group><xtag-group-name name="Registers=1">Registers=1</xtag-group-name>
+<UL>
+<LI><xtag-item1>Flip-Flops=1</xtag-item1></LI>
+</UL>
+</xtag-group>
+</TD>
+</xtag-section>
+<xtag-section name="DesignStatistics">
+<TD>
+<xtag-group><xtag-group-name name="MiscellaneousStatistics">MiscellaneousStatistics</xtag-group-name>
+<UL>
+<LI><xtag-item1>AGG_BONDED_IO=2</xtag-item1></LI>
+<LI><xtag-item1>AGG_IO=2</xtag-item1></LI>
+<LI><xtag-item1>AGG_LOCED_IO=2</xtag-item1></LI>
+<LI><xtag-item1>AGG_SLICE=15</xtag-item1></LI>
+<LI><xtag-item1>NUM_BONDED_IOB=2</xtag-item1></LI>
+<LI><xtag-item1>NUM_BSFULL=25</xtag-item1></LI>
+<LI><xtag-item1>NUM_BSLUTONLY=32</xtag-item1></LI>
+<LI><xtag-item1>NUM_BSUSED=57</xtag-item1></LI>
+<LI><xtag-item1>NUM_BUFG=1</xtag-item1></LI>
+<LI><xtag-item1>NUM_LOCED_IOB=2</xtag-item1></LI>
+<LI><xtag-item1>NUM_LOGIC_O5ANDO6=22</xtag-item1></LI>
+<LI><xtag-item1>NUM_LOGIC_O5ONLY=1</xtag-item1></LI>
+<LI><xtag-item1>NUM_LOGIC_O6ONLY=34</xtag-item1></LI>
+<LI><xtag-item1>NUM_LUT_RT_O6=1</xtag-item1></LI>
+<LI><xtag-item1>NUM_SLICEL=6</xtag-item1></LI>
+<LI><xtag-item1>NUM_SLICEX=9</xtag-item1></LI>
+<LI><xtag-item1>NUM_SLICE_CARRY4=6</xtag-item1></LI>
+<LI><xtag-item1>NUM_SLICE_CONTROLSET=1</xtag-item1></LI>
+<LI><xtag-item1>NUM_SLICE_CYINIT=81</xtag-item1></LI>
+<LI><xtag-item1>NUM_SLICE_FF=25</xtag-item1></LI>
+<LI><xtag-item1>NUM_SLICE_UNUSEDCTRL=7</xtag-item1></LI>
+<LI><xtag-item1>NUM_UNUSABLE_FF_BELS=7</xtag-item1></LI>
+</UL>
+</xtag-group>
+</TD>
+<TD>
+<xtag-group><xtag-group-name name="NetStatistics">NetStatistics</xtag-group-name>
+<UL>
+<LI><xtag-item1>NumNets_Active=66</xtag-item1></LI>
+<LI><xtag-item1>NumNets_Vcc=1</xtag-item1></LI>
+<LI><xtag-item1>NumNodesOfType_Active_BOUNCEACROSS=2</xtag-item1></LI>
+<LI><xtag-item1>NumNodesOfType_Active_BOUNCEIN=7</xtag-item1></LI>
+<LI><xtag-item1>NumNodesOfType_Active_BUFGOUT=1</xtag-item1></LI>
+<LI><xtag-item1>NumNodesOfType_Active_BUFHINP2OUT=1</xtag-item1></LI>
+<LI><xtag-item1>NumNodesOfType_Active_CLKPIN=8</xtag-item1></LI>
+<LI><xtag-item1>NumNodesOfType_Active_CLKPINFEED=1</xtag-item1></LI>
+<LI><xtag-item1>NumNodesOfType_Active_DOUBLE=41</xtag-item1></LI>
+<LI><xtag-item1>NumNodesOfType_Active_GENERIC=2</xtag-item1></LI>
+<LI><xtag-item1>NumNodesOfType_Active_GLOBAL=10</xtag-item1></LI>
+<LI><xtag-item1>NumNodesOfType_Active_INPUT=6</xtag-item1></LI>
+<LI><xtag-item1>NumNodesOfType_Active_IOBIN2OUT=1</xtag-item1></LI>
+<LI><xtag-item1>NumNodesOfType_Active_IOBOUTPUT=1</xtag-item1></LI>
+<LI><xtag-item1>NumNodesOfType_Active_LUTINPUT=197</xtag-item1></LI>
+<LI><xtag-item1>NumNodesOfType_Active_OUTBOUND=60</xtag-item1></LI>
+<LI><xtag-item1>NumNodesOfType_Active_OUTPUT=66</xtag-item1></LI>
+<LI><xtag-item1>NumNodesOfType_Active_PADINPUT=1</xtag-item1></LI>
+<LI><xtag-item1>NumNodesOfType_Active_PADOUTPUT=1</xtag-item1></LI>
+<LI><xtag-item1>NumNodesOfType_Active_PINBOUNCE=17</xtag-item1></LI>
+<LI><xtag-item1>NumNodesOfType_Active_PINFEED=207</xtag-item1></LI>
+<LI><xtag-item1>NumNodesOfType_Active_QUAD=7</xtag-item1></LI>
+<LI><xtag-item1>NumNodesOfType_Active_SINGLE=76</xtag-item1></LI>
+<LI><xtag-item1>NumNodesOfType_Vcc_HVCCOUT=6</xtag-item1></LI>
+<LI><xtag-item1>NumNodesOfType_Vcc_LUTINPUT=23</xtag-item1></LI>
+<LI><xtag-item1>NumNodesOfType_Vcc_PINFEED=23</xtag-item1></LI>
+</UL>
+</xtag-group>
+<xtag-group><xtag-group-name name="SiteStatistics">SiteStatistics</xtag-group-name>
+<UL>
+<LI><xtag-item1>BUFG-BUFGMUX=1</xtag-item1></LI>
+<LI><xtag-item1>IOB-IOBM=1</xtag-item1></LI>
+<LI><xtag-item1>IOB-IOBS=1</xtag-item1></LI>
+<LI><xtag-item1>SLICEL-SLICEM=6</xtag-item1></LI>
+<LI><xtag-item1>SLICEX-SLICEL=1</xtag-item1></LI>
+<LI><xtag-item1>SLICEX-SLICEM=1</xtag-item1></LI>
+</UL>
+</xtag-group>
+</TD>
+</xtag-section>
+<xtag-section name="DeviceUsage">
+<TD>
+<xtag-group><xtag-group-name name="SiteSummary">SiteSummary</xtag-group-name>
+<UL>
+<LI><xtag-item2>BUFG=1</xtag-item2></LI>
+<LI><xtag-item2>BUFG_BUFG=1</xtag-item2></LI>
+<LI><xtag-item2>CARRY4=6</xtag-item2></LI>
+<LI><xtag-item2>HARD1=1</xtag-item2></LI>
+<LI><xtag-item2>IOB=2</xtag-item2></LI>
+<LI><xtag-item2>IOB_IMUX=1</xtag-item2></LI>
+<LI><xtag-item2>IOB_INBUF=1</xtag-item2></LI>
+<LI><xtag-item2>IOB_OUTBUF=1</xtag-item2></LI>
+<LI><xtag-item2>LUT5=23</xtag-item2></LI>
+<LI><xtag-item2>LUT6=57</xtag-item2></LI>
+<LI><xtag-item2>PAD=2</xtag-item2></LI>
+<LI><xtag-item2>REG_SR=25</xtag-item2></LI>
+<LI><xtag-item2>SLICEL=6</xtag-item2></LI>
+<LI><xtag-item2>SLICEX=9</xtag-item2></LI>
+</UL>
+</xtag-group>
+</TD>
+</xtag-section>
+</TR></TABLE>
+&nbsp;<BR><TABLE BORDER CELLSPACING=0 WIDTH='100%'>
+<TR ALIGN=CENTER BGCOLOR='#99CCFF'><TD COLSPAN=4><B>Configuration Data</B></TD></TR><TR VALIGN=TOP>
+<xtag-section name="ReportConfigData">
+<TD>
+<xtag-group><xtag-group-name name="BUFGMUX">BUFGMUX</xtag-group-name>
+<UL>
+<LI><xtag-item3>S=[S_INV:1] [S:0]</xtag-item3></LI>
+</UL>
+</xtag-group>
+<xtag-group><xtag-group-name name="BUFGMUX_GCLKMUX">BUFGMUX_GCLKMUX</xtag-group-name>
+<UL>
+<LI><xtag-item3>DISABLE_ATTR=[LOW:1]</xtag-item3></LI>
+<LI><xtag-item3>S=[S_INV:1] [S:0]</xtag-item3></LI>
+</UL>
+</xtag-group>
+<xtag-group><xtag-group-name name="IBUF_PAD">IBUF_PAD</xtag-group-name>
+<UL>
+<LI><xtag-item3>IOATTRBOX=[LVTTL:1]</xtag-item3></LI>
+</UL>
+</xtag-group>
+<xtag-group><xtag-group-name name="IOB">IOB</xtag-group-name>
+<UL>
+<LI><xtag-item3>O1=[O1_INV:0] [O1:1]</xtag-item3></LI>
+</UL>
+</xtag-group>
+<xtag-group><xtag-group-name name="IOB_OUTBUF">IOB_OUTBUF</xtag-group-name>
+<UL>
+<LI><xtag-item3>DRIVEATTRBOX=[8:1]</xtag-item3></LI>
+<LI><xtag-item3>SLEW=[SLOW:1]</xtag-item3></LI>
+<LI><xtag-item3>SUSPEND=[3STATE:1]</xtag-item3></LI>
+</UL>
+</xtag-group>
+<xtag-group><xtag-group-name name="IOB_PAD">IOB_PAD</xtag-group-name>
+<UL>
+<LI><xtag-item3>DRIVEATTRBOX=[8:1]</xtag-item3></LI>
+<LI><xtag-item3>IOATTRBOX=[LVTTL:1]</xtag-item3></LI>
+<LI><xtag-item3>SLEW=[SLOW:1]</xtag-item3></LI>
+</UL>
+</TD>
+<TD>
+</xtag-group>
+<xtag-group><xtag-group-name name="REG_SR">REG_SR</xtag-group-name>
+<UL>
+<LI><xtag-item3>CK=[CK:25] [CK_INV:0]</xtag-item3></LI>
+<LI><xtag-item3>LATCH_OR_FF=[FF:25]</xtag-item3></LI>
+<LI><xtag-item3>SRINIT=[SRINIT0:18] [SRINIT1:7]</xtag-item3></LI>
+<LI><xtag-item3>SYNC_ATTR=[ASYNC:25]</xtag-item3></LI>
+</UL>
+</xtag-group>
+<xtag-group><xtag-group-name name="SLICEL">SLICEL</xtag-group-name>
+<UL>
+<LI><xtag-item3>BX=[BX_INV:0] [BX:1]</xtag-item3></LI>
+<LI><xtag-item3>BY=[BY:0] [BY_INV:1]</xtag-item3></LI>
+<LI><xtag-item3>CE=[CE:1] [CE_INV:0]</xtag-item3></LI>
+<LI><xtag-item3>CIN=[CIN_INV:0] [CIN:7]</xtag-item3></LI>
+<LI><xtag-item3>CLK=[CLK:9] [CLK_INV:0]</xtag-item3></LI>
+<LI><xtag-item3>SR=[SR:8] [SR_INV:0]</xtag-item3></LI>
+</UL>
+</TD>
+<TD>
+</xtag-group>
+<xtag-group><xtag-group-name name="SLICEL_CYMUXF">SLICEL_CYMUXF</xtag-group-name>
+<UL>
+<LI><xtag-item3>0=[0:8] [0_INV:0]</xtag-item3></LI>
+<LI><xtag-item3>1=[1_INV:0] [1:8]</xtag-item3></LI>
+</UL>
+</xtag-group>
+<xtag-group><xtag-group-name name="SLICEL_CYMUXG">SLICEL_CYMUXG</xtag-group-name>
+<UL>
+<LI><xtag-item3>0=[0:7] [0_INV:0]</xtag-item3></LI>
+</UL>
+</xtag-group>
+<xtag-group><xtag-group-name name="SLICEL_FFX">SLICEL_FFX</xtag-group-name>
+<UL>
+<LI><xtag-item3>CK=[CK:8] [CK_INV:0]</xtag-item3></LI>
+<LI><xtag-item3>D=[D:8] [D_INV:0]</xtag-item3></LI>
+<LI><xtag-item3>FFX_INIT_ATTR=[INIT0:3] [INIT1:5]</xtag-item3></LI>
+<LI><xtag-item3>FFX_SR_ATTR=[SRLOW:3] [SRHIGH:5]</xtag-item3></LI>
+<LI><xtag-item3>LATCH_OR_FF=[FF:8]</xtag-item3></LI>
+<LI><xtag-item3>SR=[SR:8] [SR_INV:0]</xtag-item3></LI>
+<LI><xtag-item3>SYNC_ATTR=[SYNC:8]</xtag-item3></LI>
+</UL>
+</TD>
+<TD>
+</xtag-group>
+<xtag-group><xtag-group-name name="SLICEL_FFY">SLICEL_FFY</xtag-group-name>
+<UL>
+<LI><xtag-item3>CE=[CE:1] [CE_INV:0]</xtag-item3></LI>
+<LI><xtag-item3>CK=[CK:9] [CK_INV:0]</xtag-item3></LI>
+<LI><xtag-item3>D=[D:8] [D_INV:1]</xtag-item3></LI>
+<LI><xtag-item3>FFY_INIT_ATTR=[INIT0:5] [INIT1:4]</xtag-item3></LI>
+<LI><xtag-item3>FFY_SR_ATTR=[SRLOW:5] [SRHIGH:4]</xtag-item3></LI>
+<LI><xtag-item3>LATCH_OR_FF=[FF:9]</xtag-item3></LI>
+<LI><xtag-item3>SR=[SR:8] [SR_INV:0]</xtag-item3></LI>
+<LI><xtag-item3>SYNC_ATTR=[ASYNC:1] [SYNC:8]</xtag-item3></LI>
+</UL>
+</xtag-group>
+<xtag-group><xtag-group-name name="SLICEL_XORF">SLICEL_XORF</xtag-group-name>
+<UL>
+<LI><xtag-item3>1=[1_INV:0] [1:8]</xtag-item3></LI>
+</UL>
+</xtag-group>
+<xtag-group><xtag-group-name name="SLICEX">SLICEX</xtag-group-name>
+<UL>
+<LI><xtag-item3>CLK=[CLK:8] [CLK_INV:0]</xtag-item3></LI>
+</UL>
+</TD>
+<TD>
+</xtag-group>
+</TD>
+</xtag-section>
+</TR></TABLE>
+&nbsp;<BR><TABLE BORDER CELLSPACING=0 WIDTH='100%'>
+<TR ALIGN=CENTER BGCOLOR='#99CCFF'><TD COLSPAN=4><B>Pin Data</B></TD></TR><TR VALIGN=TOP>
+<xtag-section name="ReportConfigData">
+<TD>
+<xtag-group><xtag-group-name name="BUFG">BUFG</xtag-group-name>
+<UL>
+<LI><xtag-item1>I0=1</xtag-item1></LI>
+<LI><xtag-item1>O=1</xtag-item1></LI>
+</UL>
+</xtag-group>
+<xtag-group><xtag-group-name name="BUFGMUX">BUFGMUX</xtag-group-name>
+<UL>
+<LI><xtag-item1>I0=1</xtag-item1></LI>
+<LI><xtag-item1>O=1</xtag-item1></LI>
+<LI><xtag-item1>S=1</xtag-item1></LI>
+</UL>
+</xtag-group>
+<xtag-group><xtag-group-name name="BUFGMUX_GCLKMUX">BUFGMUX_GCLKMUX</xtag-group-name>
+<UL>
+<LI><xtag-item1>I0=1</xtag-item1></LI>
+<LI><xtag-item1>OUT=1</xtag-item1></LI>
+<LI><xtag-item1>S=1</xtag-item1></LI>
+</UL>
+</xtag-group>
+<xtag-group><xtag-group-name name="BUFGMUX_GCLK_BUFFER">BUFGMUX_GCLK_BUFFER</xtag-group-name>
+<UL>
+<LI><xtag-item1>IN=1</xtag-item1></LI>
+<LI><xtag-item1>OUT=1</xtag-item1></LI>
+</UL>
+</xtag-group>
+<xtag-group><xtag-group-name name="BUFG_BUFG">BUFG_BUFG</xtag-group-name>
+<UL>
+<LI><xtag-item1>I0=1</xtag-item1></LI>
+<LI><xtag-item1>O=1</xtag-item1></LI>
+</UL>
+</xtag-group>
+<xtag-group><xtag-group-name name="CARRY4">CARRY4</xtag-group-name>
+<UL>
+<LI><xtag-item1>CIN=5</xtag-item1></LI>
+<LI><xtag-item1>CO3=5</xtag-item1></LI>
+<LI><xtag-item1>CYINIT=1</xtag-item1></LI>
+<LI><xtag-item1>DI0=6</xtag-item1></LI>
+<LI><xtag-item1>DI1=6</xtag-item1></LI>
+<LI><xtag-item1>DI2=6</xtag-item1></LI>
+<LI><xtag-item1>DI3=5</xtag-item1></LI>
+<LI><xtag-item1>O0=6</xtag-item1></LI>
+<LI><xtag-item1>O1=6</xtag-item1></LI>
+<LI><xtag-item1>O2=6</xtag-item1></LI>
+<LI><xtag-item1>O3=6</xtag-item1></LI>
+<LI><xtag-item1>S0=6</xtag-item1></LI>
+<LI><xtag-item1>S1=6</xtag-item1></LI>
+<LI><xtag-item1>S2=6</xtag-item1></LI>
+<LI><xtag-item1>S3=6</xtag-item1></LI>
+</UL>
+</xtag-group>
+<xtag-group><xtag-group-name name="HARD1">HARD1</xtag-group-name>
+<UL>
+<LI><xtag-item1>1=1</xtag-item1></LI>
+</UL>
+</xtag-group>
+<xtag-group><xtag-group-name name="IBUF">IBUF</xtag-group-name>
+<UL>
+<LI><xtag-item1>I=1</xtag-item1></LI>
+<LI><xtag-item1>PAD=1</xtag-item1></LI>
+</UL>
+</xtag-group>
+<xtag-group><xtag-group-name name="IBUF_INBUF">IBUF_INBUF</xtag-group-name>
+<UL>
+<LI><xtag-item1>IN=1</xtag-item1></LI>
+<LI><xtag-item1>OUT=1</xtag-item1></LI>
+</UL>
+</xtag-group>
+<xtag-group><xtag-group-name name="IBUF_PAD">IBUF_PAD</xtag-group-name>
+<UL>
+<LI><xtag-item1>PAD=1</xtag-item1></LI>
+</UL>
+</xtag-group>
+<xtag-group><xtag-group-name name="IOB">IOB</xtag-group-name>
+<UL>
+<LI><xtag-item1>I=1</xtag-item1></LI>
+<LI><xtag-item1>O=1</xtag-item1></LI>
+<LI><xtag-item1>PAD=2</xtag-item1></LI>
+</UL>
+</TD>
+<TD>
+</xtag-group>
+<xtag-group><xtag-group-name name="IOB_IMUX">IOB_IMUX</xtag-group-name>
+<UL>
+<LI><xtag-item1>I=1</xtag-item1></LI>
+<LI><xtag-item1>OUT=1</xtag-item1></LI>
+</UL>
+</xtag-group>
+<xtag-group><xtag-group-name name="IOB_INBUF">IOB_INBUF</xtag-group-name>
+<UL>
+<LI><xtag-item1>OUT=1</xtag-item1></LI>
+<LI><xtag-item1>PAD=1</xtag-item1></LI>
+</UL>
+</xtag-group>
+<xtag-group><xtag-group-name name="IOB_OUTBUF">IOB_OUTBUF</xtag-group-name>
+<UL>
+<LI><xtag-item1>IN=1</xtag-item1></LI>
+<LI><xtag-item1>OUT=1</xtag-item1></LI>
+</UL>
+</xtag-group>
+<xtag-group><xtag-group-name name="IOB_PAD">IOB_PAD</xtag-group-name>
+<UL>
+<LI><xtag-item1>PAD=1</xtag-item1></LI>
+</UL>
+</xtag-group>
+<xtag-group><xtag-group-name name="LUT5">LUT5</xtag-group-name>
+<UL>
+<LI><xtag-item1>O5=23</xtag-item1></LI>
+</UL>
+</xtag-group>
+<xtag-group><xtag-group-name name="LUT6">LUT6</xtag-group-name>
+<UL>
+<LI><xtag-item1>A1=8</xtag-item1></LI>
+<LI><xtag-item1>A2=33</xtag-item1></LI>
+<LI><xtag-item1>A3=33</xtag-item1></LI>
+<LI><xtag-item1>A4=33</xtag-item1></LI>
+<LI><xtag-item1>A5=56</xtag-item1></LI>
+<LI><xtag-item1>A6=57</xtag-item1></LI>
+<LI><xtag-item1>O6=57</xtag-item1></LI>
+</UL>
+</xtag-group>
+<xtag-group><xtag-group-name name="PAD">PAD</xtag-group-name>
+<UL>
+<LI><xtag-item1>PAD=2</xtag-item1></LI>
+</UL>
+</xtag-group>
+<xtag-group><xtag-group-name name="REG_SR">REG_SR</xtag-group-name>
+<UL>
+<LI><xtag-item1>CK=25</xtag-item1></LI>
+<LI><xtag-item1>D=25</xtag-item1></LI>
+<LI><xtag-item1>Q=25</xtag-item1></LI>
+</UL>
+</xtag-group>
+<xtag-group><xtag-group-name name="SLICEL">SLICEL</xtag-group-name>
+<UL>
+<LI><xtag-item1>A5=6</xtag-item1></LI>
+<LI><xtag-item1>A6=6</xtag-item1></LI>
+<LI><xtag-item1>AMUX=6</xtag-item1></LI>
+<LI><xtag-item1>B5=6</xtag-item1></LI>
+<LI><xtag-item1>B6=6</xtag-item1></LI>
+<LI><xtag-item1>BMUX=6</xtag-item1></LI>
+<LI><xtag-item1>C5=6</xtag-item1></LI>
+<LI><xtag-item1>C6=6</xtag-item1></LI>
+<LI><xtag-item1>CIN=5</xtag-item1></LI>
+<LI><xtag-item1>CMUX=6</xtag-item1></LI>
+<LI><xtag-item1>COUT=5</xtag-item1></LI>
+<LI><xtag-item1>D5=5</xtag-item1></LI>
+<LI><xtag-item1>D6=6</xtag-item1></LI>
+<LI><xtag-item1>DMUX=6</xtag-item1></LI>
+</UL>
+</xtag-group>
+<xtag-group><xtag-group-name name="SLICEL_C1VDD">SLICEL_C1VDD</xtag-group-name>
+<UL>
+<LI><xtag-item1>1=7</xtag-item1></LI>
+</UL>
+</TD>
+<TD>
+</xtag-group>
+<xtag-group><xtag-group-name name="SLICEL_C2VDD">SLICEL_C2VDD</xtag-group-name>
+<UL>
+<LI><xtag-item1>1=7</xtag-item1></LI>
+</UL>
+</xtag-group>
+<xtag-group><xtag-group-name name="SLICEL_CYMUXF">SLICEL_CYMUXF</xtag-group-name>
+<UL>
+<LI><xtag-item1>0=8</xtag-item1></LI>
+<LI><xtag-item1>1=8</xtag-item1></LI>
+<LI><xtag-item1>OUT=8</xtag-item1></LI>
+<LI><xtag-item1>S0=8</xtag-item1></LI>
+</UL>
+</xtag-group>
+<xtag-group><xtag-group-name name="SLICEL_CYMUXG">SLICEL_CYMUXG</xtag-group-name>
+<UL>
+<LI><xtag-item1>0=7</xtag-item1></LI>
+<LI><xtag-item1>1=7</xtag-item1></LI>
+<LI><xtag-item1>OUT=7</xtag-item1></LI>
+<LI><xtag-item1>S0=7</xtag-item1></LI>
+</UL>
+</xtag-group>
+<xtag-group><xtag-group-name name="SLICEL_F">SLICEL_F</xtag-group-name>
+<UL>
+<LI><xtag-item1>A1=12</xtag-item1></LI>
+<LI><xtag-item1>A2=4</xtag-item1></LI>
+<LI><xtag-item1>A3=4</xtag-item1></LI>
+<LI><xtag-item1>A4=4</xtag-item1></LI>
+<LI><xtag-item1>D=12</xtag-item1></LI>
+</UL>
+</xtag-group>
+<xtag-group><xtag-group-name name="SLICEL_FFX">SLICEL_FFX</xtag-group-name>
+<UL>
+<LI><xtag-item1>CK=8</xtag-item1></LI>
+<LI><xtag-item1>D=8</xtag-item1></LI>
+<LI><xtag-item1>Q=8</xtag-item1></LI>
+<LI><xtag-item1>SR=8</xtag-item1></LI>
+</UL>
+</xtag-group>
+<xtag-group><xtag-group-name name="SLICEL_FFY">SLICEL_FFY</xtag-group-name>
+<UL>
+<LI><xtag-item1>CE=1</xtag-item1></LI>
+<LI><xtag-item1>CK=9</xtag-item1></LI>
+<LI><xtag-item1>D=9</xtag-item1></LI>
+<LI><xtag-item1>Q=9</xtag-item1></LI>
+<LI><xtag-item1>SR=8</xtag-item1></LI>
+</UL>
+</xtag-group>
+<xtag-group><xtag-group-name name="SLICEL_G">SLICEL_G</xtag-group-name>
+<UL>
+<LI><xtag-item1>A1=9</xtag-item1></LI>
+<LI><xtag-item1>A2=1</xtag-item1></LI>
+<LI><xtag-item1>A3=1</xtag-item1></LI>
+<LI><xtag-item1>A4=1</xtag-item1></LI>
+<LI><xtag-item1>D=9</xtag-item1></LI>
+</UL>
+</xtag-group>
+<xtag-group><xtag-group-name name="SLICEL_GNDF">SLICEL_GNDF</xtag-group-name>
+<UL>
+<LI><xtag-item1>0=1</xtag-item1></LI>
+</UL>
+</xtag-group>
+<xtag-group><xtag-group-name name="SLICEL_GNDG">SLICEL_GNDG</xtag-group-name>
+<UL>
+<LI><xtag-item1>0=7</xtag-item1></LI>
+</UL>
+</xtag-group>
+<xtag-group><xtag-group-name name="SLICEL_XORF">SLICEL_XORF</xtag-group-name>
+<UL>
+<LI><xtag-item1>0=8</xtag-item1></LI>
+<LI><xtag-item1>1=8</xtag-item1></LI>
+<LI><xtag-item1>O=8</xtag-item1></LI>
+</UL>
+</xtag-group>
+<xtag-group><xtag-group-name name="SLICEL_XORG">SLICEL_XORG</xtag-group-name>
+<UL>
+<LI><xtag-item1>0=8</xtag-item1></LI>
+<LI><xtag-item1>1=8</xtag-item1></LI>
+<LI><xtag-item1>O=8</xtag-item1></LI>
+</UL>
+</TD>
+<TD>
+</xtag-group>
+<xtag-group><xtag-group-name name="SLICEX">SLICEX</xtag-group-name>
+<UL>
+<LI><xtag-item1>A=1</xtag-item1></LI>
+<LI><xtag-item1>A1=1</xtag-item1></LI>
+<LI><xtag-item1>A2=9</xtag-item1></LI>
+<LI><xtag-item1>A3=9</xtag-item1></LI>
+<LI><xtag-item1>A4=9</xtag-item1></LI>
+<LI><xtag-item1>A5=9</xtag-item1></LI>
+<LI><xtag-item1>A6=9</xtag-item1></LI>
+<LI><xtag-item1>AQ=8</xtag-item1></LI>
+<LI><xtag-item1>B=3</xtag-item1></LI>
+<LI><xtag-item1>B1=3</xtag-item1></LI>
+<LI><xtag-item1>B2=8</xtag-item1></LI>
+<LI><xtag-item1>B3=8</xtag-item1></LI>
+<LI><xtag-item1>B4=8</xtag-item1></LI>
+<LI><xtag-item1>B5=8</xtag-item1></LI>
+<LI><xtag-item1>B6=8</xtag-item1></LI>
+<LI><xtag-item1>BQ=5</xtag-item1></LI>
+<LI><xtag-item1>C=2</xtag-item1></LI>
+<LI><xtag-item1>C1=2</xtag-item1></LI>
+<LI><xtag-item1>C2=8</xtag-item1></LI>
+<LI><xtag-item1>C3=8</xtag-item1></LI>
+<LI><xtag-item1>C4=8</xtag-item1></LI>
+<LI><xtag-item1>C5=8</xtag-item1></LI>
+<LI><xtag-item1>C6=8</xtag-item1></LI>
+<LI><xtag-item1>CLK=8</xtag-item1></LI>
+<LI><xtag-item1>CQ=6</xtag-item1></LI>
+<LI><xtag-item1>D=2</xtag-item1></LI>
+<LI><xtag-item1>D1=2</xtag-item1></LI>
+<LI><xtag-item1>D2=8</xtag-item1></LI>
+<LI><xtag-item1>D3=8</xtag-item1></LI>
+<LI><xtag-item1>D4=8</xtag-item1></LI>
+<LI><xtag-item1>D5=8</xtag-item1></LI>
+<LI><xtag-item1>D6=8</xtag-item1></LI>
+<LI><xtag-item1>DQ=6</xtag-item1></LI>
+</UL>
+</xtag-group>
+</TD>
+</xtag-section>
+</TR></TABLE>
+&nbsp;<BR><TABLE BORDER CELLSPACING=0 WIDTH='100%'>
+<TR ALIGN=CENTER BGCOLOR='#99CCFF'><TD><B>Tool Usage</B></TD></TR>
+<TR VALIGN=TOP><TD ALIGN=LEFT>Command Line History<xtag-section name="CommandLineLog"><UL>
+<LI><xtag-cmdline>xst -intstyle ise -ifn &lt;ise_file&gt;</xtag-cmdline></LI>
+<LI><xtag-cmdline>xst -intstyle ise -ifn &lt;ise_file&gt;</xtag-cmdline></LI>
+<LI><xtag-cmdline>ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc &lt;fname&gt;.ucf -p xc3s250e-vq100-4 &lt;fname&gt;.ngc &lt;fname&gt;.ngd</xtag-cmdline></LI>
+<LI><xtag-cmdline>xst -intstyle ise -ifn &lt;ise_file&gt;</xtag-cmdline></LI>
+<LI><xtag-cmdline>xst -intstyle ise -ifn &lt;ise_file&gt;</xtag-cmdline></LI>
+<LI><xtag-cmdline>ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc &lt;fname&gt;.ucf -p xc3s250e-vq100-4 &lt;fname&gt;.ngc &lt;fname&gt;.ngd</xtag-cmdline></LI>
+<LI><xtag-cmdline>xst -intstyle ise -ifn &lt;ise_file&gt;</xtag-cmdline></LI>
+<LI><xtag-cmdline>ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc &lt;fname&gt;.ucf -p xc3s250e-vq100-4 &lt;fname&gt;.ngc &lt;fname&gt;.ngd</xtag-cmdline></LI>
+<LI><xtag-cmdline>map -intstyle ise -p xc3s250e-vq100-4 -cm area -ir off -pr off -c 100 -o &lt;fname&gt;.ncd &lt;fname&gt;.ngd &lt;fname&gt;.pcf</xtag-cmdline></LI>
+<LI><xtag-cmdline>ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc &lt;fname&gt;.ucf -p xc3s250e-vq100-4 &lt;fname&gt;.ngc &lt;fname&gt;.ngd</xtag-cmdline></LI>
+<LI><xtag-cmdline>ngdbuild -intstyle ise -dd _ngo -aul -nt timestamp -uc &lt;fname&gt;.ucf -p xc3s250e-vq100-4 &lt;fname&gt;.ngc &lt;fname&gt;.ngd</xtag-cmdline></LI>
+<LI><xtag-cmdline>xst -intstyle ise -ifn &lt;ise_file&gt;</xtag-cmdline></LI>
+<LI><xtag-cmdline>ngdbuild -intstyle ise -dd _ngo -aul -nt timestamp -uc &lt;fname&gt;.ucf -p xc3s250e-vq100-4 &lt;fname&gt;.ngc &lt;fname&gt;.ngd</xtag-cmdline></LI>
+<LI><xtag-cmdline>ngdbuild -intstyle ise -dd _ngo -aul -nt timestamp -uc &lt;fname&gt;.ucf -p xc3s250e-vq100-4 &lt;fname&gt;.ngc &lt;fname&gt;.ngd</xtag-cmdline></LI>
+<LI><xtag-cmdline>map -intstyle ise -p xc3s250e-vq100-4 -cm area -ir off -pr off -c 100 -o &lt;fname&gt;.ncd &lt;fname&gt;.ngd &lt;fname&gt;.pcf</xtag-cmdline></LI>
+<LI><xtag-cmdline>xst -intstyle ise -ifn &lt;ise_file&gt;</xtag-cmdline></LI>
+<LI><xtag-cmdline>ngdbuild -intstyle ise -dd _ngo -aul -nt timestamp -uc &lt;fname&gt;.ucf -p xc3s250e-vq100-4 &lt;fname&gt;.ngc &lt;fname&gt;.ngd</xtag-cmdline></LI>
+<LI><xtag-cmdline>map -intstyle ise -p xc3s250e-vq100-4 -cm area -ir off -pr off -c 100 -o &lt;fname&gt;.ncd &lt;fname&gt;.ngd &lt;fname&gt;.pcf</xtag-cmdline></LI>
+<LI><xtag-cmdline>xst -intstyle ise -ifn &lt;ise_file&gt;</xtag-cmdline></LI>
+<LI><xtag-cmdline>ngdbuild -intstyle ise -dd _ngo -aul -nt timestamp -uc &lt;fname&gt;.ucf -p xc3s250e-vq100-4 &lt;fname&gt;.ngc &lt;fname&gt;.ngd</xtag-cmdline></LI>
+<LI><xtag-cmdline>map -intstyle ise -p xc3s250e-vq100-4 -cm area -ir off -pr off -c 100 -o &lt;fname&gt;.ncd &lt;fname&gt;.ngd &lt;fname&gt;.pcf</xtag-cmdline></LI>
+<LI><xtag-cmdline>xst -intstyle ise -ifn &lt;ise_file&gt;</xtag-cmdline></LI>
+<LI><xtag-cmdline>ngdbuild -intstyle ise -dd _ngo -aul -nt timestamp -uc &lt;fname&gt;.ucf -p xc3s250e-vq100-4 &lt;fname&gt;.ngc &lt;fname&gt;.ngd</xtag-cmdline></LI>
+<LI><xtag-cmdline>map -intstyle ise -p xc3s250e-vq100-4 -cm area -ir off -pr off -c 100 -o &lt;fname&gt;.ncd &lt;fname&gt;.ngd &lt;fname&gt;.pcf</xtag-cmdline></LI>
+<LI><xtag-cmdline>par -w -intstyle ise -ol high -t 1 &lt;fname&gt;.ncd &lt;fname&gt;.ncd &lt;fname&gt;.pcf</xtag-cmdline></LI>
+<LI><xtag-cmdline>ngdbuild -intstyle ise -dd _ngo -aul -nt timestamp -uc &lt;fname&gt;.ucf -p xc3s250e-vq100-4 &lt;fname&gt;.ngc &lt;fname&gt;.ngd</xtag-cmdline></LI>
+<LI><xtag-cmdline>map -intstyle ise -p xc3s250e-vq100-4 -cm area -ir off -pr off -c 100 -o &lt;fname&gt;.ncd &lt;fname&gt;.ngd &lt;fname&gt;.pcf</xtag-cmdline></LI>
+<LI><xtag-cmdline>par -w -intstyle ise -ol high -t 1 &lt;fname&gt;.ncd &lt;fname&gt;.ncd &lt;fname&gt;.pcf</xtag-cmdline></LI>
+<LI><xtag-cmdline>trce -intstyle ise -v 3 -s 4 -n 3 -fastpaths -xml &lt;fname&gt;.twx &lt;fname&gt;.ncd -o &lt;fname&gt;.twr &lt;fname&gt;.pcf</xtag-cmdline></LI>
+<LI><xtag-cmdline>bitgen -intstyle ise -f &lt;fname&gt;.ut &lt;fname&gt;.ncd</xtag-cmdline></LI>
+<LI><xtag-cmdline>xst -intstyle ise -ifn &lt;ise_file&gt;</xtag-cmdline></LI>
+<LI><xtag-cmdline>ngdbuild -intstyle ise -dd _ngo -aul -nt timestamp -uc &lt;fname&gt;.ucf -p xc3s250e-vq100-4 &lt;fname&gt;.ngc &lt;fname&gt;.ngd</xtag-cmdline></LI>
+<LI><xtag-cmdline>map -intstyle ise -p xc3s250e-vq100-4 -cm area -ir off -pr off -c 100 -o &lt;fname&gt;.ncd &lt;fname&gt;.ngd &lt;fname&gt;.pcf</xtag-cmdline></LI>
+<LI><xtag-cmdline>par -w -intstyle ise -ol high -t 1 &lt;fname&gt;.ncd &lt;fname&gt;.ncd &lt;fname&gt;.pcf</xtag-cmdline></LI>
+<LI><xtag-cmdline>trce -intstyle ise -v 3 -s 4 -n 3 -fastpaths -xml &lt;fname&gt;.twx &lt;fname&gt;.ncd -o &lt;fname&gt;.twr &lt;fname&gt;.pcf</xtag-cmdline></LI>
+<LI><xtag-cmdline>bitgen -intstyle ise -f &lt;fname&gt;.ut &lt;fname&gt;.ncd</xtag-cmdline></LI>
+<LI><xtag-cmdline>xst -intstyle ise -ifn &lt;ise_file&gt;</xtag-cmdline></LI>
+<LI><xtag-cmdline>ngdbuild -intstyle ise -dd _ngo -aul -nt timestamp -uc &lt;fname&gt;.ucf -p xc3s250e-vq100-4 &lt;fname&gt;.ngc &lt;fname&gt;.ngd</xtag-cmdline></LI>
+<LI><xtag-cmdline>map -intstyle ise -p xc3s250e-vq100-4 -cm area -ir off -pr off -c 100 -o &lt;fname&gt;.ncd &lt;fname&gt;.ngd &lt;fname&gt;.pcf</xtag-cmdline></LI>
+<LI><xtag-cmdline>par -w -intstyle ise -ol high -t 1 &lt;fname&gt;.ncd &lt;fname&gt;.ncd &lt;fname&gt;.pcf</xtag-cmdline></LI>
+<LI><xtag-cmdline>trce -intstyle ise -v 3 -s 4 -n 3 -fastpaths -xml &lt;fname&gt;.twx &lt;fname&gt;.ncd -o &lt;fname&gt;.twr &lt;fname&gt;.pcf</xtag-cmdline></LI>
+<LI><xtag-cmdline>bitgen -intstyle ise -f &lt;fname&gt;.ut &lt;fname&gt;.ncd</xtag-cmdline></LI>
+<LI><xtag-cmdline>xst -intstyle ise -ifn &lt;ise_file&gt;</xtag-cmdline></LI>
+<LI><xtag-cmdline>ngdbuild -intstyle ise -dd _ngo -aul -nt timestamp -uc &lt;fname&gt;.ucf -p xc3s250e-vq100-4 &lt;fname&gt;.ngc &lt;fname&gt;.ngd</xtag-cmdline></LI>
+<LI><xtag-cmdline>map -intstyle ise -p xc3s250e-vq100-4 -cm area -ir off -pr off -c 100 -o &lt;fname&gt;.ncd &lt;fname&gt;.ngd &lt;fname&gt;.pcf</xtag-cmdline></LI>
+<LI><xtag-cmdline>par -w -intstyle ise -ol high -t 1 &lt;fname&gt;.ncd &lt;fname&gt;.ncd &lt;fname&gt;.pcf</xtag-cmdline></LI>
+<LI><xtag-cmdline>trce -intstyle ise -v 3 -s 4 -n 3 -fastpaths -xml &lt;fname&gt;.twx &lt;fname&gt;.ncd -o &lt;fname&gt;.twr &lt;fname&gt;.pcf</xtag-cmdline></LI>
+<LI><xtag-cmdline>bitgen -intstyle ise -f &lt;fname&gt;.ut &lt;fname&gt;.ncd</xtag-cmdline></LI>
+<LI><xtag-cmdline>xst -intstyle ise -ifn &lt;ise_file&gt;</xtag-cmdline></LI>
+<LI><xtag-cmdline>ngdbuild -intstyle ise -dd _ngo -aul -nt timestamp -uc &lt;fname&gt;.ucf -p xc6slx9-tqg144-3 &lt;fname&gt;.ngc &lt;fname&gt;.ngd</xtag-cmdline></LI>
+<LI><xtag-cmdline>map -intstyle ise -p xc6slx9-tqg144-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o &lt;fname&gt;.ncd &lt;fname&gt;.ngd &lt;fname&gt;.pcf</xtag-cmdline></LI>
+<LI><xtag-cmdline>par -w -intstyle ise -ol high -mt off &lt;fname&gt;.ncd &lt;fname&gt;.ncd &lt;fname&gt;.pcf</xtag-cmdline></LI>
+<LI><xtag-cmdline>trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml &lt;fname&gt;.twx &lt;fname&gt;.ncd -o &lt;fname&gt;.twr &lt;fname&gt;.pcf</xtag-cmdline></LI>
+<LI><xtag-cmdline>bitgen -intstyle ise -f &lt;fname&gt;.ut &lt;fname&gt;.ncd</xtag-cmdline></LI>
+<LI><xtag-cmdline>xst -intstyle ise -ifn &lt;ise_file&gt;</xtag-cmdline></LI>
+<LI><xtag-cmdline>ngdbuild -intstyle ise -dd _ngo -aul -nt timestamp -uc &lt;fname&gt;.ucf -p xc6slx9-tqg144-3 &lt;fname&gt;.ngc &lt;fname&gt;.ngd</xtag-cmdline></LI>
+<LI><xtag-cmdline>map -intstyle ise -p xc6slx9-tqg144-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o &lt;fname&gt;.ncd &lt;fname&gt;.ngd &lt;fname&gt;.pcf</xtag-cmdline></LI>
+<LI><xtag-cmdline>par -w -intstyle ise -ol high -mt off &lt;fname&gt;.ncd &lt;fname&gt;.ncd &lt;fname&gt;.pcf</xtag-cmdline></LI>
+<LI><xtag-cmdline>trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml &lt;fname&gt;.twx &lt;fname&gt;.ncd -o &lt;fname&gt;.twr &lt;fname&gt;.pcf</xtag-cmdline></LI>
+<LI><xtag-cmdline>bitgen -intstyle ise -f &lt;fname&gt;.ut &lt;fname&gt;.ncd</xtag-cmdline></LI>
+<LI><xtag-cmdline>xst -intstyle ise -ifn &lt;ise_file&gt;</xtag-cmdline></LI>
+<LI><xtag-cmdline>ngdbuild -intstyle ise -dd _ngo -aul -nt timestamp -uc &lt;fname&gt;.ucf -p xc6slx9-tqg144-2 &lt;fname&gt;.ngc &lt;fname&gt;.ngd</xtag-cmdline></LI>
+<LI><xtag-cmdline>map -intstyle ise -p xc6slx9-tqg144-2 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o &lt;fname&gt;.ncd &lt;fname&gt;.ngd &lt;fname&gt;.pcf</xtag-cmdline></LI>
+<LI><xtag-cmdline>par -w -intstyle ise -ol high -mt off &lt;fname&gt;.ncd &lt;fname&gt;.ncd &lt;fname&gt;.pcf</xtag-cmdline></LI>
+<LI><xtag-cmdline>trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml &lt;fname&gt;.twx &lt;fname&gt;.ncd -o &lt;fname&gt;.twr &lt;fname&gt;.pcf</xtag-cmdline></LI>
+<LI><xtag-cmdline>bitgen -intstyle ise -f &lt;fname&gt;.ut &lt;fname&gt;.ncd</xtag-cmdline></LI>
+<LI><xtag-cmdline>xst -intstyle ise -ifn &lt;ise_file&gt;</xtag-cmdline></LI>
+<LI><xtag-cmdline>ngdbuild -intstyle ise -dd _ngo -aul -nt timestamp -uc &lt;fname&gt;.ucf -p xc6slx9-tqg144-2 &lt;fname&gt;.ngc &lt;fname&gt;.ngd</xtag-cmdline></LI>
+<LI><xtag-cmdline>map -intstyle ise -p xc6slx9-tqg144-2 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o &lt;fname&gt;.ncd &lt;fname&gt;.ngd &lt;fname&gt;.pcf</xtag-cmdline></LI>
+<LI><xtag-cmdline>par -w -intstyle ise -ol high -mt off &lt;fname&gt;.ncd &lt;fname&gt;.ncd &lt;fname&gt;.pcf</xtag-cmdline></LI>
+<LI><xtag-cmdline>trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml &lt;fname&gt;.twx &lt;fname&gt;.ncd -o &lt;fname&gt;.twr &lt;fname&gt;.pcf</xtag-cmdline></LI>
+<LI><xtag-cmdline>bitgen -intstyle ise -f &lt;fname&gt;.ut &lt;fname&gt;.ncd</xtag-cmdline></LI>
+<LI><xtag-cmdline>ngdbuild -intstyle ise -dd _ngo -aul -nt timestamp -uc &lt;fname&gt;.ucf -p xc6slx9-tqg144-2 &lt;fname&gt;.ngc &lt;fname&gt;.ngd</xtag-cmdline></LI>
+<LI><xtag-cmdline>map -intstyle ise -p xc6slx9-tqg144-2 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o &lt;fname&gt;.ncd &lt;fname&gt;.ngd &lt;fname&gt;.pcf</xtag-cmdline></LI>
+<LI><xtag-cmdline>par -w -intstyle ise -ol high -mt off &lt;fname&gt;.ncd &lt;fname&gt;.ncd &lt;fname&gt;.pcf</xtag-cmdline></LI>
+<LI><xtag-cmdline>trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml &lt;fname&gt;.twx &lt;fname&gt;.ncd -o &lt;fname&gt;.twr &lt;fname&gt;.pcf</xtag-cmdline></LI>
+<LI><xtag-cmdline>bitgen -intstyle ise -f &lt;fname&gt;.ut &lt;fname&gt;.ncd</xtag-cmdline></LI>
+<LI><xtag-cmdline>xst -intstyle ise -ifn &lt;ise_file&gt;</xtag-cmdline></LI>
+<LI><xtag-cmdline>ngdbuild -intstyle ise -dd _ngo -aul -nt timestamp -uc &lt;fname&gt;.ucf -p xc6slx9-tqg144-2 &lt;fname&gt;.ngc &lt;fname&gt;.ngd</xtag-cmdline></LI>
+<LI><xtag-cmdline>map -intstyle ise -p xc6slx9-tqg144-2 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o &lt;fname&gt;.ncd &lt;fname&gt;.ngd &lt;fname&gt;.pcf</xtag-cmdline></LI>
+<LI><xtag-cmdline>par -w -intstyle ise -ol high -mt off &lt;fname&gt;.ncd &lt;fname&gt;.ncd &lt;fname&gt;.pcf</xtag-cmdline></LI>
+<LI><xtag-cmdline>trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml &lt;fname&gt;.twx &lt;fname&gt;.ncd -o &lt;fname&gt;.twr &lt;fname&gt;.pcf</xtag-cmdline></LI>
+<LI><xtag-cmdline>bitgen -intstyle ise -f &lt;fname&gt;.ut &lt;fname&gt;.ncd</xtag-cmdline></LI>
+<LI><xtag-cmdline>ngdbuild -intstyle ise -dd _ngo -aul -nt timestamp -uc &lt;fname&gt;.ucf -p xc6slx9-tqg144-2 &lt;fname&gt;.ngc &lt;fname&gt;.ngd</xtag-cmdline></LI>
+<LI><xtag-cmdline>map -intstyle ise -p xc6slx9-tqg144-2 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o &lt;fname&gt;.ncd &lt;fname&gt;.ngd &lt;fname&gt;.pcf</xtag-cmdline></LI>
+<LI><xtag-cmdline>par -w -intstyle ise -ol high -mt off &lt;fname&gt;.ncd &lt;fname&gt;.ncd &lt;fname&gt;.pcf</xtag-cmdline></LI>
+<LI><xtag-cmdline>trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml &lt;fname&gt;.twx &lt;fname&gt;.ncd -o &lt;fname&gt;.twr &lt;fname&gt;.pcf</xtag-cmdline></LI>
+<LI><xtag-cmdline>bitgen -intstyle ise -f &lt;fname&gt;.ut &lt;fname&gt;.ncd</xtag-cmdline></LI>
+<LI><xtag-cmdline>xst -intstyle ise -ifn &lt;ise_file&gt;</xtag-cmdline></LI>
+<LI><xtag-cmdline>ngdbuild -intstyle ise -dd _ngo -aul -nt timestamp -uc &lt;fname&gt;.ucf -p xc6slx9-tqg144-2 &lt;fname&gt;.ngc &lt;fname&gt;.ngd</xtag-cmdline></LI>
+<LI><xtag-cmdline>map -intstyle ise -p xc6slx9-tqg144-2 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o &lt;fname&gt;.ncd &lt;fname&gt;.ngd &lt;fname&gt;.pcf</xtag-cmdline></LI>
+<LI><xtag-cmdline>par -w -intstyle ise -ol high -mt off &lt;fname&gt;.ncd &lt;fname&gt;.ncd &lt;fname&gt;.pcf</xtag-cmdline></LI>
+<LI><xtag-cmdline>trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml &lt;fname&gt;.twx &lt;fname&gt;.ncd -o &lt;fname&gt;.twr &lt;fname&gt;.pcf</xtag-cmdline></LI>
+<LI><xtag-cmdline>bitgen -intstyle ise -f &lt;fname&gt;.ut &lt;fname&gt;.ncd</xtag-cmdline></LI>
+<LI><xtag-cmdline>xst -intstyle ise -ifn &lt;ise_file&gt;</xtag-cmdline></LI>
+<LI><xtag-cmdline>ngdbuild -intstyle ise -dd _ngo -aul -nt timestamp -uc &lt;fname&gt;.ucf -p xc6slx9-tqg144-2 &lt;fname&gt;.ngc &lt;fname&gt;.ngd</xtag-cmdline></LI>
+<LI><xtag-cmdline>map -intstyle ise -p xc6slx9-tqg144-2 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o &lt;fname&gt;.ncd &lt;fname&gt;.ngd &lt;fname&gt;.pcf</xtag-cmdline></LI>
+<LI><xtag-cmdline>par -w -intstyle ise -ol high -mt off &lt;fname&gt;.ncd &lt;fname&gt;.ncd &lt;fname&gt;.pcf</xtag-cmdline></LI>
+<LI><xtag-cmdline>trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml &lt;fname&gt;.twx &lt;fname&gt;.ncd -o &lt;fname&gt;.twr &lt;fname&gt;.pcf</xtag-cmdline></LI>
+<LI><xtag-cmdline>bitgen -intstyle ise -f &lt;fname&gt;.ut &lt;fname&gt;.ncd</xtag-cmdline></LI>
+<LI><xtag-cmdline>xst -intstyle ise -ifn &lt;ise_file&gt;</xtag-cmdline></LI>
+<LI><xtag-cmdline>ngdbuild -intstyle ise -dd _ngo -aul -nt timestamp -uc &lt;fname&gt;.ucf -p xc6slx9-tqg144-2 &lt;fname&gt;.ngc &lt;fname&gt;.ngd</xtag-cmdline></LI>
+<LI><xtag-cmdline>map -intstyle ise -p xc6slx9-tqg144-2 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o &lt;fname&gt;.ncd &lt;fname&gt;.ngd &lt;fname&gt;.pcf</xtag-cmdline></LI>
+<LI><xtag-cmdline>par -w -intstyle ise -ol high -mt off &lt;fname&gt;.ncd &lt;fname&gt;.ncd &lt;fname&gt;.pcf</xtag-cmdline></LI>
+<LI><xtag-cmdline>trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml &lt;fname&gt;.twx &lt;fname&gt;.ncd -o &lt;fname&gt;.twr &lt;fname&gt;.pcf</xtag-cmdline></LI>
+<LI><xtag-cmdline>bitgen -intstyle ise -f &lt;fname&gt;.ut &lt;fname&gt;.ncd</xtag-cmdline></LI>
+<LI><xtag-cmdline>xst -intstyle ise -ifn &lt;ise_file&gt;</xtag-cmdline></LI>
+<LI><xtag-cmdline>ngdbuild -intstyle ise -dd _ngo -aul -nt timestamp -uc &lt;fname&gt;.ucf -p xc6slx9-tqg144-2 &lt;fname&gt;.ngc &lt;fname&gt;.ngd</xtag-cmdline></LI>
+<LI><xtag-cmdline>map -intstyle ise -p xc6slx9-tqg144-2 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o &lt;fname&gt;.ncd &lt;fname&gt;.ngd &lt;fname&gt;.pcf</xtag-cmdline></LI>
+<LI><xtag-cmdline>par -w -intstyle ise -ol high -mt off &lt;fname&gt;.ncd &lt;fname&gt;.ncd &lt;fname&gt;.pcf</xtag-cmdline></LI>
+<LI><xtag-cmdline>trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml &lt;fname&gt;.twx &lt;fname&gt;.ncd -o &lt;fname&gt;.twr &lt;fname&gt;.pcf</xtag-cmdline></LI>
+<LI><xtag-cmdline>bitgen -intstyle ise -f &lt;fname&gt;.ut &lt;fname&gt;.ncd</xtag-cmdline></LI>
+<LI><xtag-cmdline>xst -intstyle ise -ifn &lt;ise_file&gt;</xtag-cmdline></LI>
+<LI><xtag-cmdline>ngdbuild -intstyle ise -dd _ngo -aul -nt timestamp -uc &lt;fname&gt;.ucf -p xc6slx9-tqg144-2 &lt;fname&gt;.ngc &lt;fname&gt;.ngd</xtag-cmdline></LI>
+<LI><xtag-cmdline>map -intstyle ise -p xc6slx9-tqg144-2 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o &lt;fname&gt;.ncd &lt;fname&gt;.ngd &lt;fname&gt;.pcf</xtag-cmdline></LI>
+<LI><xtag-cmdline>par -w -intstyle ise -ol high -mt off &lt;fname&gt;.ncd &lt;fname&gt;.ncd &lt;fname&gt;.pcf</xtag-cmdline></LI>
+<LI><xtag-cmdline>trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml &lt;fname&gt;.twx &lt;fname&gt;.ncd -o &lt;fname&gt;.twr &lt;fname&gt;.pcf</xtag-cmdline></LI>
+<LI><xtag-cmdline>bitgen -intstyle ise -f &lt;fname&gt;.ut &lt;fname&gt;.ncd</xtag-cmdline></LI>
+<LI><xtag-cmdline>xst -intstyle ise -ifn &lt;ise_file&gt;</xtag-cmdline></LI>
+<LI><xtag-cmdline>ngdbuild -intstyle ise -dd _ngo -aul -nt timestamp -uc &lt;fname&gt;.ucf -p xc6slx9-tqg144-2 &lt;fname&gt;.ngc &lt;fname&gt;.ngd</xtag-cmdline></LI>
+<LI><xtag-cmdline>map -intstyle ise -p xc6slx9-tqg144-2 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o &lt;fname&gt;.ncd &lt;fname&gt;.ngd &lt;fname&gt;.pcf</xtag-cmdline></LI>
+<LI><xtag-cmdline>par -w -intstyle ise -ol high -mt off &lt;fname&gt;.ncd &lt;fname&gt;.ncd &lt;fname&gt;.pcf</xtag-cmdline></LI>
+<LI><xtag-cmdline>trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml &lt;fname&gt;.twx &lt;fname&gt;.ncd -o &lt;fname&gt;.twr &lt;fname&gt;.pcf</xtag-cmdline></LI>
+<LI><xtag-cmdline>bitgen -intstyle ise -f &lt;fname&gt;.ut &lt;fname&gt;.ncd</xtag-cmdline></LI>
+<LI><xtag-cmdline>ngdbuild -intstyle ise -dd _ngo -aul -nt timestamp -uc &lt;fname&gt;.ucf -p xc6slx9-tqg144-2 &lt;fname&gt;.ngc &lt;fname&gt;.ngd</xtag-cmdline></LI>
+<LI><xtag-cmdline>ngdbuild -intstyle ise -dd _ngo -aul -nt timestamp -uc &lt;fname&gt;.ucf -p xc6slx9-tqg144-2 &lt;fname&gt;.ngc &lt;fname&gt;.ngd</xtag-cmdline></LI>
+<LI><xtag-cmdline>map -intstyle ise -p xc6slx9-tqg144-2 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o &lt;fname&gt;.ncd &lt;fname&gt;.ngd &lt;fname&gt;.pcf</xtag-cmdline></LI>
+<LI><xtag-cmdline>map -intstyle ise -p xc6slx9-tqg144-2 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o &lt;fname&gt;.ncd &lt;fname&gt;.ngd &lt;fname&gt;.pcf</xtag-cmdline></LI>
+<LI><xtag-cmdline>par -w -intstyle ise -ol high -mt off &lt;fname&gt;.ncd &lt;fname&gt;.ncd &lt;fname&gt;.pcf</xtag-cmdline></LI>
+<LI><xtag-cmdline>trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml &lt;fname&gt;.twx &lt;fname&gt;.ncd -o &lt;fname&gt;.twr &lt;fname&gt;.pcf -ucf &lt;fname&gt;.ucf</xtag-cmdline></LI>
+<LI><xtag-cmdline>bitgen -intstyle ise -f &lt;fname&gt;.ut &lt;fname&gt;.ncd</xtag-cmdline></LI>
+</xtag-section></UL></TD></TR>
+</TABLE>
+&nbsp;<BR><TABLE BORDER CELLSPACING=0 WIDTH='100%'><xtag-section name="RunStatistics"><TR ALIGN=CENTER BGCOLOR='#99CCFF'><TD COLSPAN=8><B>Software Quality</B></TD></TR><TR ALIGN=LEFT><TD COLSPAN=8><B>Run Statistics</B></TD></TR><TR ALIGN=CENTER BGCOLOR='#FFFF99'><TD><B>Program Name</B></TD><TD><B>Runs Started</B></TD><TD><B>Runs Finished</B></TD><TD><B>Errors</B></TD><TD><B>Fatal Errors</B></TD><TD><B>Internal Errors</B></TD><TD><B>Exceptions</B></TD><TD><B>Core Dumps</B></TD></TR>
+
+<tr>
+ <td><xtag-program-name>bitgen</xtag-program-name></td>
+ <td><xtag-total-run-started>18</xtag-total-run-started></td>
+ <td><xtag-total-run-finished>18</xtag-total-run-finished></td>
+ <td><xtag-total-error>0</xtag-total-error></td>
+ <td><xtag-total-fatal-error>0</xtag-total-fatal-error></td>
+ <td><xtag-total-internal-error>0</xtag-total-internal-error></td>
+ <td><xtag-total-exception>0</xtag-total-exception></td>
+ <td><xtag-total-core-dump>0</xtag-total-core-dump></td>
+</tr>
+<tr>
+ <td><xtag-program-name>map</xtag-program-name></td>
+ <td><xtag-total-run-started>23</xtag-total-run-started></td>
+ <td><xtag-total-run-finished>19</xtag-total-run-finished></td>
+ <td><xtag-total-error>0</xtag-total-error></td>
+ <td><xtag-total-fatal-error>0</xtag-total-fatal-error></td>
+ <td><xtag-total-internal-error>0</xtag-total-internal-error></td>
+ <td><xtag-total-exception>0</xtag-total-exception></td>
+ <td><xtag-total-core-dump>0</xtag-total-core-dump></td>
+</tr>
+<tr>
+ <td><xtag-program-name>ngc2edif</xtag-program-name></td>
+ <td><xtag-total-run-started>3</xtag-total-run-started></td>
+ <td><xtag-total-run-finished>3</xtag-total-run-finished></td>
+ <td><xtag-total-error>0</xtag-total-error></td>
+ <td><xtag-total-fatal-error>0</xtag-total-fatal-error></td>
+ <td><xtag-total-internal-error>0</xtag-total-internal-error></td>
+ <td><xtag-total-exception>0</xtag-total-exception></td>
+ <td><xtag-total-core-dump>0</xtag-total-core-dump></td>
+</tr>
+<tr>
+ <td><xtag-program-name>ngdbuild</xtag-program-name></td>
+ <td><xtag-total-run-started>29</xtag-total-run-started></td>
+ <td><xtag-total-run-finished>29</xtag-total-run-finished></td>
+ <td><xtag-total-error>0</xtag-total-error></td>
+ <td><xtag-total-fatal-error>0</xtag-total-fatal-error></td>
+ <td><xtag-total-internal-error>0</xtag-total-internal-error></td>
+ <td><xtag-total-exception>0</xtag-total-exception></td>
+ <td><xtag-total-core-dump>0</xtag-total-core-dump></td>
+</tr>
+<tr>
+ <td><xtag-program-name>par</xtag-program-name></td>
+ <td><xtag-total-run-started>19</xtag-total-run-started></td>
+ <td><xtag-total-run-finished>18</xtag-total-run-finished></td>
+ <td><xtag-total-error>1</xtag-total-error></td>
+ <td><xtag-total-fatal-error>0</xtag-total-fatal-error></td>
+ <td><xtag-total-internal-error>0</xtag-total-internal-error></td>
+ <td><xtag-total-exception>0</xtag-total-exception></td>
+ <td><xtag-total-core-dump>0</xtag-total-core-dump></td>
+</tr>
+<tr>
+ <td><xtag-program-name>trce</xtag-program-name></td>
+ <td><xtag-total-run-started>18</xtag-total-run-started></td>
+ <td><xtag-total-run-finished>18</xtag-total-run-finished></td>
+ <td><xtag-total-error>0</xtag-total-error></td>
+ <td><xtag-total-fatal-error>0</xtag-total-fatal-error></td>
+ <td><xtag-total-internal-error>0</xtag-total-internal-error></td>
+ <td><xtag-total-exception>0</xtag-total-exception></td>
+ <td><xtag-total-core-dump>0</xtag-total-core-dump></td>
+</tr>
+<tr>
+ <td><xtag-program-name>xst</xtag-program-name></td>
+ <td><xtag-total-run-started>23</xtag-total-run-started></td>
+ <td><xtag-total-run-finished>23</xtag-total-run-finished></td>
+ <td><xtag-total-error>0</xtag-total-error></td>
+ <td><xtag-total-fatal-error>0</xtag-total-fatal-error></td>
+ <td><xtag-total-internal-error>0</xtag-total-internal-error></td>
+ <td><xtag-total-exception>0</xtag-total-exception></td>
+ <td><xtag-total-core-dump>0</xtag-total-core-dump></td>
+</tr>
+</xtag-section></TABLE>
+
+&nbsp;<BR><TABLE BORDER CELLSPACING=0 WIDTH='100%'>
+<xtag-section name="Project Statistics">
+<TR ALIGN=CENTER BGCOLOR='#99CCFF'><TD COLSPAN=2><B>Project Statistics</B></TD></TR>
+<TR>
+<TD><xtag-process-property-name>PROPEXT_xilxSynthMaxFanout_virtex2</xtag-process-property-name>=<xtag-process-property-value>100000</xtag-process-property-value></TD>
+<TD><xtag-design-property-name>PROP_Enable_Message_Filtering</xtag-design-property-name>=<xtag-design-property-value>false</xtag-design-property-value></TD>
+
+</TR><TR><TD><xtag-process-property-name>PROP_FitterReportFormat</xtag-process-property-name>=<xtag-process-property-value>HTML</xtag-process-property-value></TD>
+<TD><xtag-design-property-name>PROP_LastAppliedGoal</xtag-design-property-name>=<xtag-design-property-value>Balanced</xtag-design-property-value></TD>
+
+</TR><TR><TD><xtag-design-property-name>PROP_LastAppliedStrategy</xtag-design-property-name>=<xtag-design-property-value>Xilinx Default (unlocked)</xtag-design-property-value></TD>
+<TD><xtag-design-property-name>PROP_ManualCompileOrderImp</xtag-design-property-name>=<xtag-design-property-value>false</xtag-design-property-value></TD>
+
+</TR><TR><TD><xtag-process-property-name>PROP_ProjectDescription</xtag-process-property-name>=<xtag-process-property-value>This is a project that will make patterns with led lights.</xtag-process-property-value></TD>
+<TD><xtag-design-property-name>PROP_PropSpecInProjFile</xtag-design-property-name>=<xtag-design-property-value>Store all values</xtag-design-property-value></TD>
+
+</TR><TR><TD><xtag-design-property-name>PROP_Simulator</xtag-design-property-name>=<xtag-design-property-value>ISim (VHDL/Verilog)</xtag-design-property-value></TD>
+<TD><xtag-process-property-name>PROP_SynthTopFile</xtag-process-property-name>=<xtag-process-property-value>changed</xtag-process-property-value></TD>
+
+</TR><TR><TD><xtag-design-property-name>PROP_Top_Level_Module_Type</xtag-design-property-name>=<xtag-design-property-value>HDL</xtag-design-property-value></TD>
+<TD><xtag-design-property-name>PROP_UseSmartGuide</xtag-design-property-name>=<xtag-design-property-value>false</xtag-design-property-value></TD>
+
+</TR><TR><TD><xtag-process-property-name>PROP_UserConstraintEditorPreference</xtag-process-property-name>=<xtag-process-property-value>Text Editor</xtag-process-property-value></TD>
+<TD><xtag-design-property-name>PROP_intProjectCreationTimestamp</xtag-design-property-name>=<xtag-design-property-value>2017-02-19T23:09:37</xtag-design-property-value></TD>
+
+</TR><TR><TD><xtag-design-property-name>PROP_intWbtProjectID</xtag-design-property-name>=<xtag-design-property-value>8C4A34387ED46BFEECE9D369B6F8AAAE</xtag-design-property-value></TD>
+<TD><xtag-process-property-name>PROP_intWbtProjectIteration</xtag-process-property-name>=<xtag-process-property-value>19</xtag-process-property-value></TD>
+
+</TR><TR><TD><xtag-design-property-name>PROP_intWorkingDirLocWRTProjDir</xtag-design-property-name>=<xtag-design-property-value>Same</xtag-design-property-value></TD>
+<TD><xtag-design-property-name>PROP_intWorkingDirUsed</xtag-design-property-name>=<xtag-design-property-value>No</xtag-design-property-value></TD>
+
+</TR><TR><TD><xtag-process-property-name>PROP_xilxBitgStart_IntDone</xtag-process-property-name>=<xtag-process-property-value>true</xtag-process-property-value></TD>
+<TD><xtag-process-property-name>PROP_xilxNgdbld_AUL</xtag-process-property-name>=<xtag-process-property-value>true</xtag-process-property-value></TD>
+
+</TR><TR><TD><xtag-design-property-name>PROP_AutoTop</xtag-design-property-name>=<xtag-design-property-value>true</xtag-design-property-value></TD>
+<TD><xtag-design-property-name>PROP_DevFamily</xtag-design-property-name>=<xtag-design-property-value>Spartan6</xtag-design-property-value></TD>
+
+</TR><TR><TD><xtag-design-property-name>PROP_DevDevice</xtag-design-property-name>=<xtag-design-property-value>xc6slx9</xtag-design-property-value></TD>
+<TD><xtag-design-property-name>PROP_DevFamilyPMName</xtag-design-property-name>=<xtag-design-property-value>spartan6</xtag-design-property-value></TD>
+
+</TR><TR><TD><xtag-design-property-name>PROP_DevPackage</xtag-design-property-name>=<xtag-design-property-value>tqg144</xtag-design-property-value></TD>
+<TD><xtag-design-property-name>PROP_Synthesis_Tool</xtag-design-property-name>=<xtag-design-property-value>XST (VHDL/Verilog)</xtag-design-property-value></TD>
+
+</TR><TR><TD><xtag-design-property-name>PROP_DevSpeed</xtag-design-property-name>=<xtag-design-property-value>-2</xtag-design-property-value></TD>
+<TD><xtag-design-property-name>PROP_PreferredLanguage</xtag-design-property-name>=<xtag-design-property-value>Verilog</xtag-design-property-value></TD>
+
+</TR><TR><TD><xtag-source-property-name>FILE_UCF</xtag-source-property-name>=<xtag-source-property-value>1</xtag-source-property-value></TD>
+<TD><xtag-source-property-name>FILE_VERILOG</xtag-source-property-name>=<xtag-source-property-value>1</xtag-source-property-value></TD>
+</TR></xtag-section></TABLE>
+&nbsp;<BR><TABLE BORDER CELLSPACING=0 WIDTH='100%'>
+<xtag-section name="UnisimStatistics">
+<TR ALIGN=CENTER BGCOLOR='#99CCFF'><TD COLSPAN=4><B>Unisim Statistics</B></TD></TR>
+<TR ALIGN=CENTER><TD COLSPAN=4><B><xtag-unisim-type-name>NGDBUILD_PRE_UNISIM_SUMMARY</xtag-unisim-type-name></B></TD></TR><TR>
+<TD><xtag-preunisim-param-name>NGDBUILD_NUM_BUFGP</xtag-preunisim-param-name>=<xtag-preunisim-param-value>1</xtag-preunisim-param-value></TD>
+<TD><xtag-preunisim-param-name>NGDBUILD_NUM_FD</xtag-preunisim-param-name>=<xtag-preunisim-param-value>25</xtag-preunisim-param-value></TD>
+<TD><xtag-preunisim-param-name>NGDBUILD_NUM_GND</xtag-preunisim-param-name>=<xtag-preunisim-param-value>1</xtag-preunisim-param-value></TD>
+<TD><xtag-preunisim-param-name>NGDBUILD_NUM_INV</xtag-preunisim-param-name>=<xtag-preunisim-param-value>23</xtag-preunisim-param-value></TD>
+</TR>
+<TR>
+<TD><xtag-preunisim-param-name>NGDBUILD_NUM_LUT1</xtag-preunisim-param-name>=<xtag-preunisim-param-value>1</xtag-preunisim-param-value></TD>
+<TD><xtag-preunisim-param-name>NGDBUILD_NUM_LUT5</xtag-preunisim-param-name>=<xtag-preunisim-param-value>25</xtag-preunisim-param-value></TD>
+<TD><xtag-preunisim-param-name>NGDBUILD_NUM_LUT6</xtag-preunisim-param-name>=<xtag-preunisim-param-value>8</xtag-preunisim-param-value></TD>
+<TD><xtag-preunisim-param-name>NGDBUILD_NUM_MUXCY</xtag-preunisim-param-name>=<xtag-preunisim-param-value>23</xtag-preunisim-param-value></TD>
+</TR>
+<TR>
+<TD><xtag-preunisim-param-name>NGDBUILD_NUM_OBUF</xtag-preunisim-param-name>=<xtag-preunisim-param-value>1</xtag-preunisim-param-value></TD>
+<TD><xtag-preunisim-param-name>NGDBUILD_NUM_VCC</xtag-preunisim-param-name>=<xtag-preunisim-param-value>1</xtag-preunisim-param-value></TD>
+<TD><xtag-preunisim-param-name>NGDBUILD_NUM_XORCY</xtag-preunisim-param-name>=<xtag-preunisim-param-value>24</xtag-preunisim-param-value></TD>
+<TR ALIGN=CENTER><TD COLSPAN=4><B><xtag-unisim-type-name>NGDBUILD_POST_UNISIM_SUMMARY</xtag-unisim-type-name></B></TD></TR><TR>
+<TD><xtag-postunisim-param-name>NGDBUILD_NUM_BUFG</xtag-postunisim-param-name>=<xtag-postunisim-param-value>1</xtag-postunisim-param-value></TD>
+<TD><xtag-postunisim-param-name>NGDBUILD_NUM_FD</xtag-postunisim-param-name>=<xtag-postunisim-param-value>25</xtag-postunisim-param-value></TD>
+<TD><xtag-postunisim-param-name>NGDBUILD_NUM_GND</xtag-postunisim-param-name>=<xtag-postunisim-param-value>1</xtag-postunisim-param-value></TD>
+<TD><xtag-postunisim-param-name>NGDBUILD_NUM_IBUFG</xtag-postunisim-param-name>=<xtag-postunisim-param-value>1</xtag-postunisim-param-value></TD>
+</TR>
+<TR>
+<TD><xtag-postunisim-param-name>NGDBUILD_NUM_INV</xtag-postunisim-param-name>=<xtag-postunisim-param-value>23</xtag-postunisim-param-value></TD>
+<TD><xtag-postunisim-param-name>NGDBUILD_NUM_LUT1</xtag-postunisim-param-name>=<xtag-postunisim-param-value>1</xtag-postunisim-param-value></TD>
+<TD><xtag-postunisim-param-name>NGDBUILD_NUM_LUT5</xtag-postunisim-param-name>=<xtag-postunisim-param-value>25</xtag-postunisim-param-value></TD>
+<TD><xtag-postunisim-param-name>NGDBUILD_NUM_LUT6</xtag-postunisim-param-name>=<xtag-postunisim-param-value>8</xtag-postunisim-param-value></TD>
+</TR>
+<TR>
+<TD><xtag-postunisim-param-name>NGDBUILD_NUM_MUXCY</xtag-postunisim-param-name>=<xtag-postunisim-param-value>23</xtag-postunisim-param-value></TD>
+<TD><xtag-postunisim-param-name>NGDBUILD_NUM_OBUF</xtag-postunisim-param-name>=<xtag-postunisim-param-value>1</xtag-postunisim-param-value></TD>
+<TD><xtag-postunisim-param-name>NGDBUILD_NUM_VCC</xtag-postunisim-param-name>=<xtag-postunisim-param-value>1</xtag-postunisim-param-value></TD>
+<TD><xtag-postunisim-param-name>NGDBUILD_NUM_XORCY</xtag-postunisim-param-name>=<xtag-postunisim-param-value>24</xtag-postunisim-param-value></TD>
+</TR>
+</xtag-section></TABLE>
+&nbsp;<BR><TABLE BORDER CELLSPACING=0 WIDTH='100%'>
+<xtag-section name="XstCommandLineOptions">
+<TR ALIGN=CENTER BGCOLOR='#99CCFF'><TD COLSPAN=4><B>XST Command Line Options</B></TD></TR>
+<TR ALIGN=CENTER><TD COLSPAN=4><B><xtag-xstoption-type-name>XST_OPTION_SUMMARY</xtag-xstoption-type-name></B></TD></TR><TR>
+<TD><xtag-xstoptions-param-name>-ifn</xtag-xstoptions-param-name>=<xtag-xstoptions-param-value>&lt;fname&gt;.prj</xtag-xstoptions-param-value></TD>
+<TD><xtag-xstoptions-param-name>-ofn</xtag-xstoptions-param-name>=<xtag-xstoptions-param-value>&lt;design_top&gt;</xtag-xstoptions-param-value></TD>
+<TD><xtag-xstoptions-param-name>-ofmt</xtag-xstoptions-param-name>=<xtag-xstoptions-param-value>NGC</xtag-xstoptions-param-value></TD>
+<TD><xtag-xstoptions-param-name>-p</xtag-xstoptions-param-name>=<xtag-xstoptions-param-value>xc6slx9-2-tqg144</xtag-xstoptions-param-value></TD>
+</TR>
+<TR>
+<TD><xtag-xstoptions-param-name>-top</xtag-xstoptions-param-name>=<xtag-xstoptions-param-value>&lt;design_top&gt;</xtag-xstoptions-param-value></TD>
+<TD><xtag-xstoptions-param-name>-opt_mode</xtag-xstoptions-param-name>=<xtag-xstoptions-param-value>Speed</xtag-xstoptions-param-value></TD>
+<TD><xtag-xstoptions-param-name>-opt_level</xtag-xstoptions-param-name>=<xtag-xstoptions-param-value>1</xtag-xstoptions-param-value></TD>
+<TD><xtag-xstoptions-param-name>-power</xtag-xstoptions-param-name>=<xtag-xstoptions-param-value>NO</xtag-xstoptions-param-value></TD>
+</TR>
+<TR>
+<TD><xtag-xstoptions-param-name>-iuc</xtag-xstoptions-param-name>=<xtag-xstoptions-param-value>NO</xtag-xstoptions-param-value></TD>
+<TD><xtag-xstoptions-param-name>-keep_hierarchy</xtag-xstoptions-param-name>=<xtag-xstoptions-param-value>No</xtag-xstoptions-param-value></TD>
+<TD><xtag-xstoptions-param-name>-netlist_hierarchy</xtag-xstoptions-param-name>=<xtag-xstoptions-param-value>As_Optimized</xtag-xstoptions-param-value></TD>
+<TD><xtag-xstoptions-param-name>-rtlview</xtag-xstoptions-param-name>=<xtag-xstoptions-param-value>Yes</xtag-xstoptions-param-value></TD>
+</TR>
+<TR>
+<TD><xtag-xstoptions-param-name>-glob_opt</xtag-xstoptions-param-name>=<xtag-xstoptions-param-value>AllClockNets</xtag-xstoptions-param-value></TD>
+<TD><xtag-xstoptions-param-name>-read_cores</xtag-xstoptions-param-name>=<xtag-xstoptions-param-value>YES</xtag-xstoptions-param-value></TD>
+<TD><xtag-xstoptions-param-name>-write_timing_constraints</xtag-xstoptions-param-name>=<xtag-xstoptions-param-value>NO</xtag-xstoptions-param-value></TD>
+<TD><xtag-xstoptions-param-name>-cross_clock_analysis</xtag-xstoptions-param-name>=<xtag-xstoptions-param-value>NO</xtag-xstoptions-param-value></TD>
+</TR>
+<TR>
+<TD><xtag-xstoptions-param-name>-bus_delimiter</xtag-xstoptions-param-name>=<xtag-xstoptions-param-value><></xtag-xstoptions-param-value></TD>
+<TD><xtag-xstoptions-param-name>-slice_utilization_ratio</xtag-xstoptions-param-name>=<xtag-xstoptions-param-value>100</xtag-xstoptions-param-value></TD>
+<TD><xtag-xstoptions-param-name>-bram_utilization_ratio</xtag-xstoptions-param-name>=<xtag-xstoptions-param-value>100</xtag-xstoptions-param-value></TD>
+<TD><xtag-xstoptions-param-name>-dsp_utilization_ratio</xtag-xstoptions-param-name>=<xtag-xstoptions-param-value>100</xtag-xstoptions-param-value></TD>
+</TR>
+<TR>
+<TD><xtag-xstoptions-param-name>-reduce_control_sets</xtag-xstoptions-param-name>=<xtag-xstoptions-param-value>Auto</xtag-xstoptions-param-value></TD>
+<TD><xtag-xstoptions-param-name>-fsm_extract</xtag-xstoptions-param-name>=<xtag-xstoptions-param-value>YES</xtag-xstoptions-param-value></TD>
+<TD><xtag-xstoptions-param-name>-fsm_encoding</xtag-xstoptions-param-name>=<xtag-xstoptions-param-value>Auto</xtag-xstoptions-param-value></TD>
+<TD><xtag-xstoptions-param-name>-safe_implementation</xtag-xstoptions-param-name>=<xtag-xstoptions-param-value>No</xtag-xstoptions-param-value></TD>
+</TR>
+<TR>
+<TD><xtag-xstoptions-param-name>-fsm_style</xtag-xstoptions-param-name>=<xtag-xstoptions-param-value>LUT</xtag-xstoptions-param-value></TD>
+<TD><xtag-xstoptions-param-name>-ram_extract</xtag-xstoptions-param-name>=<xtag-xstoptions-param-value>Yes</xtag-xstoptions-param-value></TD>
+<TD><xtag-xstoptions-param-name>-ram_style</xtag-xstoptions-param-name>=<xtag-xstoptions-param-value>Auto</xtag-xstoptions-param-value></TD>
+<TD><xtag-xstoptions-param-name>-rom_extract</xtag-xstoptions-param-name>=<xtag-xstoptions-param-value>Yes</xtag-xstoptions-param-value></TD>
+</TR>
+<TR>
+<TD><xtag-xstoptions-param-name>-shreg_extract</xtag-xstoptions-param-name>=<xtag-xstoptions-param-value>YES</xtag-xstoptions-param-value></TD>
+<TD><xtag-xstoptions-param-name>-rom_style</xtag-xstoptions-param-name>=<xtag-xstoptions-param-value>Auto</xtag-xstoptions-param-value></TD>
+<TD><xtag-xstoptions-param-name>-auto_bram_packing</xtag-xstoptions-param-name>=<xtag-xstoptions-param-value>NO</xtag-xstoptions-param-value></TD>
+<TD><xtag-xstoptions-param-name>-resource_sharing</xtag-xstoptions-param-name>=<xtag-xstoptions-param-value>YES</xtag-xstoptions-param-value></TD>
+</TR>
+<TR>
+<TD><xtag-xstoptions-param-name>-async_to_sync</xtag-xstoptions-param-name>=<xtag-xstoptions-param-value>NO</xtag-xstoptions-param-value></TD>
+<TD><xtag-xstoptions-param-name>-use_dsp48</xtag-xstoptions-param-name>=<xtag-xstoptions-param-value>Auto</xtag-xstoptions-param-value></TD>
+<TD><xtag-xstoptions-param-name>-iobuf</xtag-xstoptions-param-name>=<xtag-xstoptions-param-value>YES</xtag-xstoptions-param-value></TD>
+<TD><xtag-xstoptions-param-name>-max_fanout</xtag-xstoptions-param-name>=<xtag-xstoptions-param-value>100000</xtag-xstoptions-param-value></TD>
+</TR>
+<TR>
+<TD><xtag-xstoptions-param-name>-bufg</xtag-xstoptions-param-name>=<xtag-xstoptions-param-value>16</xtag-xstoptions-param-value></TD>
+<TD><xtag-xstoptions-param-name>-register_duplication</xtag-xstoptions-param-name>=<xtag-xstoptions-param-value>YES</xtag-xstoptions-param-value></TD>
+<TD><xtag-xstoptions-param-name>-register_balancing</xtag-xstoptions-param-name>=<xtag-xstoptions-param-value>No</xtag-xstoptions-param-value></TD>
+<TD><xtag-xstoptions-param-name>-optimize_primitives</xtag-xstoptions-param-name>=<xtag-xstoptions-param-value>NO</xtag-xstoptions-param-value></TD>
+</TR>
+<TR>
+<TD><xtag-xstoptions-param-name>-use_clock_enable</xtag-xstoptions-param-name>=<xtag-xstoptions-param-value>Auto</xtag-xstoptions-param-value></TD>
+<TD><xtag-xstoptions-param-name>-use_sync_set</xtag-xstoptions-param-name>=<xtag-xstoptions-param-value>Auto</xtag-xstoptions-param-value></TD>
+<TD><xtag-xstoptions-param-name>-use_sync_reset</xtag-xstoptions-param-name>=<xtag-xstoptions-param-value>Auto</xtag-xstoptions-param-value></TD>
+<TD><xtag-xstoptions-param-name>-iob</xtag-xstoptions-param-name>=<xtag-xstoptions-param-value>Auto</xtag-xstoptions-param-value></TD>
+</TR>
+<TR>
+<TD><xtag-xstoptions-param-name>-equivalent_register_removal</xtag-xstoptions-param-name>=<xtag-xstoptions-param-value>YES</xtag-xstoptions-param-value></TD>
+<TD><xtag-xstoptions-param-name>-slice_utilization_ratio_maxmargin</xtag-xstoptions-param-name>=<xtag-xstoptions-param-value>5</xtag-xstoptions-param-value></TD>
+</xtag-section></TABLE>
+&nbsp;<BR></BODY></HTML>
diff --git a/webtalk.log b/webtalk.log
new file mode 100644
index 0000000..ca7935a
--- /dev/null
+++ b/webtalk.log
@@ -0,0 +1,16 @@
+Release 14.7 - WebTalk (P.20131013)
+Copyright (c) 1995-2010 Xilinx, Inc. All rights reserved.
+
+Project Information
+--------------------
+ProjectID=8C4A34387ED46BFEECE9D369B6F8AAAE
+ProjectIteration=19
+
+WebTalk Summary
+----------------
+INFO:WebTalk:1 - WebTalk is enabled because you are using a WebPACK license.
+
+INFO:WebTalk:8 - WebTalk Install setting is ON.
+INFO:WebTalk:6 - WebTalk User setting is ON.
+
+INFO:WebTalk:5 - /home/yannherklotz/Github/FPGA-led-lights/usage_statistics_webtalk.html WebTalk report has not been sent to Xilinx. Please check your network and proxy settings. For additional details about this file, please refer to the WebTalk help file at /opt/Xilinx/14.7/ISE_DS/ISE/data/reports/webtalk_introduction.html
diff --git a/webtalk_pn.xml b/webtalk_pn.xml
index e745db1..b5ece96 100644
--- a/webtalk_pn.xml
+++ b/webtalk_pn.xml
@@ -3,14 +3,15 @@
<!--The data in this file is primarily intended for consumption by Xilinx tools.
The structure and the elements are likely to change over the next few releases.
This means code written to parse this file will need to be revisited each subsequent release.-->
-<application name="pn" timeStamp="Sun Feb 19 23:15:29 2017">
+<application name="pn" timeStamp="Tue Feb 21 22:16:54 2017">
<section name="Project Information" visible="false">
<property name="ProjectID" value="8C4A34387ED46BFEECE9D369B6F8AAAE" type="project"/>
-<property name="ProjectIteration" value="0" type="project"/>
+<property name="ProjectIteration" value="19" type="project"/>
<property name="ProjectFile" value="/home/yannherklotz/Github/FPGA-led-lights/FPGA-led-lights.xise" type="project"/>
<property name="ProjectCreationTimestamp" value="2017-02-19T23:09:37" type="project"/>
</section>
<section name="Project Statistics" visible="true">
+<property name="PROPEXT_xilxSynthMaxFanout_virtex2" value="100000" type="process"/>
<property name="PROP_Enable_Message_Filtering" value="false" type="design"/>
<property name="PROP_FitterReportFormat" value="HTML" type="process"/>
<property name="PROP_LastAppliedGoal" value="Balanced" type="design"/>
@@ -25,15 +26,18 @@ This means code written to parse this file will need to be revisited each subseq
<property name="PROP_UserConstraintEditorPreference" value="Text Editor" type="process"/>
<property name="PROP_intProjectCreationTimestamp" value="2017-02-19T23:09:37" type="design"/>
<property name="PROP_intWbtProjectID" value="8C4A34387ED46BFEECE9D369B6F8AAAE" type="design"/>
+<property name="PROP_intWbtProjectIteration" value="19" type="process"/>
<property name="PROP_intWorkingDirLocWRTProjDir" value="Same" type="design"/>
<property name="PROP_intWorkingDirUsed" value="No" type="design"/>
+<property name="PROP_xilxBitgStart_IntDone" value="true" type="process"/>
+<property name="PROP_xilxNgdbld_AUL" value="true" type="process"/>
<property name="PROP_AutoTop" value="true" type="design"/>
-<property name="PROP_DevFamily" value="Spartan3E" type="design"/>
-<property name="PROP_DevDevice" value="xc3s250e" type="design"/>
-<property name="PROP_DevFamilyPMName" value="spartan3e" type="design"/>
-<property name="PROP_DevPackage" value="vq100" type="design"/>
+<property name="PROP_DevFamily" value="Spartan6" type="design"/>
+<property name="PROP_DevDevice" value="xc6slx9" type="design"/>
+<property name="PROP_DevFamilyPMName" value="spartan6" type="design"/>
+<property name="PROP_DevPackage" value="tqg144" type="design"/>
<property name="PROP_Synthesis_Tool" value="XST (VHDL/Verilog)" type="design"/>
-<property name="PROP_DevSpeed" value="-4" type="design"/>
+<property name="PROP_DevSpeed" value="-2" type="design"/>
<property name="PROP_PreferredLanguage" value="Verilog" type="design"/>
<property name="FILE_UCF" value="1" type="source"/>
<property name="FILE_VERILOG" value="1" type="source"/>
diff --git a/xlnx_auto_0_xdb/cst.xbcd b/xlnx_auto_0_xdb/cst.xbcd
new file mode 100644
index 0000000..10fb044
--- /dev/null
+++ b/xlnx_auto_0_xdb/cst.xbcd
Binary files differ
diff --git a/xst/work/hdllib.ref b/xst/work/hdllib.ref
index a3fd458..c580ba8 100644
--- a/xst/work/hdllib.ref
+++ b/xst/work/hdllib.ref
@@ -1 +1 @@
-MO led NULL led.v vlg69/led.bin 1487546132
+MO led NULL led.v vlg69/led.bin 1487711944
diff --git a/xst/work/vlg69/led.bin b/xst/work/vlg69/led.bin
index 5060a66..df50a53 100644
--- a/xst/work/vlg69/led.bin
+++ b/xst/work/vlg69/led.bin
Binary files differ
diff --git a/xst/work/work.sdbl b/xst/work/work.sdbl
new file mode 100644
index 0000000..5c59628
--- /dev/null
+++ b/xst/work/work.sdbl
Binary files differ
diff --git a/xst/work/work.sdbx b/xst/work/work.sdbx
new file mode 100644
index 0000000..6050940
--- /dev/null
+++ b/xst/work/work.sdbx
Binary files differ