aboutsummaryrefslogtreecommitdiffstats
path: root/picorv32.v
Commit message (Collapse)AuthorAgeFilesLines
* Fix copyright infoClaire Xenia Wolf2021-12-021-1/+1
| | | | Signed-off-by: Claire Xenia Wolf <claire@clairexen.net>
* - fix missing bracketsRobert Korn2020-03-301-1/+1
|
* - fix missed timer interrupts,Robert Korn2020-03-271-6/+7
| | | | when another interrupt activates shortly before
* Disable verilator warnings, fixes #128Clifford Wolf2019-08-061-0/+5
| | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* Add PICORV32_TESTBUG_nnn ifdefs for testing purposesClifford Wolf2019-06-301-0/+18
| | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* Add rvfi_ixlClifford Wolf2019-06-261-0/+2
| | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* Add RVFI CSRsClifford Wolf2019-06-071-0/+39
| | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* Rename decoded_imm_uj to decoded_imm_jv1.0Clifford Wolf2019-03-021-6/+6
| | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* Add rvfi_mode (set to constant 3 = M-mode)Clifford Wolf2018-08-311-0/+2
| | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* Update riscv-formal altops bitmasksClifford Wolf2017-10-071-8/+8
|
* Fix bug in picorv32_pcpi_div, Add RISCV_FORMAL_ALTOPS supportClifford Wolf2017-10-061-2/+23
|
* Add PICORV32_REGS mechanism for ASIC sram instantiationClifford Wolf2017-10-011-1/+67
|
* Silenced some warnings when ENABLE_MUL but not ENABLE_PCPIClifford Wolf2017-09-221-2/+2
|
* Add correct interupt handling in RVFI traceClifford Wolf2017-09-131-16/+49
|
* Add rvfi_halt and rvfi_intr to picorv32_axi and picorv32_wbClifford Wolf2017-09-131-0/+8
|
* Revert "Fix RISCV_FORMAL_BLACKBOX_REGS (broke liveness on branch ops)"Clifford Wolf2017-09-131-10/+10
| | | | This reverts commit 624bc05f989e3fdb3ca499d71a1705d0aac569c5.
* Fix RISCV_FORMAL_BLACKBOX_REGS (broke liveness on branch ops)Clifford Wolf2017-09-121-10/+10
|
* Update rvfi_order according to current rvfi specClifford Wolf2017-09-051-4/+4
|
* Suppress writes to cpuregs[0] to prevent confusionClifford Wolf2017-07-141-2/+2
|
* Remove some trailing whitespaceLarry Doolittle2017-06-131-1/+1
|
* Add rvfi_halt and rvfi_intr portsClifford Wolf2017-06-061-0/+4
|
* Add RVFI to AXI and WB wrappers modules, Add RVFI monitor support to test benchClifford Wolf2017-05-271-0/+80
|
* Fixed jalr, c_jalr, and c_jr insns (bug discovered by riscv-formal)Clifford Wolf2017-05-181-2/+2
|
* Fix decoding of C.ADDI instructionClifford Wolf2017-05-131-5/+3
| | | | | See https://groups.google.com/a/groups.riscv.org/forum/#!topic/isa-dev/mr3H6S6IIts for discussion. There was a bug in the ISA manual.
* Add riscv-formal alu/regs blackboxingClifford Wolf2017-05-111-0/+14
|
* Fix decoding of illegal/reserved opcodes as other valid opcodesClifford Wolf2017-05-071-21/+29
|
* testbench_wb.v: unify verbose output with axi testbenchAntony Pavlov2017-04-061-2/+3
| | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | Unification of testbench output makes it possible to use the diff utility for comparing testbench instruction traces. Alas the testbench and testbench_wb traces are differ because of interrupts, e.g. picorv32$ make testbench_wb.vvp iverilog -o testbench_wb.vvp -DCOMPRESSED_ISA -DRISCV_FORMAL testbench_wb.v picorv32.v chmod -x testbench_wb.vvp picorv32$ make testbench.vvp iverilog -o testbench.vvp -DCOMPRESSED_ISA -DRISCV_FORMAL testbench.v picorv32.v chmod -x testbench.vvp picorv32$ vvp -N testbench_wb.vvp +verbose | head -n 856 > /tmp/testbench_wb.log picorv32$ vvp -N testbench.vvp +verbose | head -n 856 > /tmp/testbench.log picorv32$ diff -u /tmp/testbench.log /tmp/testbench_wb.log --- /tmp/testbench.log 2017-04-06 06:56:06.079804549 +0300 +++ /tmp/testbench_wb.log 2017-04-06 06:55:58.763485130 +0300 @@ -850,7 +850,7 @@ RD: ADDR=000056a0 DATA=00000013 INSN RD: ADDR=000056a4 DATA=fff00113 INSN RD: ADDR=000056a8 DATA=00000013 INSN -RD: ADDR=000056ac DATA=14208463 INSN <--- testbench: no interrupt -RD: ADDR=000056b0 DATA=00120213 INSN -RD: ADDR=000056b4 DATA=00200293 INSN -RD: ADDR=000056b8 DATA=fe5212e3 INSN +RD: ADDR=00000010 DATA=0200a10b INSN <--- testbench_wb: interrupt +RD: ADDR=00000014 DATA=0201218b INSN +RD: ADDR=00000018 DATA=000000b7 INSN +RD: ADDR=0000001c DATA=16008093 INSN Signed-off-by: Antony Pavlov <antonynpavlov@gmail.com>
* Fix indenting in wishbone codeClifford Wolf2017-03-141-53/+46
|
* WIP: add WISHBONE interconnect supportAntony Pavlov2017-03-141-0/+202
| | | | Signed-off-by: Antony Pavlov <antonynpavlov@gmail.com>
* Fix in rvfi_mem_ handling (when compressed isa is enabled)Clifford Wolf2017-02-271-13/+12
|
* Add DEBUGNETS debug flagClifford Wolf2017-02-261-1/+6
|
* Fix verilog code for modelsimClifford Wolf2017-02-171-1/+7
|
* Fix "mem_xfer is used before its declaration" warningClifford Wolf2017-02-111-1/+2
|
* Rename RVFI portsClifford Wolf2017-01-271-22/+22
|
* Fix picorv32_axi STACKADDR default valueClifford Wolf2017-01-151-1/+1
|
* Add STACKADDR parameter to picorv32_axi moduleOguz Meteer2017-01-151-2/+4
| | | | Signed-off-by: Oguz Meteer <info@guztech.nl>
* Added rvfi_mem interfaceClifford Wolf2016-12-201-4/+28
|
* Fixed some linter warnings in picorv32.vClifford Wolf2016-12-151-14/+14
|
* Added rvfi_post_trapClifford Wolf2016-12-131-1/+3
|
* Fixed catching jumps to misaligned insnClifford Wolf2016-11-291-7/+9
|
* Renamed rvfi_opcode to rvfi_insnClifford Wolf2016-11-281-2/+2
|
* More RVFI bugfixesClifford Wolf2016-11-271-7/+18
|
* Minor RVFI bugfixClifford Wolf2016-11-241-1/+1
|
* Added RISC-V Formal Interfcae (RVFI)Clifford Wolf2016-11-231-0/+74
|
* Another bugfix regarding compressed ISA and unaligned insnsClifford Wolf2016-11-181-2/+2
|
* Fixed the nontrivial compressed ISA bug found by tracecmp2Clifford Wolf2016-09-161-3/+15
|
* More fixes related to assertpmux checksClifford Wolf2016-09-131-2/+9
|
* Added more asserts for the memory interfaceClifford Wolf2016-09-131-2/+35
|
* Merge pull request #21 from wallclimber21/mem_wdataClifford Wolf2016-09-081-1/+3
|\ | | | | Only clock mem_wdata when necesssary
| * Fix tabsTom Verbeure2016-09-071-2/+2
| |